CN101894842A - 场效应晶体管反相器以及制造方法 - Google Patents

场效应晶体管反相器以及制造方法 Download PDF

Info

Publication number
CN101894842A
CN101894842A CN2010101746302A CN201010174630A CN101894842A CN 101894842 A CN101894842 A CN 101894842A CN 2010101746302 A CN2010101746302 A CN 2010101746302A CN 201010174630 A CN201010174630 A CN 201010174630A CN 101894842 A CN101894842 A CN 101894842A
Authority
CN
China
Prior art keywords
layer
type impurity
drain region
source area
doped
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010101746302A
Other languages
English (en)
Other versions
CN101894842B (zh
Inventor
J·B·常
J·W·斯莱特
M·A·圭罗恩
P·常
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101894842A publication Critical patent/CN101894842A/zh
Application granted granted Critical
Publication of CN101894842B publication Critical patent/CN101894842B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/762Nanowire or quantum wire, i.e. axially elongated structure having two dimensions of 100 nm or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

提供基于纳米线的器件。在一个方面,提供了一种场效应晶体管(FET)反相器。该FET反相器包括垂直定向在叠置体中的多个器件层,每个器件层具有源极区、漏极区以及连接源极区与漏极区的多个纳米线沟道,其中一个或多个器件层的源极区和漏极区掺杂有n型杂质,并且一个或多个其他器件层的源极区和漏极区掺杂有p型杂质;围绕纳米线沟道的每个器件层共用的栅极;与掺杂有n型杂质的一个或多个器件层的源极区的第一接触;与掺杂有p型杂质的一个或多个器件层的源极区的第二接触;以及每个器件层的漏极区共用的第三接触。还提供了用于制造FET反相器的技术。

Description

场效应晶体管反相器以及制造方法
技术领域
本发明涉及基于纳米线的器件,并且更具体地,涉及场效应晶体管(FET)逻辑反相器。
背景技术
按照其基本形式,场效应晶体管(FET)包括源极区、漏极区以及源极区和漏极区之间的沟道。栅极调节流经源极区和漏极区之间的沟道的电子流。
FET被用作多种不同类型的互补金属氧化物半导体(CMOS)电路的基本构成块。例如,逻辑栅极反相器是多种集成电路设计的共同组件,其可以使用n沟道场效应晶体管(NFET)和p沟道场效应晶体管(PFET)器件的一个或多个互补对来形成。典型的NFET/PFET反相器配置为将NFET的源极区连接至PFET的漏极区,并且器件的栅极彼此连接。
这种标准的反相器布局具有两个FET尺寸的占地面积。由于反相器在多数电路设计中的普及,降低反相器的布局占地面积将得到实现多数电路设计所需的布局面积的显著降低。
因此,期望可缩放的FET反相器及其制造技术。
发明内容
本发明提供基于纳米线的器件。在本发明的一个方面,提供一种场效应晶体管(FET)反相器。该FET反相器包括垂直定向在叠置体中的多个器件层,每个器件层具有源极区、漏极区以及连接源极区与漏极区的多个纳米线沟道,其中一个或多个器件层的源极区和漏极区掺杂有n型杂质,并且一个或多个其他器件层的所述源极区和所述漏极区掺杂有p型杂质;围绕纳米线沟道的每个器件层共用的栅极;与掺杂有n型杂质的一个或多个器件层的源极区的第一接触;与掺杂有p型杂质的一个或多个器件层的源极区的第二接触;以及每个器件层的漏极区共用的第三接触。
在本发明的另一方面,提供一种制造FET反相器的方法。该方法包括以下步骤。形成垂直定向在叠置体中的多个器件层,每个器件层具有源极区、漏极区以及连接源极区和漏极区的多个纳米线沟道。向一个或多个器件层的源极区和漏极区中引入n型杂质。向一个或多个其他器件层的源极区和漏极区中引入p型杂质。围绕纳米线沟道形成每个器件层共用的栅极。形成与掺杂有n型杂质的一个或多个器件层的源极区的第一接触。形成与掺杂有p型杂质的一个或多个器件层的源极区的第二接触。形成每个器件层的漏极区共用的第三接触。
通过参考以下详细描述以及附图,将会获得对本发明以及本发明的其他特征和优点更为全面的理解。
附图说明
图1是示出按照本发明一个实施方式的用于场效应晶体管(FET)反相器制造的开始结构的剖面图;
图2是示出按照本发明一个实施方式的多个纳米线硬掩模的剖面图;
图3是示出按照本发明一个实施方式的形成于反相器有源区域之上的虚栅极的剖面图;
图4是示出按照本发明一个实施方式的在虚栅极周围裁剪的纳米线硬掩模的剖面图;
图5是示出按照本发明一个实施方式的在虚栅极周围沉积的填充物层的剖面图;
图6是示出按照本发明一个实施方式的已经去除了虚栅极从而得到形成于填充物层中的沟槽的剖面图;
图7是示出按照本发明一个实施方式的鳍叠置体的剖面图;
图8是示出按照本发明一个实施方式的去除了纳米线硬掩模的暴露氮化物部分的剖面图;
图9是示出按照本发明一个实施方式的形成于沟槽中的间隔体的剖面图;
图10是示出按照本发明一个实施方式的从鳍叠置体中去除了牺牲层的剖面图;
图11是示出按照本发明一个实施方式的在FET反相器中形成了不同的n掺杂和p掺杂层的剖面图;
图12是示出按照本发明一个实施方式的形成于沟槽中的替换栅极的剖面图;
图13是示出按照本发明一个实施方式的去除了填充物层的剖面图;
图14是示出按照本发明一个实施方式的漏极源极接触的剖面图;
图15是示出按照本发明一个实施方式的源极区间隔的剖面图;以及
图16是示出按照本发明一个实施方式的源极区接触的剖面图。
具体实施方式
图1-图16是示出用于制造基于全包围栅极(gate-all-around,GAA)纳米线的场效应晶体管(FET)反相器的示例性方法的框图。如下文详细描述的,本技术利用大马士革栅极工艺来构造与栅极自对准的源极区/漏极区。
图1是示出用于FET反相器制造工艺的开始结构100的剖面图。为了形成结构100,使用浅沟槽隔离(STI)来限定绝缘体上硅(SOI)晶片中的有源区域。也即,提供晶片102,其具有掩埋氧化物(BOX)层106上的SOI层104。SOI晶片通常还包括其他层,诸如衬底,其未在此描绘中示出。BOX层106可以包括任何适当的绝缘体材料,包括但不限于诸如二氧化硅(SiO2)的电介质材料。尽管图1示出了单个有源区域的形成,但是可以理解,可以在单个晶片中形成多个有源区域。
继而在晶片上叠置形成硅(Si)和牺牲层的交替序列,其中SOI层104作为该序列中的第一个Si层。特别地,从SOI层104开始向上移动,在SOI层104上外延生长牺牲层107。
牺牲层107包括晶体材料,其可以对于Si选择性地被刻蚀,诸如硅锗(SiGe)。牺牲层107包含高浓度的杂质,在该杂质被引入Si层(例如,通过工艺中稍后执行的退火)时,其得到n型或者p型Si。例如,磷(P)或者砷(As)是典型的n型杂质,而硼(B)是典型的p型杂质。可以使用从大约1×1019原子每立方厘米(atoms/cm3)到大约1×1022atoms/cm3的杂质浓度。掺杂可以原位执行(也即,在牺牲层107的生长期间包含杂质)或者非原位执行(也即,在牺牲层107的生长之后,使用诸如离子注入的技术),其中如果需要相邻的n型和p型掺杂区从而在同一层中形成相邻的NFET和PFET,则优选非原位掺杂。
可以在牺牲层107上外延生长可选的非掺杂晶体Si层108。此外,可选地,可以在Si层108之上以交替的方式形成一个或多个附加牺牲层和/或晶体Si层,其中,附加牺牲层的属性与牺牲层107相同,并且附加晶体Si层的属性与Si层108相同。出于说明目的以及描述的简便,在Si层108之上示出了一个附加牺牲层109和一个附加Si层110。然而,这些层是可选的,并且可以想到不存在这些层的实施方式。此外,可以存在比示出的更多或者更少的这些层。按照一个示例性实施方式,牺牲层107和109的掺杂彼此相同。
接下来,在叠置体上沉积电绝缘层111。在图1所示的示例性配置中,绝缘层111沉积在Si层110之上。可以使用化学气相沉积(CVD)、等离子增强型CVD(PECVD)或者不会引起Si层110的物理或者化学改变的任何适当技术来沉积绝缘层111。按照一个示例性实施方式,绝缘层111包含晶体电绝缘材料(诸如钻石)或者非晶体电绝缘材料(诸如SiO2)。
继而在绝缘层111之上形成晶体Si层112。在绝缘层111包括晶体电绝缘材料的情况下,Si层112可以在绝缘层111上外延生长。在绝缘层111包括非晶体电绝缘材料的情况下,可以通过晶片键合技术在绝缘层111之上引入Si层112,例如,从处理晶片转移晶体Si层112。
可选地,可以在Si层112之上以交替的方式外延生长一个或多个附加牺牲层和/或晶体Si层。出于说明目的以及描述的简便,在Si层112上示出了一个牺牲层113和一个Si层114。然而,这些层是可选的,并且可以想到不存在这些层的实施方式。此外,可以存在比所示的更多或者更少的这些层。
绝缘层111之上的牺牲层(如果存在的话)与绝缘层111之下的牺牲层(例如,牺牲层107和109)具有相同的属性。然而,绝缘层111之上的牺牲层中的掺杂(如果存在的话)的极性与绝缘层111之下的牺牲层中的掺杂相反。例如,如果绝缘层111之下的牺牲层中的掺杂为n型,则绝缘层111之上的牺牲层中的掺杂为p型,反之亦然。与绝缘层111之下的牺牲层不同,绝缘层111之上的牺牲层可以可选地保持为无掺杂。在晶体Si层112是通过晶片键合从处理晶片转移的情况下(参见上文),绝缘层111之上交替的牺牲层和/或晶体Si层(如果存在的话)可以在晶体Si层112生长之前在处理晶片上生长,并且在转移晶体Si层112的同时被转移到开始衬底。
继而在叠置体上沉积第一硬掩模116。在图1所示的示例性配置中,硬掩模116沉积在Si层114之上。硬掩模116可以具有与绝缘层111相同的成分(例如,SiO2),并且可以按照与绝缘层111相同的方式来沉积。按照一个示例性实施方式,硬掩模116具有从15纳米(nm)到大约20nm的厚度,例如具有20nm的厚度。
如上所述,每个牺牲层可以通过外延生长工艺的方式来沉积。因此,每个牺牲层包括单个晶体材料。按照一个示例性实施方式,每个牺牲层具有从大约5nm到大约20nm的厚度。然而,为了使寄生电容最小化,每个牺牲层的厚度应当尽可能小,同时仍然为栅极电介质/栅极留出足够的空间,以适应在稍后工艺中去除牺牲层时形成的间隙。类似地,上文描述的每个Si层可以通过外延生长工艺的方式来沉积。因此,每个Si层也包括单个晶体材料。按照一个示例性实施方式,每个Si层具有从大约5nm到大约20nm的厚度。
用来形成Si层和/或牺牲层的外延生长工艺可以在小于大约800摄氏度(℃)的温度下执行,例如,在小于大约650℃的温度下执行。该工艺可以在不破坏每个层的生长之间的真空的情况下执行,或者备选地,可以破坏层之间的真空以支持额外处理,例如特定层的非原位掺杂。不论是否破坏层之间的真空,优选地在每个后续层形成之间执行净化步骤。在形成每个Si层和牺牲层中使用的生长压力小于大约100托,例如,小于大约50托。请注意,利用这些示例性的外延生长参数,每个Si层或者牺牲层的厚度变化应当不会超过百分之五(5%)。Si层的部分将用来在稍后的制造工艺中形成纳米线沟道,并且每个牺牲层的厚度将确定纳米线沟道之间在z方向上的距离。
使用STI来将Si层/牺牲层平坦化和隔离为晶片的有源区域。STI包含普通的光刻和刻蚀工艺,这对于本领域技术人员而言是公知的,因此不在此进一步描述。STI通常与纳米特征尺寸范围中的工艺技术结合使用。使用诸如CVD、PECVD或者原子层沉积(ALD)的沉积工艺,与叠置体的一个或多个侧壁相邻地形成氮化物衬里118。现在形成于晶片的有源面积中的叠置体将在稍后的工艺中用于形成反相器的纳米线沟道。由此,叠置体的各层的配置限定了纳米线在z方向上的位置。
继而在叠置体上沉积第二硬掩模120。按照一个示例性实施方式,硬掩模120包括氮化物层,并且使用低压化学气相沉积(LPCVD)沉积到大约15nm到大约20nm的厚度,例如大约20nm的厚度。如下文详述,硬掩模116和硬掩模120将被构图(按照纳米线在x方向上的期望位置)为多个个体纳米线硬掩模。
图2是示出被构图为多个个体纳米线硬掩模122的第一硬掩模和第二硬掩模的剖面图。如上文所强调的,硬掩模的构图与纳米线的期望位置相称。按照一个示例性实施方式,抗蚀剂膜(未示出)被沉积在硬掩模120上,并且利用每个纳米线硬掩模122的占地面积和位置来构图。在一个示例中,使用反应离子刻蚀(RIE)来形成纳米线硬掩模,并且因此,抗蚀剂膜包括诸如氢化倍半硅氧烷(HSQ)的抗蚀剂材料,其使用电子束(e-beam)光刻来构图,并被转移到基于碳的抗蚀剂。
继而,根据第一硬掩模包括氧化物而第二硬掩模包括氮化物这一事实,使用一系列选择性的RIE步骤来形成硬掩模开口级。例如,首先使用将抗蚀剂膜(未示出)用作掩模的氮化物选择性RIE来去除抗蚀剂膜之下除部分硬掩模120之外的所有部分,从而定义纳米线硬掩模的氮化物部分122a。包括氧化物的硬掩模116充当氮化物选择性RIE的刻蚀停止。氮化物选择性RIE还可以同时刻蚀氮化线118,其中Si层114充当刻蚀停止。
接下来,使用氮化物部分作为掩模,使用氧化物选择性RIE来去除氮化物掩模之下除部分硬掩模116之外的所有部分,从而定义纳米线硬掩模的氧化物部分122b。Si层114充当氧化物选择性RIE的刻蚀停止。在此示例中,纳米线硬掩模的氮化物部分122a和氧化物部分122b每个都具有大约15nm到大约20nm的厚度,例如大约20nm的厚度。
氮化物部分122a和氧化物部分122b形成了双纳米线硬掩模结构。使用双纳米线硬掩模结构允许在Si层中形成更为精确和统一的纳米线。也即,利用双硬掩模结构,氮化物部分122a将在虚栅极定义(参见图3,下文描述)期间保护氧化物部分122b的完整性,而氧化物部分122b将在间隔体(氮化物选择性)刻蚀(参加下文描述)期间保护纳米线。对于最小化纳米线维度的变化而言,维护纳米线硬掩模的良好完整性是重要的。随着器件尺寸不断变小,不期望的维度变化的效应将变得尤为显著。
在此示例中,纳米线硬掩模122被配置为具有小于大约200nm的节距(也即,空间频率),例如从大约10nm到大约200nm(例如,从大约40nm到大约50nm)。为了最大化布局密度以及最小化寄生电容,节距应当在构图和处理限制内尽可能地小。为了实现小于由直接光刻所定义的节距,可以使用节距倍增技术,诸如侧壁图像转移或者倍增构图/倍增刻蚀。每个纳米线硬掩模122的宽度123小于大约40nm,例如,从大约5nm到大约40nm(例如,从大约5nm到大约10nm)。每个纳米线硬掩模122的节距/宽度将确定每个纳米线的节距/宽度。
图3是示出形成于有源区域之上的虚栅极126的剖面图。如图3所示,在Si层114之上形成氧化物停止层,也即氧化物层124。按照一个示例性实施方式,使用热氧化来将氧化物层124生长为达到大约4nm(例如,达到大约2nm)的厚度。Si层114的一部分在该热氧化过程期间被消耗。由此,Si层114的厚度减小例如最多2nm,例如,减小达到大约1nm。
为了开始大马士革栅极工艺,形成虚栅极结构126。通过下文描述将会清楚,虚栅极结构126定义了纳米线在y方向上的位置以及最终器件结构的栅极位置。按照一个示例性实施方式,虚栅极结构包含多晶Si(多晶硅)。
可以通过以下工艺来形成虚栅极126。首先,使用LPCVD在氧化物层124/纳米线硬掩模122上沉积厚度从大约100nm到大约150nm(例如,大约140nm)的多晶硅层。由于多晶硅层的厚度将确定虚栅极的高度,因此可以在沉积之后使用化学机械抛光(CMP),以实现期望的厚度/高度。在多晶硅层上沉积抗蚀剂膜(未示出),利用虚栅极的占地面积和位置对其进行掩模和构图(其上将是反相器的纳米线沟道)。继而,使用多晶硅选择性RIE来去除位于纳米线硬掩模之上的、除部分多晶硅层之外的所有部分(也即,在y方向上集中在纳米线硬掩模之上),得到虚栅极126。按照一个示例性实施方式,虚栅极126具有大约100nm到大约150nm(例如,140nm)的高度128以及大约30nm到大约50nm(例如,大约45nm)的长度130。
如箭头132所示,可选地,可以使用从上到下注入在绝缘层111上掺杂一个或多个晶体Si层。该从上到下注入的掺杂的极性应当与绝缘层111之下的牺牲层中的掺杂相反。例如,如果绝缘层111之下的牺牲层(也即,牺牲层107以及任何可选牺牲层之一(如果存在的话))中的掺杂为n型,则绝缘层111之上的Si层中的掺杂为p型,反之亦然。仅当绝缘层111之上不存在牺牲层或者绝缘层111之上存在的牺牲层在先前步骤中没有准备好掺杂的情况下,需要该从上到下的注入步骤。从上到下注入的深度被选择为影响绝缘层111之上的层,但是不影响绝缘层111之下的层。该注入的条件是本领域技术人员公知的,并且可以根据所使用的杂质种类而变化。按照一个示例性实施方式,使用注入来掺杂叠置体中最上面的Si层,也即,Si层114。
图4是示出在虚栅极周围裁剪的纳米线硬掩模的剖面图。可以使用对于虚栅极材料具有选择性的各向异性刻蚀(也即,不会刻蚀虚栅极)来去除从虚栅极126之下延伸出的纳米线硬掩模122。如箭头134所示,可以代之以在工艺中的该点执行上文结合图3描述的从上到下注入,也即,在去除了从虚栅极126下延伸出的纳米线硬掩模122的部分之后而非之前。
图5是示出在虚栅极126周围沉积的(牺牲)填充物层136的剖面图。填充物层136可以包含任何适当的填充物材料,包括诸如SiO2等电介质材料。按照一个示例性实施方式,使用高密度等离子体(HDP)在虚栅极126周围沉积填充物层136。继而使用CMP来平坦化填充物材料,其使用虚栅极作为刻蚀停止。由此,填充物层136将具有与虚栅极的高度相等的厚度,例如从大约30nm到大约150nm,例如从大约100nm到大约150nm,例如大约140nm。
图6是示出已被去除的虚栅极的剖面图。可以使用诸如化学下游或氢氧化钾(KOH)刻蚀或者RIE之类的化学刻蚀工艺来去除虚栅极126。如图6所示,虚栅极126的去除得到了形成于填充物层136中的沟槽138。由于沟槽138是虚栅极126的负性图案,因此沟槽138也集中位于纳米线硬掩模122之上(也即,在y方向上)。按照一个示例性实施方式,沟槽138将器件的(纳米线)沟道区与器件的源极区和漏极区区分开。
刻蚀还可能对填充物层136产生影响,去除其部分。例如,在用以去除虚栅极126的刻蚀工艺之后,填充物层136可以减小到大约30nm到大约125nm的厚度139,例如大约80nm。
使用虚栅极是本技术的一个重要方面。也即,虚栅极允许在填充物层之前放置纳米线硬掩模,使得在去除虚栅极时,露出的纳米线硬掩模已经存在于沟槽之中。纳米线硬掩模对于在有源区域中形成较为精确和统一的纳米线而言是重要的。
图7是示出刻蚀进入Si层/牺牲层的鳍叠置体140的剖面图。一旦如下所述从牺牲层被释放,在Si层中形成的鳍将是反相器的纳米线沟道。按照一个示例性实施方式,使用Si选择性RIE来去除沟槽138中未被纳米线硬掩模122掩模的Si层/牺牲层的部分。BOX层106充当鳍刻蚀的刻蚀停止。以此方式构图的鳍叠置体140将具有锐利、良好定义的边缘。如上所述,这是使用双(氮化物/氧化物)硬掩模对纳米线进行构图的结果。
本技术的一个优点在于,鳍仅在沟槽138之内被刻蚀,而保持器件的源极区/漏极区在填充物层136之下完整无缺。此外,以此方式产生的源极区/漏极区将与沟槽138自对准,并且由此与将在沟槽138中形成的器件栅极自对准(参见下文描述)。基于纳米线硬掩模122的节距和宽度,所形成的鳍(包括在将作为反相器的纳米线沟道的Si层中形成的那些鳍)具有小于大约200nm的节距(也即,空间频率),例如从大约10nm到大约200nm,例如从大约40nm到大约50nm;并且具有小于大约40nm的宽度,例如从大约5nm到大约40nm,例如从大约5nm到大约10nm。
图8是示出已去除的纳米线硬掩模的暴露氮化物部分122a(也即,沟槽138中的部分)的剖面图。可以使用相对于氧化物部分来选择性地去除纳米线硬掩模的氮化物部分的任何刻蚀工艺。然而,理想上,应当选择氮化物部分的厚度,使得其在先前的鳍刻蚀期间最多地消耗,这是因为此时在硬掩模结构上不应留下很多氮化物。理想上,这样来设计硬掩模的氧化物部分122b,使得其在间隔体刻蚀(参见图9,下文描述)期间完全消耗。在间隔体刻蚀之后剩余的任何氧化物硬掩模应当足够薄,以便在栅极叠置体沉积之前的清理期间被去除。栅极叠置体预清理是去除Si表面上的有机污染物、金属污染物以及任何原生氧化物的标准工艺。可以使用用于去除氧化物的湿法或者干法化学刻蚀工艺来去除原生氧化物。一个示例是100∶1的稀释氢氟酸(HF)。
图9是示出形成于沟槽138中的间隔体142的剖面图。该步骤是可选的。在将是源极区/漏极区与栅极(将形成于沟槽138中,参见图12,下文描述)的部分之间放置间隔体将有助于最小化完成器件中的寄生电容,但是其对于防止在加高的源极/漏极(RSD)外延生长或硅化物期间的栅极到源极/漏极短接(也即,如典型的FET流)并非必需的。间隔体142用来将栅极相对于源极区/漏极区偏置一定的距离。
按照一个示例性实施方式,通过向沟槽138中沉积氮化物层来形成间隔体142。继而在氮化物层上沉积抗蚀剂膜(未示出),利用间隔体的位置和占地面积对其进行掩模和构图。继而使用氮化物选择性RIE在氮化物层中定义间隔体142。需要大定时的过刻蚀来清除鳍叠置体140的侧壁,使得间隔体142仅沿沟槽138的侧壁存在,而不存在于鳍叠置体140之上。由此,间隔体142的最小下拉(pulldown)是鳍叠置体和剩余(氧化物部分122b)纳米线硬掩模的高度。例如,过刻蚀的量在去除整个氮化物层所需的刻蚀时间的大约50%到大约80%之间。按照一个示例性实施方式,间隔体142具有从大约5nm到大约25nm的长度144。间隔体142的最大高度等于沟槽138的厚度139减去间隔体下拉的高度146。间隔体的最小高度是鳍叠置体140的高度148。硬掩模的氧化物部分122b在去除氮化物层所需的长过刻蚀期间暴露出来,并且将很可能在此步骤中被侵蚀,这归因于用来去除氮化物层的氮化物刻蚀的不完美的选择性。理想地,硬掩模的氧化物部分122b被设计为仅具有足以在此步骤期间被完全侵蚀的厚度。
图10是示出已从纳米线鳍叠置体中的Si层之间去除了牺牲层的剖面图。SOI层104和Si层108、110、112和114现在被释放的部分104a、108a、110a、112a和114a分别是器件的纳米线沟道。纳米线沟道的这多个层在此也称为纳米线“网格(mesh)”。
可以如下从鳍叠置体去除牺牲层。可以使用与Si层相比利用牺牲层的较低氧化可能的化学刻蚀剂。这种刻蚀剂的示例包括但不限于1∶2∶3的HF∶过氧化氢(H2O2)∶醋酸(CH3COOH)混合物,或者硫酸(H2SO4)与H2O2的混合物。备选地,可以使用干法刻蚀工艺,诸如氧气(O2)等离子体刻蚀或者通常用于刻蚀的等离子化学物。如果电绝缘层是氧化物,可以使用HF∶H2O2∶CH3COOH来去除电绝缘层。还可以使用直接DHF刻蚀。如果电绝缘层是晶体电介质,将需要不同的刻蚀,例如使用对于Si有选择性的刻蚀剂。
图11是示出形成于FET反相器中的n掺杂和p掺杂层的剖面图。在制造工艺中的此时,FET反相器中的不同层是可区分的。这些层在此也称为器件层,因为每个层包括源极区和漏极区(例如,填充物层136之下的SOI层104和Si层108、110、112和114的部分)以及连接源极区和漏极区的多个纳米线沟道(也即,分别是SOI层104和Si层108、110、112和114的部分104a、108a、110a、112a和114a)。图11中示出了这些器件层的一个示例性配置,其中n掺杂器件层标为“NFET层”,而p掺杂器件层标为“PFET层”。如下文详细描述,器件层共享共同的栅极。虽然两个给定的器件层可能由间隙或者由其他层而彼此隔开,但是出于描述器件层叠置体之目的,认为这两个器件层是相邻的器件层。例如,图11中所示的三个NFET器件层被认为是彼此相邻的层,虽然它们可由源极区和漏极区中的牺牲层隔开。
按照一个示例性实施方式,在电绝缘层111之下,存在掺杂有n型杂质的一个或多个牺牲层;并且在电绝缘层111之上,存在掺杂有p型杂质的一个或多个牺牲层。继而执行退火,以便将电绝缘层111之下的牺牲层的n型杂质(现在仅存在于源区和漏区中)扩散/激活遍及NFET器件层的源极区/漏极区杂质,并且将电绝缘层111之上的牺牲层的p型杂质(现在仅存在于源区和漏区中)扩散/激活遍及PFET器件层的源极区/漏极区杂质。该退火的温度可以在1,000℃到1,100℃范围内,并且退火的持续时间可以从几毫秒(ms)(例如,5ms)变化到几秒(例如,5秒)。纳米线沟道保持为未掺杂,这是薄沟道、完全耗尽器件(诸如,纳米线FET)的重要优点。在放置栅极(参见下文)之前,使用例如CMP将填充物层136抛平到间隔体142,执行湿法化学清除来去除表面污染物和原生氧化物,并且在纳米线沟道上形成栅极电介质。
按照一个示例性实施方式使用不同的化学氧化物来优选地氧化未被间隔体覆盖的源极区/漏极区中的牺牲材料的区域。牺牲材料的这些区域可以优选地被氧化为暴露的Si纳米线沟道区域。继而,在纳米线沟道上形成电介质,例如SiO2或者高K栅极电介质,诸如氧化铪(HfO2)。这是栅极电介质(将把栅极从纳米线沟道隔开)。接下来,如图12中的剖面图所示,通过利用栅极材料填充沟槽138,围绕纳米线沟道在沟槽138中形成替换栅极150。一旦将栅极材料填充到了沟槽138中,便使用CMP来对栅极进行平坦化,其中填充物层136充当刻蚀停止。适当的栅极材料包括但不限于:一个或多个多晶硅、沉积金属以及诸如金属多晶硅等多个金属的混合叠置体。
图13是示出了去除了填充物层136的剖面图。按照一个示例性实施方式,填充物层136包括SiO2,并且使用诸如氧化物选择性RIE的氧化物选择性刻蚀工艺被去除。氧化物层124也由该刻蚀工艺去除。去除填充物层136和氧化物层124是为了允许各器件层的源极区和漏极区中的接触形成,参见下文。
图14是示出形成于器件层的漏极区中的接触152的剖面图。在图14所示的方向中,器件层在栅极左侧的部分被任意地指定为源极区,并且器件层在栅极右侧的部分被指定为漏极区。
按照一个实施方式,这样来形成接触152:首先层状沉积硬掩模(未示出),并且利用接触152的位置和占地面积对硬掩模进行构图。继而对经过构图的硬掩模执行凹槽刻蚀(或者刻蚀步骤的组合),以形成贯穿器件层漏区的沟槽。继而去除硬掩模。
使用本领域技术人员公知的标准方法在沟槽中形成硅化物层。例如,将包含诸如镍(Ni)之类金属的层沉积到从大约5nm到大约30nm的厚度,例如15nm的厚度。继而在从大约350℃到大约500°(例如,450℃)的温度下对晶片进行持续时间为几毫秒(例如,5毫秒)到几秒(例如,5秒)的退火,使得暴露的Si与Ni发生反应以形成硅化镍。继而使用诸如王水(包含硝酸和硫酸)之类对硅化物具有选择性的金属刻蚀剂来去除未反应的Ni。剩余硅化物的厚度由沉积的金属层厚度以及退火的长度和温度确定。由于硅化物形成期间的体积膨胀,在电绝缘层111之上和之下形成的硅化物将合并,形成电绝缘层111之上和之下的Si层中的上部漏极和下部漏极之间的电短接。由此,构成接触152的硅化物层对于每个器件层的漏极区是共用的,并且用来短接漏极区侧上的NFET和PFET器件层。
图15是示出源极区隔离的剖面图。特别地,作为器件层源极区中的接触形成(参见图16,下文描述)的先导,源极侧上的NFET和PFET器件层必须彼此隔离。按照一个示例性实施方式,该隔离是这样实现的:首先去除源极区中的电绝缘层111之上的所有Si层和牺牲层(也即,Si层114、牺牲层113以及Si层112)的一部分,例如一半。为此,可以层状沉积硬掩模,并且利用待去除的Si层部分的位置和占地面积来对其进行构图。对经过构图的硬掩模执行刻蚀,并继而去除硬掩模。
继而使用间隔体154来隔离已构图和未构图的区域。间隔体154可以包括氮化物材料。间隔体形成技术已在上文例如结合图9进行了描述。
图16是示出分别形成于NFET和PFET器件层的源极区中的接触156和158的剖面图。按照一个示例性实施方式,通过重复上文结合图14描述的硅化物工艺来形成接触156和158。然而,在这种情况下,电绝缘层111之下的Si层中的下部源极区没有短接至电绝缘层111之上的Si层中的上部漏极区,这归因于将其隔离开的间隔体154。
形成硅化物,其构成了接触156和158。如图16所示,NFET源极接触156充当反相器的地(标为“GND”)接触,PFET源极接触158充当反相器的电源(标为“VDD”)接触,栅极150充当反相器的输入(标为“VIN”)接触,并且NFET/PFET漏极接触152充当反相器的输出(标为“VOUT”)接触。有利地,本FET反相器被配置为具有单个GAA栅极,其在与即时叠置的纳米线沟道设计相结合时,用于最大化布局面积。
尽管已经在此描述了本发明的示范性实施方式,但是可以理解,本发明不限于这些精确实施方式,并且在不脱离本发明范围的情况下,本领域技术人员可以进行各种其他改变和修改。

Claims (22)

1.一种场效应晶体管(FET)反相器,包括:
垂直定向在叠置体中的多个器件层,每个器件层具有源极区、漏极区以及连接所述源极区与所述漏极区的多个纳米线沟道,其中一个或多个所述器件层的所述源极区和所述漏极区掺杂有n型杂质,并且一个或多个其他所述器件层的所述源极区和所述漏极区掺杂有p型杂质;
围绕所述纳米线沟道的每个所述器件层共用的栅极;
与掺杂有n型杂质的所述一个或多个器件层的所述源极区的第一接触;
与掺杂有p型杂质的所述一个或多个器件层的所述源极区的第二接触;以及
每个所述器件层的所述漏极区共用的第三接触。
2.如权利要求1的FET反相器,其中所述器件层中任意给定的一个器件层中的所述纳米线沟道具有从大约10nm到大约200nm的节距。
3.如权利要求1的FET反相器,其中所述器件层中任意给定的一个器件层中的所述纳米线沟道具有从大约40nm到大约50nm的节距。
4.如权利要求1的FET反相器,其中所述叠置体中的相邻器件层的所述纳米线沟道由从大约5nm到大约20nm的间隙彼此隔开。
5.如权利要求1的FET反相器,其中所述n型杂质包括磷和砷中的一个或多个。
6.如权利要求1的FET反相器,其中所述p型杂质包括硼。
7.如权利要求1的FET反相器,其中所述栅极与所述纳米线沟道由电介质隔开。
8.如权利要求1的FET反相器,进一步包括:所述器件层的所述源极区与所述漏极区与所述栅极之间的间隔体。
9.如权利要求1的FET反相器,其中所述栅极包括一个或多个多晶硅和金属。
10.如权利要求1的FET反相器,其中所述第一接触充当所述反相器的地接触,所述第二接触充当所述反相器的电源接触,所述栅极充当所述反相器的输入接触,并且所述第三接触充当所述反相器的输出接触。
11.如权利要求1的FET,其中所述第三接触短接每个所述器件层的所述漏极区。
12.如权利要求1的FET,进一步包括:电绝缘层,其将掺杂有所述n型杂质的所述一个或多个器件层的所述源极区和漏极区与掺杂有所述p型杂质的所述一个或多个器件层的所述源极区和漏极区隔开。
13.如权利要求12的FET,其中掺杂有所述n型杂质的所述一个或多个器件层位于所述电绝缘层之下,并且掺杂有所述p型杂质的所述一个或多个器件层位于所述电绝缘层之上。
14.如权利要求1的FET,其中所述纳米线沟道是未掺杂的。
15.一种制造FET反相器的方法,包括步骤:
形成垂直定向在叠置体中的多个器件层,每个器件层具有源极区、漏极区以及连接所述源极区和所述漏极区的多个纳米线沟道;
向一个或多个所述器件层的所述源极区和漏极区中引入n型杂质;
向一个或多个其他所述器件层的所述源极区和漏极区中引入p型杂质;
围绕所述纳米线沟道形成每个器件层共用的栅极;
形成与掺杂有n型杂质的所述一个或多个器件层的所述源极区的第一接触;
形成与掺杂有p型杂质的所述一个或多个器件层的所述源极区的第二接触;
形成每个所述器件层的所述漏极区共用的第三接触。
16.如权利要求15的方法,其中形成所述器件层的步骤进一步包括步骤:
提供绝缘体上硅(SOI)晶片;
在所述晶片上形成硅层和牺牲层的交替序列;
刻蚀所述硅层和牺牲层,以形成纳米线鳍叠置体;以及
从所述纳米线鳍叠置体去除所述牺牲层。
17.如权利要求16的方法,其中硅层和牺牲层的所述交替序列在所述晶片上外延生长。
18.如权利要求16的方法,进一步包括步骤:
在所述硅层和牺牲层之上形成纳米线硬掩模。
19.如权利要求18的方法,其中所述纳米线硬掩模包括双硬掩模结构,其具有氧化物部分以及所述氧化物部分之上的氮化物部分。
20.如权利要求16的方法,其中一个或多个所述牺牲层掺杂有n型杂质,并且其中向一个或多个所述器件层的所述源极区和漏极区引入n型杂质的步骤进一步包括:
将所述n型杂质从掺杂有所述n型杂质的所述一个或多个牺牲层扩散遍及一个或多个所述硅层。
21.如权利要求16的方法,其中一个或多个所述牺牲层掺杂有p型杂质,并且其中向一个或多个所述器件层的所述源极区和漏极区引入p型杂质的步骤进一步包括:
将所述p型杂质从掺杂有所述p型杂质的所述一个或多个牺牲层扩散遍及一个或多个所述硅层。
22.如权利要求15的方法,进一步包括步骤:
在形成所述栅极之前,在所述纳米线沟道上形成电介质。
CN2010101746302A 2009-05-21 2010-05-06 场效应晶体管反相器以及制造方法 Active CN101894842B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/470,128 US8084308B2 (en) 2009-05-21 2009-05-21 Single gate inverter nanowire mesh
US12/470,128 2009-05-21

Publications (2)

Publication Number Publication Date
CN101894842A true CN101894842A (zh) 2010-11-24
CN101894842B CN101894842B (zh) 2012-09-12

Family

ID=43103984

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010101746302A Active CN101894842B (zh) 2009-05-21 2010-05-06 场效应晶体管反相器以及制造方法

Country Status (4)

Country Link
US (2) US8084308B2 (zh)
JP (1) JP5607420B2 (zh)
KR (1) KR101081066B1 (zh)
CN (1) CN101894842B (zh)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102610529A (zh) * 2012-03-31 2012-07-25 上海华力微电子有限公司 基于体硅的三维阵列式后栅型SiNWFET制备方法
CN102623321A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于体硅的纵向堆叠式后栅型SiNWFET制备方法
CN102623347A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于体硅的三维阵列式SiNWFET制备方法
CN102683294A (zh) * 2012-05-03 2012-09-19 上海华力微电子有限公司 制备SOI上双层隔离混合晶向后栅型反型模式SiNWFET的方法
CN102683283A (zh) * 2012-05-03 2012-09-19 上海华力微电子有限公司 一种双层隔离混合晶向应变硅纳米线cmos制备方法
CN103258738A (zh) * 2012-02-20 2013-08-21 中芯国际集成电路制造(上海)有限公司 超晶格纳米线场效应晶体管及其形成方法
CN103295878A (zh) * 2012-02-27 2013-09-11 中芯国际集成电路制造(上海)有限公司 一种多层纳米线结构的制造方法
CN103426764A (zh) * 2012-05-24 2013-12-04 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
CN103700660A (zh) * 2013-12-11 2014-04-02 中国科学院上海微系统与信息技术研究所 一种全环栅cmos场效应晶体管和制备方法
CN103972235A (zh) * 2013-01-28 2014-08-06 国际商业机器公司 电子器件及其形成方法
CN103985751A (zh) * 2013-02-08 2014-08-13 中国科学院微电子研究所 半导体设置及其制造方法
CN104011849A (zh) * 2011-12-23 2014-08-27 英特尔公司 Cmos纳米线结构
CN104115273A (zh) * 2011-12-19 2014-10-22 英特尔公司 高电压场效应晶体管
CN104137237A (zh) * 2011-12-23 2014-11-05 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
CN104347630A (zh) * 2013-08-01 2015-02-11 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN104701376A (zh) * 2013-12-10 2015-06-10 台湾积体电路制造股份有限公司 替换栅极纳米线器件
CN104126221B (zh) * 2011-12-23 2017-02-15 英特尔公司 具有调制的纳米线数目的半导体器件
CN106796393A (zh) * 2014-09-08 2017-05-31 高通股份有限公司 用于垂直结构的半节点缩放
CN106847875A (zh) * 2011-12-23 2017-06-13 英特尔公司 非平面栅极全包围器件及其制造方法
TWI618127B (zh) * 2012-09-27 2018-03-11 英特爾股份有限公司 具有含低帶隙包覆層之通道區的非平面半導體裝置
CN108987274A (zh) * 2017-06-05 2018-12-11 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
WO2019095874A1 (zh) * 2017-11-20 2019-05-23 中国科学院上海微系统与信息技术研究所 场效应晶体管结构及其制备方法
CN110620142A (zh) * 2013-11-20 2019-12-27 台湾积体电路制造股份有限公司 源极和漏极上具有不同硅化物的纳米线mosfet
CN113380710A (zh) * 2020-05-29 2021-09-10 台湾积体电路制造股份有限公司 半导体结构及其制造方法
WO2023103818A1 (en) * 2021-12-07 2023-06-15 International Business Machines Corporation Unipolar-fet implementation in stacked-fet cmos

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7884004B2 (en) * 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8614492B2 (en) * 2009-10-26 2013-12-24 International Business Machines Corporation Nanowire stress sensors, stress sensor integrated circuits, and design structures for a stress sensor integrated circuit
CN101777564B (zh) * 2009-12-24 2011-06-15 中国科学院上海微系统与信息技术研究所 一种具有垂直栅结构的soi cmos器件
US8399314B2 (en) * 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
WO2013101230A1 (en) 2011-12-30 2013-07-04 Intel Corporation Variable gate width for gate all-around transistors
US9048260B2 (en) 2011-12-31 2015-06-02 Intel Corporation Method of forming a semiconductor device with tall fins and using hard mask etch stops
FR2989515B1 (fr) * 2012-04-16 2015-01-16 Commissariat Energie Atomique Procede ameliore de realisation d'une structure de transistor a nano-fils superposes et a grille enrobante
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
WO2014018201A1 (en) * 2012-07-27 2014-01-30 Intel Corporation Nanowire transistor devices and forming techniques
US8658518B1 (en) 2012-08-17 2014-02-25 International Business Machines Corporation Techniques for metal gate work function engineering to enable multiple threshold voltage nanowire FET devices
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US20140091279A1 (en) * 2012-09-28 2014-04-03 Jessica S. Kachian Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
CN103730366B (zh) * 2012-10-16 2018-07-31 中国科学院微电子研究所 堆叠纳米线mos晶体管制作方法
US9054215B2 (en) 2012-12-18 2015-06-09 Intel Corporation Patterning of vertical nanowire transistor channel and gate with directed self assembly
US8890119B2 (en) 2012-12-18 2014-11-18 Intel Corporation Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
CN105229793B (zh) 2013-03-15 2019-04-30 英特尔公司 利用硬掩模层的纳米线晶体管制造
US8969149B2 (en) 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
US8962408B2 (en) 2013-06-04 2015-02-24 International Business Machines Corporation Replacement gate self-aligned carbon nanostructure transistor
KR102073967B1 (ko) * 2013-07-30 2020-03-02 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9171843B2 (en) 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US9257545B2 (en) * 2013-09-12 2016-02-09 Globalfoundries Inc. Stacked nanowire device with variable number of nanowire channels
KR102102829B1 (ko) * 2013-09-27 2020-04-22 인텔 코포레이션 실리콘 상의 이종 집적화를 위한 템플릿 엔지니어링을 통한 개선된 클래딩층 에피택시
CN104517847B (zh) * 2013-09-29 2017-07-14 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
KR102136234B1 (ko) * 2013-10-03 2020-07-21 인텔 코포레이션 나노와이어 트랜지스터들을 위한 내부 스페이서들 및 그 제조 방법
US10586868B2 (en) * 2013-12-19 2020-03-10 Intel Corporation Non-planar semiconductor device having hybrid geometry-based active region
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9601576B2 (en) 2014-04-18 2017-03-21 International Business Machines Corporation Nanowire FET with tensile channel stressor
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
CN104037159B (zh) * 2014-06-19 2017-01-25 北京大学 一种半导体结构及其形成方法
US9735152B2 (en) * 2014-06-26 2017-08-15 Globalfoundries Inc. Non-planar structure with extended exposed raised structures and same-level gate and spacers
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US10199502B2 (en) * 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9461149B2 (en) * 2014-09-12 2016-10-04 Globalfoundries Inc. Nanowire structure with selected stack removed for reduced gate resistance and method of fabricating same
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9496338B2 (en) * 2015-03-17 2016-11-15 International Business Machines Corporation Wire-last gate-all-around nanowire FET
KR102317646B1 (ko) 2015-04-14 2021-10-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9431388B1 (en) 2015-04-29 2016-08-30 Globalfoundries Inc. Series-connected nanowire structures
US9437502B1 (en) 2015-06-12 2016-09-06 International Business Machines Corporation Method to form stacked germanium nanowires and stacked III-V nanowires
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
EP3127862B1 (en) * 2015-08-06 2018-04-18 IMEC vzw A method of manufacturing a gate-all-around nanowire device comprising two different nanowires
US9954083B2 (en) * 2015-08-20 2018-04-24 International Business Machines Corporation Semiconductor structures having increased channel strain using fin release in gate regions
US9614068B2 (en) 2015-09-02 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US9608099B1 (en) 2015-09-22 2017-03-28 International Business Machines Corporation Nanowire semiconductor device
US9887269B2 (en) * 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US10074730B2 (en) 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US9614040B1 (en) 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
US9748404B1 (en) 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
US9685539B1 (en) 2016-03-14 2017-06-20 International Business Machines Corporation Nanowire isolation scheme to reduce parasitic capacitance
CN109564934B (zh) * 2016-04-25 2023-02-21 应用材料公司 水平环绕式栅极元件纳米线气隙间隔的形成
US9735269B1 (en) * 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
US10134905B2 (en) 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
US10236362B2 (en) 2016-06-30 2019-03-19 International Business Machines Corporation Nanowire FET including nanowire channel spacers
WO2018017677A1 (en) * 2016-07-19 2018-01-25 Tokyo Electron Limited Three-dimensional semiconductor device and method of fabrication
US9997598B2 (en) * 2016-08-08 2018-06-12 Tokyo Electron Limited Three-dimensional semiconductor device and method of fabrication
US10332986B2 (en) 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US9704863B1 (en) 2016-09-09 2017-07-11 International Business Machines Corporation Forming a hybrid channel nanosheet semiconductor structure
CN106252352B (zh) * 2016-09-30 2019-03-29 中国科学院微电子研究所 半导体设置及其制造方法及包括该设置的电子设备
US10340340B2 (en) 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
US10177226B2 (en) 2016-11-03 2019-01-08 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
US9972542B1 (en) 2017-01-04 2018-05-15 International Business Machines Corporation Hybrid-channel nano-sheet FETs
US9825143B1 (en) * 2017-01-09 2017-11-21 International Business Machines Corporation Single spacer tunnel on stack nanowire
KR102363250B1 (ko) * 2017-03-23 2022-02-16 삼성전자주식회사 반도체 소자
US10319813B2 (en) * 2017-03-27 2019-06-11 International Business Machines Corporation Nanosheet CMOS transistors
KR102400558B1 (ko) * 2017-04-05 2022-05-20 삼성전자주식회사 반도체 소자
US10930793B2 (en) 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
US10090193B1 (en) * 2017-11-16 2018-10-02 Globalfoundries Inc. Integrated circuit structure incorporating a stacked pair of field effect transistors and a buried interconnect and method
US10192819B1 (en) 2017-11-16 2019-01-29 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors
US10304832B1 (en) 2017-11-16 2019-05-28 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors and method
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
CN109994547B (zh) * 2017-12-29 2022-03-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10770479B2 (en) * 2018-03-19 2020-09-08 Tokyo Electron Limited Three-dimensional device and method of forming the same
US10483166B1 (en) 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
US10388569B1 (en) 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
US10615256B2 (en) * 2018-06-27 2020-04-07 International Business Machines Corporation Nanosheet transistor gate structure having reduced parasitic capacitance
US10714392B2 (en) 2018-07-18 2020-07-14 International Business Machines Corporation Optimizing junctions of gate all around structures with channel pull back
US11043493B2 (en) 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US10892331B2 (en) 2019-06-05 2021-01-12 International Business Machines Corporation Channel orientation of CMOS gate-all-around field-effect transistor devices for enhanced carrier mobility
US11315936B2 (en) * 2019-08-29 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11355363B2 (en) 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
FR3105579A1 (fr) 2019-12-23 2021-06-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Dispositif comprenant des transistors à grille enrobante et procédé de fabrication d’un tel dispositif
US11545497B2 (en) 2019-12-31 2023-01-03 Tokyo Electron Limited CFET SRAM bit cell with three stacked device decks
CN114256231A (zh) 2020-09-24 2022-03-29 联华电子股份有限公司 半导体装置以及其制作方法
KR20220051884A (ko) 2020-10-19 2022-04-27 삼성전자주식회사 반도체 소자
TW202329256A (zh) * 2021-10-21 2023-07-16 日商東京威力科創股份有限公司 半導體裝置的製造方法及半導體裝置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06291269A (ja) * 1993-04-06 1994-10-18 Sony Corp 電界効果トランジスタ
CA2313242C (en) * 1997-12-19 2008-10-14 British Telecommunications Public Limited Company Data communications
JP2002184993A (ja) * 2000-12-11 2002-06-28 Sony Corp 半導体装置
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
US8004876B2 (en) * 2002-08-30 2011-08-23 Hewlett-Packard Development Company, L.P. Configurable molecular switch array
US7067867B2 (en) * 2002-09-30 2006-06-27 Nanosys, Inc. Large-area nonenabled macroelectronic substrates and uses therefor
JP4434575B2 (ja) * 2002-12-13 2010-03-17 キヤノン株式会社 熱電変換素子及びその製造方法
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US7176041B2 (en) * 2003-07-01 2007-02-13 Samsung Electronics Co., Ltd. PAA-based etchant, methods of using same, and resultant structures
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
JP2005354023A (ja) * 2004-05-14 2005-12-22 Seiko Epson Corp 半導体装置および半導体装置の製造方法
JP4796329B2 (ja) * 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
DE102005026228B4 (de) * 2004-06-08 2010-04-15 Samsung Electronics Co., Ltd., Suwon Transistor vom GAA-Typ und Verfahren zu dessen Herstellung
TWI283066B (en) * 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7462890B1 (en) * 2004-09-16 2008-12-09 Atomate Corporation Nanotube transistor integrated circuit layout
KR100583391B1 (ko) * 2004-10-14 2006-05-26 한국과학기술원 이층 구조로 된 핀 전계 효과 트랜지스터 및 씨모스인버터의 형성 방법 및 그 구조
US7518195B2 (en) * 2004-10-21 2009-04-14 Commissariat A L'energie Atomique Field-effect microelectronic device, capable of forming one or several transistor channels
US7465973B2 (en) * 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
KR100699839B1 (ko) * 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
KR100618900B1 (ko) * 2005-06-13 2006-09-01 삼성전자주식회사 다중 채널을 갖는 모스 전계효과 트랜지스터의 제조방법 및그에 따라 제조된 다중 채널을 갖는 모스 전계효과트랜지스터
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7354831B2 (en) * 2005-08-08 2008-04-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
US7608877B2 (en) 2005-12-06 2009-10-27 Canon Kabushiki Kaisha Circuit device having capacitor and field effect transistor, and display apparatus therewith
KR100718159B1 (ko) * 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
KR100781580B1 (ko) * 2006-12-07 2007-12-03 한국전자통신연구원 이중 구조 핀 전계 효과 트랜지스터 및 그 제조 방법
JP4310399B2 (ja) * 2006-12-08 2009-08-05 株式会社東芝 半導体装置及びその製造方法
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP4381428B2 (ja) * 2007-04-10 2009-12-09 シャープ株式会社 微細構造体の配列方法及び微細構造体を配列した基板、並びに集積回路装置及び表示素子
JP4966153B2 (ja) * 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture

Cited By (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104115273A (zh) * 2011-12-19 2014-10-22 英特尔公司 高电压场效应晶体管
US10263074B2 (en) 2011-12-19 2019-04-16 Intel Corporation High voltage field effect transistors
CN104115273B (zh) * 2011-12-19 2017-10-13 英特尔公司 高电压场效应晶体管
US9685508B2 (en) 2011-12-19 2017-06-20 Intel Corporation High voltage field effect transistors
CN109065611A (zh) * 2011-12-23 2018-12-21 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
CN104137237A (zh) * 2011-12-23 2014-11-05 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
CN104126221B (zh) * 2011-12-23 2017-02-15 英特尔公司 具有调制的纳米线数目的半导体器件
CN104011849B (zh) * 2011-12-23 2016-12-28 英特尔公司 Cmos纳米线结构
US11552197B2 (en) 2011-12-23 2023-01-10 Google Llc Nanowire structures having non-discrete source and drain regions
US10074573B2 (en) 2011-12-23 2018-09-11 Intel Corporation CMOS nanowire structure
CN106847875A (zh) * 2011-12-23 2017-06-13 英特尔公司 非平面栅极全包围器件及其制造方法
CN106847875B (zh) * 2011-12-23 2021-04-20 索尼公司 非平面栅极全包围器件及其制造方法
US10580899B2 (en) 2011-12-23 2020-03-03 Intel Corporation Nanowire structures having non-discrete source and drain regions
CN104011849A (zh) * 2011-12-23 2014-08-27 英特尔公司 Cmos纳米线结构
CN104137237B (zh) * 2011-12-23 2018-10-09 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
CN103258738B (zh) * 2012-02-20 2016-02-17 中芯国际集成电路制造(上海)有限公司 超晶格纳米线场效应晶体管及其形成方法
CN103258738A (zh) * 2012-02-20 2013-08-21 中芯国际集成电路制造(上海)有限公司 超晶格纳米线场效应晶体管及其形成方法
CN103295878A (zh) * 2012-02-27 2013-09-11 中芯国际集成电路制造(上海)有限公司 一种多层纳米线结构的制造方法
CN103295878B (zh) * 2012-02-27 2016-05-25 中芯国际集成电路制造(上海)有限公司 一种多层纳米线结构的制造方法
CN102623321A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于体硅的纵向堆叠式后栅型SiNWFET制备方法
CN102610529A (zh) * 2012-03-31 2012-07-25 上海华力微电子有限公司 基于体硅的三维阵列式后栅型SiNWFET制备方法
CN102623347A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于体硅的三维阵列式SiNWFET制备方法
CN102623347B (zh) * 2012-03-31 2014-10-22 上海华力微电子有限公司 基于体硅的三维阵列式SiNWFET制备方法
CN102683294A (zh) * 2012-05-03 2012-09-19 上海华力微电子有限公司 制备SOI上双层隔离混合晶向后栅型反型模式SiNWFET的方法
CN102683283B (zh) * 2012-05-03 2014-10-15 上海华力微电子有限公司 一种双层隔离混合晶向应变硅纳米线cmos制备方法
CN102683294B (zh) * 2012-05-03 2014-06-04 上海华力微电子有限公司 制备SOI上双层隔离混合晶向后栅型反型模式SiNWFET的方法
CN102683283A (zh) * 2012-05-03 2012-09-19 上海华力微电子有限公司 一种双层隔离混合晶向应变硅纳米线cmos制备方法
CN103426764B (zh) * 2012-05-24 2015-12-09 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
CN103426764A (zh) * 2012-05-24 2013-12-04 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
TWI618127B (zh) * 2012-09-27 2018-03-11 英特爾股份有限公司 具有含低帶隙包覆層之通道區的非平面半導體裝置
CN103972235A (zh) * 2013-01-28 2014-08-06 国际商业机器公司 电子器件及其形成方法
US9461113B2 (en) 2013-02-08 2016-10-04 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangements and methods of manufacturing the same
CN103985751B (zh) * 2013-02-08 2016-12-28 中国科学院微电子研究所 半导体设置及其制造方法
CN103985751A (zh) * 2013-02-08 2014-08-13 中国科学院微电子研究所 半导体设置及其制造方法
WO2014121536A1 (zh) * 2013-02-08 2014-08-14 中国科学院微电子研究所 半导体设置及其制造方法
US11004847B2 (en) 2013-08-01 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
CN104347630B (zh) * 2013-08-01 2017-05-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US9704861B2 (en) 2013-08-01 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US10777554B2 (en) 2013-08-01 2020-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
CN104347630A (zh) * 2013-08-01 2015-02-11 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN110620142A (zh) * 2013-11-20 2019-12-27 台湾积体电路制造股份有限公司 源极和漏极上具有不同硅化物的纳米线mosfet
CN110620142B (zh) * 2013-11-20 2022-11-04 台湾积体电路制造股份有限公司 源极和漏极上具有不同硅化物的纳米线mosfet
CN104701376B (zh) * 2013-12-10 2018-06-05 台湾积体电路制造股份有限公司 替换栅极纳米线器件
CN104701376A (zh) * 2013-12-10 2015-06-10 台湾积体电路制造股份有限公司 替换栅极纳米线器件
CN103700660A (zh) * 2013-12-11 2014-04-02 中国科学院上海微系统与信息技术研究所 一种全环栅cmos场效应晶体管和制备方法
CN106796393A (zh) * 2014-09-08 2017-05-31 高通股份有限公司 用于垂直结构的半节点缩放
CN106796393B (zh) * 2014-09-08 2020-03-03 高通股份有限公司 用于垂直结构的半节点缩放
CN108987274A (zh) * 2017-06-05 2018-12-11 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN108987274B (zh) * 2017-06-05 2021-07-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
WO2019095874A1 (zh) * 2017-11-20 2019-05-23 中国科学院上海微系统与信息技术研究所 场效应晶体管结构及其制备方法
CN113380710A (zh) * 2020-05-29 2021-09-10 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN113380710B (zh) * 2020-05-29 2024-04-30 台湾积体电路制造股份有限公司 半导体结构及其制造方法
WO2023103818A1 (en) * 2021-12-07 2023-06-15 International Business Machines Corporation Unipolar-fet implementation in stacked-fet cmos

Also Published As

Publication number Publication date
KR101081066B1 (ko) 2011-11-07
US8466451B2 (en) 2013-06-18
JP2010272859A (ja) 2010-12-02
KR20100126188A (ko) 2010-12-01
US20120138888A1 (en) 2012-06-07
US8084308B2 (en) 2011-12-27
CN101894842B (zh) 2012-09-12
US20100295021A1 (en) 2010-11-25
JP5607420B2 (ja) 2014-10-15

Similar Documents

Publication Publication Date Title
CN101894842B (zh) 场效应晶体管反相器以及制造方法
CN102428564B (zh) 具有多个阈值电压的纳米线网的场效应晶体管
US8994108B2 (en) Diode structure and method for wire-last nanomesh technologies
US10170609B2 (en) Internal spacer formation from selective oxidation for Fin-first wire-last replacement gate-all-around nanowire FET
JP5669954B2 (ja) 高K/金属ゲートMOSFETを有するVt調整及び短チャネル制御のための構造体及び方法。
US8551833B2 (en) Double gate planar field effect transistors
US9941282B2 (en) Integrated metal gate CMOS devices
US10256161B2 (en) Dual work function CMOS devices
US10998441B2 (en) Strained silicon complementary metal oxide semiconductor including a silicon containing tensile n-type fin field effect transistor and silicon containing compressive p-type fin field effect transistor formed using a dual relaxed substrate
CN107039514A (zh) Iii‑v族纳米线隧穿fet的方法及结构
US9362177B1 (en) Nanowire semiconductor device
US9859174B1 (en) Sidewall image transfer structures
CN113540246A (zh) 一种堆叠纳米线/片器件及其制备方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171107

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171107

Address after: American New York

Patentee after: Core USA second LLC

Address before: New York grams of Armand

Patentee before: International Business Machines Corp.