CN106847875B - 非平面栅极全包围器件及其制造方法 - Google Patents

非平面栅极全包围器件及其制造方法 Download PDF

Info

Publication number
CN106847875B
CN106847875B CN201611070116.8A CN201611070116A CN106847875B CN 106847875 B CN106847875 B CN 106847875B CN 201611070116 A CN201611070116 A CN 201611070116A CN 106847875 B CN106847875 B CN 106847875B
Authority
CN
China
Prior art keywords
lattice constant
embedded
nanowire
drain
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201611070116.8A
Other languages
English (en)
Other versions
CN106847875A (zh
Inventor
W·拉赫马迪
R·皮拉里塞泰
V·H·勒
J·T·卡瓦列罗斯
R·S·周
J·S·卡治安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to CN201611070116.8A priority Critical patent/CN106847875B/zh
Publication of CN106847875A publication Critical patent/CN106847875A/zh
Application granted granted Critical
Publication of CN106847875B publication Critical patent/CN106847875B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Abstract

说明了一种非平面栅极全包围器件及其制造方法。在一个实施例中,器件包括衬底,所述衬底包含具有第一晶格常数的顶部表面。嵌入式外延源极区和嵌入式外延漏极区形成在所述衬底的顶部表面上。嵌入式外延源极区和嵌入式外延漏极区具有与所述第一晶格常数不同的第二晶格常数。具有第三晶格的沟道纳米线形成于嵌入式外延源极区和嵌入式外延漏极区之间,并与它们耦合。在一个实施例中,第二晶格常数和第三晶格常数与第一晶格常数不同。沟道纳米线包括最底部的沟道纳米线,底部栅极隔离物形成于最底部的沟道纳米线下方的衬底的顶部表面上。栅极电介质层形成于每一条沟道纳米线之上和周围。栅极电极形成于栅极电介质层上,并围绕每一条沟道纳米线。

Description

非平面栅极全包围器件及其制造方法
本申请为分案申请,其原申请的申请日是2014年8月22日,申请号为201180076433.X,发明名称为“非平面栅极全包围器件及其制造方法”。
技术领域
本发明的实施例涉及半导体器件领域,更具体地,涉及非平面栅极全包围器件及其制造方法。
背景技术
集成器件制造商不断收缩晶体管器件的特征尺寸,以实现更大的电路密度和更高的性能,对于下一代器件,需要增强晶体管驱动电流,同时减小短沟道效应,例如寄生电容和截止状态泄漏。增大晶体管驱动电流的一个方式是使用高载流子迁移率半导体材料以形成沟道。沟道中的高载流子迁移率支持较高晶体管驱动电流。载流子迁移率是载流子在外部单位电场下流入半导体材料的速度的测量。半导体基体上的过程感应应力(有时称为应力)是增大驱动电流的另一个方式。在半导体基体上感应应力增强了载流子迁移率,从而增大了晶体管器件中的驱动电流。
诸如三栅极晶体管的非平面晶体管是半导体工艺中用于控制短沟道效应的最近发展。就三栅极晶体管来说,栅极与沟道区的三个侧相邻。因为栅极结构围绕三个表面上的鳍状物,晶体管基本上具有三个栅极,控制通过鳍状物或沟道区的电流。由于更陡峭的亚阈值电流摆动(SS)和较小的漏极感应势垒降低(DIBL),这三个栅极允许鳍状物中更充分的耗尽,导致较小的短沟道效应。不幸的是,第四个侧,沟道的底部远离栅极电极,因而不受附近的栅极控制。由于晶体管尺寸不断缩小到亚20-25nm技术节点,在源极与漏极之间的寄生泄漏路径对于三栅极晶体管成为了问题。
附图说明
在附图的图中示例性而非限制性地示出了本公开内容的实施例,其中:
图1A至1D示出了根据本发明实施例的具有嵌入式外延层源极区和漏极区的非平面栅极全包围器件。
图1E是没有嵌入式源极区和漏极区的非平面栅极全包围器件的图示。
图2是根据本发明实施例的表示形成非平面栅极全包围器件的方法中的步骤的流程图。
图3A至3M示出了根据本发明实施例的表示形成非平面栅极全包围器件的方法中的步骤的三维试图和二维视图。
图4示出了根据本发明的一个实现方式的计算设备400。
具体实施方式
本发明是创新的栅极全包围晶体管及制造方法。在以下说明中,阐述了多个细节以便提供对本发明的透彻理解。但显然,对于本领域技术人员来说,可以无需这些特定细节来实践本发明。在其他实例中,没有详细说明公知的半导体工艺和制造技术,以避免使得本发明模糊不清。在本说明书通篇中对“实施例”的提及表示结合该实施例说明的特定的特征、结构、功能或特性包括在本发明的至少一个实施例中。因而,说明书中多处出现的短语“在实施例中”不一定全都指代本发明同一实施例。而且,特定的特征、结构、功能或特性可以以任意适合的方式组合到一个或多个实施例中。例如,第一实施例可以与第二实施例组合,只要两个实施例不相互排斥。
本发明的实施例包括非平面栅极全包围晶体管器件,所述非平面栅极全包围晶体管器件具有由栅极电介质层和栅极电极完全包围的沟道纳米线。具有完全围绕沟道纳米线的栅极电极增大了栅极控制,由于完全切断了寄生泄漏路径而导致改进的短沟道效应。沟道纳米线布置在源极与漏极区之间。在本发明的一个或多个实施例中,沟道纳米线由未掺杂锗组成,并且受单轴晶格应力。未掺杂锗提供了比传统硅更高的载流子迁移率,单轴晶格应力进一步增强了沟道纳米线中的载流子迁移率,从而实现了极高的晶体管器件驱动电流。在本发明的实施例中,通过蚀刻掉与沟道纳米线相邻的鳍状物的部分,随后从衬底外延生长半导体材料以形成“嵌入式外延”源极区和漏极区来形成源极区和漏极区。嵌入式外延源极区和嵌入式外延漏极区对沟道纳米线提供了额外的力或支撑点,这有助于维持或增强,或者维持和增强沟道纳米线中的单轴晶格应力。另外,在本发明的实施例中,栅极全包围晶体管包括形成于衬底与底部沟道纳米线之间的底部栅极隔离层,以使得可以在无需容性耦合到衬底的情况下,完全包围底部沟道纳米线形成栅极电极。本发明的一个或多个实施例可以包括非平面栅极全包围晶体管器件,其中,具有嵌入式外延源极区和嵌入式外延漏极区或形成于衬底与底部沟道纳米线之间的底部栅极隔离层之一或者二者。
图1A至1D示出了根据本发明实施例的非平面栅极全包围器件100。图1A是电介质层101内的器件100的三维俯视/侧视图,图1B是通过嵌入式外延源极106和漏极107得到的横截面视图,图1C是通过栅极电极118得到的横截面视图。图1D是无电介质层101的器件100的三维俯视/侧视图。器件100包括衬底102,具有顶部表面104。嵌入式外延源极106和漏极107区布置在衬底102的顶部表面104上,沟道纳米线110耦合在嵌入式外延源极106和漏极107区之间。嵌入式外延源极106和漏极107区可以统称为嵌入式外延源极/漏极对。栅极电介质层116形成于每一条沟道纳米线110上并完全包围它,除了在沟道纳米线110的端部,在此,沟道纳米线110耦合到嵌入式外延源极106和漏极107区。栅极电极118形成于栅极电介质层116上,并完全围绕每一条沟道纳米线110。
在实施例中,衬底102的顶部表面104、嵌入式外延源极106和漏极107区和沟道纳米线110分别包括具有一晶格常数的材料。顶部表面104的晶格常数与嵌入式外延源极106和漏极107区和沟道纳米线110的晶格常数不同。在一个特定实施例中,嵌入式外延源极106和漏极107区和沟道纳米线110的晶格常数大于顶部表面104的晶格常数。在一个此类实施例中,衬底102的顶部表面104是硅锗,沟道纳米线110是未掺杂锗,嵌入式外延源极106和漏极107区是锗。在嵌入式外延源极106和漏极107区、沟道纳米线110与顶部表面104之间的晶格失配(例如晶格常数失配)导致沟道纳米线110与嵌入式外延源极106和漏极107区中的晶格应力。在一个实施例中,沟道纳米线110与嵌入式外延源极106和漏极107区在平行于沟道纳米线110的长度120的方向上被施加单轴晶格应力,在垂直于沟道纳米线110的长度120的方向上受晶格弛豫。在实施例中,在顶部表面104与嵌入式外延源极106和漏极107区之间的晶格常数失配还导致嵌入式外延源极106区和漏极107区对沟道纳米线110提供力。这个力可以有助于维持沟道纳米线110中的单轴晶格应力。
在实施例中,沟道纳米线110可以包括载流子迁移率大于单晶体硅的单晶材料。较高的载流子迁移率允许器件100实现较高的驱动电流和较大的性能。在一个特定实施例中,沟道纳米线110是未掺杂锗(Ge)。没有掺杂剂使得电荷载流子的散射最小且有助于使得沟道纳米线110中的载流子迁移率最大。
在本发明的实施例中,如图1A和1B所示的,嵌入式外延源极106和漏极107区可以布置在源极/漏极沟道108中,其中衬底102的顶部表面104被凹陷到浅沟槽隔离层105的顶部表面之下。在源极/漏极沟槽108中形成嵌入式外延源极106和漏极107区有助于限制嵌入式外延源极106和漏极107区的生长。但嵌入式源极106和漏极107区不一定形成于沟槽中,可以在衬底102的顶部表面104上,它与隔离区103在同一平面或在其之上。嵌入式外延源极106和漏极107区可以是<111>-刻面,其中,在嵌入式外延源极106和漏极107区的底部的宽度122大于在顶部的宽度124。在这个实施例中,对应于侧壁126和128的平面是嵌入式外延源极106和漏极107区的<111>晶格取向。
在实施例中,器件100包括底部栅极隔离物114,所述底部栅极隔离物114布置在衬底102的顶部表面104上且在最底部的沟道纳米线115之下。底部栅极隔离物114充当容性隔离势垒,以防止衬底102的顶部表面104通过栅极电极118寄生耦合。底部栅极隔离物114作为容性隔离势垒的有效性取决于形成它的材料及其厚度。在一个实施例中,底部栅极隔离物114由任何电介质材料形成(例如,氧化硅、氮化硅、氮氧化硅、低k电介质材料等),其防止衬底102的顶部表面104通过栅极电极118的寄生耦合。在一个特定实施例中,底部栅极隔离物114由氧化硅层组成。在一个实施例中,底部栅极隔离物的厚度足以隔离衬底102的顶部表面104免于通过栅极电极118的容性耦合。在一个特定实施例中,底部栅极隔离物114在约
Figure BDA0001165023410000041
之间。底部栅极隔离物114使得最底部的沟道纳米线115能够由栅极电极118完全包围。如果底部栅极隔离物114不存在,则底部沟道纳米线115就需要由三栅极或类似的结构控制,以便防止在栅极电极118与衬底102的顶部表面之间的容性耦合,从而避免在“导通”器件时在衬底中形成不期望有的导电沟道。
在本发明的实施例中,衬底102可以包括一个或多个生长在不同的晶体衬底(硅、锗、砷化镓、兰宝石等)顶上的外延单晶半导体层(例如,硅、锗、硅锗、砷化镓、磷化铟、砷化铟镓、砷化铝镓等)。在一个此类实施例中,外延生长的半导体层是一个或多个缓冲层109,具有与不同晶体衬底的晶格常数不同。缓冲层109可以用于分级从不同晶体衬底到顶部表面104的晶格常数。例如,衬底102可以包括在不同晶体硅衬底上外延生长的硅锗(SiGe)缓冲层109。SiGe缓冲层109的锗浓度可以从在最底部的缓冲层的30%的锗增大到在最顶部的缓冲层的70%的锗,从而逐渐增大晶格常数。
在实施例中,浅沟槽隔离(STI)区103可以布置在衬底102上。STI区103用于减小在彼此相邻形成的器件100之间的电流泄漏。STI层105可以布置在STI区103中。STI层105可以包括任何公知的电介质材料,例如但不限于,氧化硅、氮化硅、氮氧化硅、低k电介质,及其任何组合。
如图1B所示的,沟道纳米线110形成在衬底102的顶部表面104上并在嵌入式外延源极106区与嵌入式外延漏极107区之间。沟道纳米线110可以由任何公知的材料构成,例如但不限于,Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、InP和碳纳米管。沟道纳米线110可以由任何公知的材料构成,其可以通过施加外电场从绝缘状态相对地改变为导电状态。理论上,为了较高的器件性能,在另一个实施例中,沟道纳米线110由未掺杂受晶格应力的单晶半导体材料构成,其具有大于单晶硅的载流子迁移率。如前解释的,沟道纳米线110中没有掺杂剂使得电荷载流子的散射最小,有助于使得载流子迁移率最大,从而增大驱动电流。沟道纳米线110中的晶格应力还增强了载流子迁移率并改进了器件性能。典型地,沟道纳米线受压缩应力,用于p型晶体管器件中增强的空穴迁移率,和受拉伸应力,用于n型晶体管器件中增强的电子迁移率。在一个实施例中,沟道纳米线110在平行于沟道纳米线110的长度120的方向上受单轴晶格应力,但在垂直于沟道纳米线110的长度120的方向上受晶格弛豫。在另一个实施例中,沟道纳米线110可以是掺杂的单晶半导体材料。例如,纳米线110可以由掺杂单晶硅构成。在掺杂沟道纳米线110时,典型地在形成NOMS晶体管器件时将沟道纳米线110掺杂为p型导电类型,且在形成POMS晶体管器件时将沟道纳米线110掺杂为n型导电类型。
如图1B所示的,沟道纳米线110可以与顶部表面104平行地延伸并形成沟道纳米线110的垂直阵列。在一个实施例中,在嵌入式外延源极106和漏极107区之间的沟道纳米线的数量是3到6条。更大数量的沟道纳米线110允许通过器件100的更大的驱动电流。沟道纳米线110具有厚度130、宽度132和长度120。在本发明的一个实施例中,厚度130在约5-30nm之间,宽度132在约5-50nm之间,长度120在10-100nm之间。在一个实施例中,沟道纳米线110可以是带状纳米线,其中,沟道纳米线的宽度132大于厚度130。在进一步的实施例中,沟道纳米线110的横截面可以是圆形或椭圆形,而不是矩形的。沟道纳米线的长度120实质上限定晶体管器件100的栅极长度(Lg)。沟道纳米线110的有效栅极“宽度”(Wg)是沟道纳米线110的周长。例如,对于具有矩形横截面的沟道纳米线,沟道纳米线110的有效栅极“宽度”是沟道纳米线110的宽度132的两倍与厚度130的两倍的总和。晶体管器件100的有效栅极“宽度”(Wg)是沟道纳米线110的周长的总和。
如图1B所示的,嵌入式外延源极106区和嵌入式外延漏极107区形成于沟道纳米线110的相对端部上并耦合到沟道纳米线110。嵌入式外延源极106和漏极107区可以由具有晶格常数的任何公知的材料构成。理论上,嵌入式外延源极106和漏极107区由外延生长的单晶半导体构成,例如但不限于,Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP和InP。在一个实施例中,嵌入式外延源极106和漏极107区由具有不同于衬底102的顶部表面104的晶格常数的单晶半导体材料构成。如前所述的,在嵌入式外延源极106和漏极107区与衬底102的顶部表面104之间的晶格常数失配在嵌入式外延源极106和漏极107区中产生晶格应力,从而改进了电子迁移率和晶体管性能。在一个实施例中,嵌入式外延源极106和漏极107区在平行于长度120的方向上被施加单轴晶格应力,在垂直于长度120的方向上受晶格弛豫。在嵌入式外延源极106和漏极107区与衬底102的顶部表面104之间的晶格常数失配还导致嵌入式外延源极106和漏极107区在沟道纳米线110上施加力,这有助于维持沟道纳米线110中的晶格应力。在实施例中,嵌入式外延源极106区和嵌入式外延漏极107区由与用于构成沟道纳米线110相同的单晶半导体材料构成。
在特定实施例中,嵌入式外延源极106和漏极107区的晶格常数大于衬底102的顶部表面104的晶格常数。在这个实施例中,嵌入式外延源极106区和嵌入式外延漏极107区受压缩应力,并对沟道纳米线110提供压缩力。在一个特定实施例中,嵌入式外延源极106和漏极107区是外延单晶锗,衬底102的顶部表面104是外延单晶硅锗。锗源极106和漏极107区在沟道纳米线110上施加压缩力。在一个实施例中,半导体衬底102的顶部表面104可以由具有第一晶格常数的半导体材料构成(例如硅锗),沟道纳米线110由具有大于第一晶格常数的第二晶格常数的第二半导体材料构成(例如锗),嵌入式外延源极106和漏极107区可以由具有第三晶格常数的第三半导体材料构成(例如砷化镓(GaAs)),第三晶格常数大于沟道纳米线110的晶格常数(第二晶格常数),以进一步增强沟道纳米线110中的压缩应力。
在另一个实施例中,嵌入式外延源极106和漏极107区的晶格常数小于衬底102的顶部表面104的晶格常数。在这个实施例中,嵌入式外延源极106和漏极107区受拉伸应力,并对沟道纳米线110提供拉伸力。在一个实施例中,半导体衬底102的顶部表面104可以由具有第一晶格常数的单晶半导体材料构成,沟道纳米线110由具有小于第一晶格常数的第二晶格常数的第二半导体材料构成,嵌入式外延源极106和漏极107区可以由具有第三晶格常数的第三半导体材料构成,第三晶格常数小于沟道纳米线110的晶格常数(第二晶格常数)以进一步增强沟道纳米线110中的拉伸应力。
典型地,嵌入式外延源极106和漏极107在构成NMOS晶体管器件时被构成为n型导电类型,且在构成PMOS晶体管器件时被构成为p型导电类型。在本发明的一个实施例中,嵌入式外延源极106和漏极107区具有在1E18个原子/cm3到1E21个原子/cm3之间的掺杂浓度。嵌入式外延源极106和漏极107区可以形成为具有一致的浓度,或者可以包括不同浓度或掺杂分布图的子区域。在一个实施例中,在将器件100形成为对称晶体管时,嵌入式外延源极106和漏极107区具有相同的掺杂浓度和分布图。在另一个实施例中,将器件100形成为非对称晶体管,且嵌入式外延源极106和嵌入式外延漏极107区的掺杂浓度分布图可以变化,以便实现本领域中公知的任何特定电气特性。
将源极106和漏极107区称为嵌入式外延源极106区和嵌入式外延漏极107区,因为它们形成为如以下将更详细说明的那样,通过首先去除鳍状物的用于产生受应力的沟道纳米线110的部分,随后外延生长源极和漏极对。例如,在一个实施例中,去除用于产生受应力的纳米线110的部分鳍状物,随后从衬底102的顶部表面104外延生长源极和漏极对。外延沉积的源极和漏极对的晶格从衬底的顶部表面104的晶格继续。即下层衬底的晶格指定上覆盖的嵌入式外延源极106和漏极107区的晶格方向和生长。嵌入式外延源极106和漏极107区的使用通过向沟道纳米线提供附加力并通过向沟道纳米线提供支撑点,以帮助维持由诸如鳍状物构图之类的较早制造过程而已经存在的沟道纳米线110中的单轴应力来改进器件性能。嵌入式外延源极区和嵌入式外延漏极区受应力,因而进一步向相邻纳米线沟道施加应力。可以通过使用具有与用于形成沟道纳米线的半导体材料不同晶格常数的半导体材料来进一步增强沟道纳米线中的应力。
另外,尽管半导体器件100理论上包括嵌入式外延源极106和漏极107区以增强沟道纳米线110中的应变,但实施例不一定包括嵌入式源极区和嵌入式漏极区。在本发明的一个实施例中,如图1E所示的,晶体管150可以包括源极156和漏极157区,由用于产生受单轴应力的沟道纳米线110的鳍状物膜叠层形成。例如,源极156和漏极157区可以由用于产生受应力的沟道纳米线110的半导体材料160和牺牲材料170(例如分别为锗和硅锗)及衬底102的交替层构成。在此情况下,源极156和漏极157区由单晶半导体薄膜的异质叠层构成。如本领域公知的,可以将源极156和漏极157区掺杂为期望的导电类型和程度。另外,如果期望的话,可以通过在源极156区和漏极157区上沉积额外的外延半导体材料(未示出)来形成升高的源极区和漏极区,以增大源极区和漏极区的厚度并降低电流拥挤,从而减小器件的接触电阻。晶体管150包括栅极隔离物114,用以隔离在最底部的纳米线115以下的栅极118免于与衬底102的容性耦合。
如图1B和1C所示的,栅极电介质层116形成于每一条沟道纳米线110上并完全包围每一条沟道纳米线110。栅极电介质层116可以是任何公知的栅极电介质层,例如但不限于,SiO2、SiON和SiN。在一个实施例中,栅极电介质层116是高k栅极电介质层,例如金属氧化物电介质(例如Ta2O5、TiO2、HfO2、HfSiOx、ZrO2等)。栅极电介质层116也可以是其他类型的高k电介质层,例如但不限于PZT和BST。栅极电介质层也可以是以上电介质材料的任何组合。栅极电介质层116可以形成为约
Figure BDA0001165023410000091
之间的厚度。在特定实施例中,栅极电介质层116是HfO2且形成为约1-6纳米之间的厚度。
栅极电极118形成于栅极电介质层116上且完全围绕每一条沟道纳米线110。栅极电极118在垂直于沟道纳米线110的长度120的方向上延伸。栅极电极118可以由任何适合的栅极电极材料构成。在一个实施例中,栅极电极118可以是金属栅极电极,例如但不限于,Ti、TiN、TaN、W、Ru、TiAl及其任意组合。在器件100是NMOS晶体管器件的实施例中,栅极电极118由具有在3.9-4.2eV之间的逸出功的材料构成。在器件PMOS晶体管器件的实施例中,栅极电极118由具有在4.8-5.2eV之间的逸出功的材料构成。在器件100中的沟道纳米线110未掺杂或极轻掺杂的实施例中,栅极电极118可以由具有在4.3-4.7eV之间的中能隙逸出功的材料构成。在特定实施例中,栅极电极118是TiAl。
因为栅极电极118和栅极电介质层116完全围绕每一条沟道纳米线110,器件100可以是以完全耗尽方式工作的晶体管,其中,当器件100“导通”时,沟道纳米线110完全耗尽,从而提供完全耗尽的晶体管器件的有利电气特性和性能。当器件100“导通”时,连同在每一条沟道纳米线的表面的反型层一起在每一条沟道纳米线110中形成耗尽区。反型层具有与嵌入式外延源极106和漏极107区相同的导电类型,在嵌入式外延源极106和漏极107区之间形成导电沟道以允许电流在其之间流动。耗尽区耗尽了来自反型层下面的自由载流子。除了反型层以外,每一条沟道纳米线110都耗尽了载流子,因而晶体管可以称为“完全耗尽”晶体管。完全耗尽晶体管具有高于非完全耗尽的或部分耗尽的晶体管的改进的电气性能特性。以完全耗尽方式操作晶体管给与晶体管理想的或极为陡峭的亚阈值斜度。极为陡峭的亚阈值斜度导致改进的短沟道效应,例如改进的漏极感应势垒降低(DIBL)。
图2是根据本发明实施例的表示制造非平面栅极全包围器件的方法的流程图200。图3A至3M示出了根据本发明实施例的表示制造非平面栅极全包围器件的方法中的步骤的三维和二维横截面图。方法在流程图200中的步骤202处通过提供具有形成于其上的鳍状物304的衬底301开始。衬底301是在其上形成非平面栅极全包围器件的材料。衬底301包含具有晶格常数的顶部表面303。在一个实施例中,衬底301包括具有晶格常数的上单晶层。在一个此类实施例中,衬底301可以包括一个或多个缓冲层311,生长在不同单晶衬底与上单晶层之间。缓冲层311可以用于将晶格常数从不同晶体衬底的晶格常数逐渐改变为上单晶层的晶格常数。缓冲层311可以由外延生长的单晶半导体材料构成,例如但不限于,Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP和InP。在其上形成缓冲层311的不同晶体衬底可以是具有晶格常数的任何单晶材料(例如,硅、锗、砷化镓、兰宝石等)。在一个特定实施例中,衬底301可以包括外延生长在不同单晶硅衬底上的硅锗(SiGe)缓冲层。SiGe缓冲层的锗浓度可以从用于最底部的缓冲层的30%的锗增大到用于最顶部的缓冲层的弛豫的70%的锗。
在实施例中,鳍状物304形成为具有半导体材料308和牺牲材料310的交替层。半导体材料308的层随后形成于沟道纳米线343中。牺牲材料310的层通过与半导体材料308的层晶格常数失配而引起在半导体材料308的层上的晶格应力。在一个实施例中,半导体材料308的层与牺牲材料310的层可以由具有晶格常数的任何公知的材料构成。理论上,半导体材料308的层与牺牲材料310的层由单晶半导体材料构成,例如但不限于,Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb和InP。在一个实施例中,半导体材料308的层具有与牺牲材料310的层和衬底301的顶部表面303的晶格常数不同的晶格常数。作为在顶部表面303、半导体材料308的层和牺牲材料310的层之间晶格失配的结果,鳍状物304受晶格应力。在一个特定实施例中,半导体材料308的层的晶格常数大于牺牲材料310的层与顶部表面303的晶格常数。例如,半导体材料308的层可以是未掺杂的锗,顶部表面303可以是具有70%锗浓度的硅锗,牺牲材料310的层可以是具有70%锗浓度的硅锗。对于这个实施例,在材料之间的晶格失配导致半导体材料308的层在鳍状物304中受压缩晶格应力。在另一个实施例中,半导体材料308的层的晶格常数小于牺牲材料310的层与顶部表面303的晶格常数。例如,半导体材料308的层可以是硅,顶部表面303可以硅锗,牺牲材料310的层可以是硅锗。对于这个实施例,在材料之间的晶格常数导致半导体材料308的层在鳍状物304中受拉伸晶格应力。由于牺牲材料层310和半导体材料层308以不同的晶格常数交替,半导体材料层由下面的牺牲材料层310施加双轴应力。
可以使用传统外延化学气相沉积法通过首先在衬底301的顶部表面303上均厚沉积半导体材料308与牺牲材料310的交替层来形成鳍状物304。接下来,使用传统光刻和蚀刻法形成半导体材料308和牺牲材料310的覆盖层的图案来限定鳍状物304。在本发明的一个实施例中,同样蚀刻衬底301以使得鳍状物304的底部包括衬底部309,如图3A所示的。以此方式,鳍状物的衬底部309充当鳍状物304的牺牲材料310的底部。在一个实施例中,使得鳍状物304的衬底部309比牺牲材料层310后,以便在衬底与最底部的沟道纳米线之间提供额外的空间,以使得底部栅极隔离膜与栅极电极、栅极电介质可以形成在衬底与底部沟道纳米线之间。在一个实施例中,在形成图案过程中,也可以形成衬底301的图案以构成与鳍状物304和STI(浅沟槽隔离)区315连续的衬底区312。STI区315用于减小在彼此相邻形成的非平面栅极全包围器件之间的电流泄漏。在一个实施例中,与鳍状物304连续的至少部分衬底区312可以包括衬底301的缓冲层311。在一个实施例中,以STI电介质层305填充STI区315。STI电介质层305可以是任何公知的电介质层,例如但不限于,氧化硅、氮化硅、氮氧化硅、的k电介质及其任何组合。使用传统化学气相沉积法通过首先在衬底301上和鳍状物304上均厚沉积STI电介质层305来形成STI电介质层305。STI电介质层305最初沉积为大于鳍状物304与衬底区312的组合厚度的厚度。接下来,使用传统化学机械平面化方法使STI电介质层305平面化。随后使用传统蚀刻法使STI电介质层305凹陷,以暴露出鳍状物304,如图3A所示的。在一个实施例中,使得STI电介质凹陷到低于衬底301的顶部表面303,以使得鳍状物304的底部由衬底301构成,如图3A所示的。以此方式,鳍状物304包括衬底部309,其充当鳍状物304的底部牺牲材料310。在一个实施例中,鳍状物304的衬底部309比上面的牺牲材料层310厚,以便在衬底与最底部的沟道纳米线之间提供额外的空间,以使得可以在衬底与底部沟道纳米线之间形成底部栅极隔离膜和栅极电极/栅极电介质。或者,可以在顶部表面303与最底部的半导体材料层308之间形成不同的牺牲层。
鳍状物304具有侧壁302和306、鳍状物高度316、鳍状物宽度318和鳍状物长度320。在鳍状物304的形成中,侧壁302和306是无约束的平面,其允许鳍状物304在垂直于鳍状物长度320的方向上晶格弛豫。即将上述的受双轴应力层减小到在鳍状物形成时的基本上受单轴应力层。在一个事实力中,鳍状物304在平行于鳍状物长度320的方向上受单轴晶格应力,在垂直于鳍状物长度320的方向上受晶格弛豫。在一个实施例中,鳍状物304形成为具有小于30nm,理想地小于25nm的鳍状物宽度318。在一个实施例中,鳍状物高度316小于开始出现集成问题的高度,例如鳍状物倾倒,鳍状物剖面变形、和鳍状物临界尺寸中较差的均匀性。在特定实施例中,鳍状物高度316在30-75nm之间。
半导体材料308的层和牺牲材料310的层的厚度影响沟道纳米线343的电气特性和器件100的集成与性能。在一个实施例中,半导体材料308的层的厚度足以避免形成具有过多表面散射及因此的高沟道电阻和低载流子迁移率的沟道纳米线343。半导体材料308的层还足够薄以形成允许器件100以完全耗尽方式工作的沟道纳米线343。牺牲材料310的层的厚度影响在沟道纳米线343之间随后的间隔,因而影响完全包围每一条沟道纳米线343形成栅极电介质层350与栅极电极352的能力。在实施例中,牺牲材料310的层足够厚,以使得栅极电介质层350随后可以完全包围沟道纳米线343形成,栅极电极352可以在栅极电介质层350上形成,以完全围绕沟道纳米线343。半导体材料308的层与牺牲材料310的层的厚度还影响鳍状物高度316。在一个实施例中,半导体材料308的层与牺牲材料310的层足够薄,以实现鳍状物高度316小于开始出现集成问题的高度。在特定实施例中,半导体材料308的层形成为约5-50nm之间的厚度,且牺牲材料310的层形成为约5-30nm之间的厚度。
半导体材料308和牺牲材料310的交替层的总数影响器件的鳍状物高度316和驱动电流容量。半导体材料308的层数对应于随后形成的沟道纳米线343的数量。较大数量的沟道纳米线343允许较大的器件100的驱动电流容量。但半导体材料308和牺牲材料310过多的层导致无法集成的鳍状物高度316。在实施例中,层308和310的数量足够低,以实现可集成的鳍状物高度316。在特定实施例中,鳍状物304具有约3-6层的半导体材料308和3-6层的牺牲材料310。
参考流程图200中的步骤204及相应的图3B和3C,在鳍状物304的沟道区328上方形成牺牲栅极电极352。牺牲栅极电极352限定晶体管器件的沟道区。通过在鳍状物304上首先均厚沉积牺牲栅极电介质层322来形成牺牲栅极电极352。牺牲栅极电介质层322沉积在鳍状物304的顶上和侧壁上。牺牲栅极电介质层322可以沉积为约
Figure BDA0001165023410000131
之间的厚度。如图3B所示的,随后在牺牲栅极电介质层322和鳍状物304上均厚沉积牺牲栅极层324。牺牲栅极层324沉积为超过鳍状物厚度316的厚度。可以使用传统化学机械平面化方法使牺牲栅极层324平面化。接下来,如图3C所示的,通过使用传统光刻和蚀刻方法形成牺牲栅极层324的图案来形成牺牲栅极326。牺牲栅极电极326形成于鳍状物304的沟道区328上,具有大于鳍状物高度316的厚度329。牺牲栅极电极326随后用于在去除鳍状物304的牺牲部分332期间保护鳍状物304的沟道区328。
在形成牺牲栅极电极的图案期间,在牺牲栅极电极352的相对侧上暴露出鳍状物304的牺牲部分332上的牺牲栅极电介质层322。牺牲栅极电介质层322在牺牲栅极电极326的图案形成和形成过程中充当蚀刻停止层,从而使鳍状物304免于受损。在一个实施例中,牺牲栅极电介质层322和牺牲栅极层324由具有足够不同的蚀刻选择性的材料构成,其中,牺牲栅极电介质层322可以充当用于蚀刻牺牲栅极层324的蚀刻停止层。在一个特定实施例中,牺牲栅极电介质层322是电介质层(例如氧化硅、氮化硅、和氮氧化硅),牺牲栅极层324由半导体材料构成(例如多晶硅)。可以使用传统化学气相沉积法来沉积牺牲栅极电介质层322和牺牲栅极层324。接下来,使用传统湿法蚀刻工艺从鳍状物304的牺牲部分322的顶上和侧壁302、306去除牺牲栅极电介质层322,以暴露出鳍状物304的牺牲部分322。在牺牲栅极电介质层322是氧化硅层的实施例中,使用稀释HF湿法蚀刻来去除牺牲栅极电介质层322。
参考流程图200的步骤206及相应地图3C,在牺牲栅极电极326的相对侧壁334上形成一对侧壁间隔物330。可以使用本领域中已知的形成选择性间隔物的传统方法形成侧壁间隔物330对。在一个实施例中,首先在包括鳍状物304和牺牲栅极电极326的全部结构上均厚沉积保形电介质间隔物层,例如但不限于,氧化硅、氮化硅、氮氧化硅及其组合。保形方式沉积电介质间隔物层,以使得它在诸如侧壁302、306、334的垂直表面和诸如牺牲栅极电极326的顶部的水平表面上形成为基本上相等的厚度。可以使用诸如低压化学气相沉积(LPCVD)和等离子体增强化学气相沉积(PECVD)的传统化学气相沉积法沉积电介质间隔物层。在一个实施例中,将电介质间隔物层沉积为约2-10纳米之间的厚度。接下来,使用诸如活性离子蚀刻(RIE)的传统各向异性蚀刻法在电介质间隔物层上执行无图案形成的各向异性蚀刻。在各向异性蚀刻过程中,从水平表面去除了大多数电介质间隔物层,在垂直表面上留下电介质间隔物层,例如牺牲栅极电极326的侧壁334和鳍状物304的侧壁302、306。因为牺牲栅极电极306的厚度329大于鳍状物高度316,各向异性蚀刻后剩余的电介质间隔物层在牺牲栅极电极326的侧壁334上的厚度大于在鳍状物304的侧壁302、306上的。这个厚度差允许侧壁间隔物330在牺牲栅极电极326的侧壁334上的选择性形成。接下来,执行无图案形成的各向异性蚀刻,以从鳍状物304的侧壁302、306去除剩余电介质间隔物层,在牺牲栅极电极326的相对侧壁334上留下一对侧壁间隔物330。在一个实施例中,各向异性蚀刻是湿法蚀刻过程。在电介质间隔物层是氮化硅或氧化硅的一个特定实施例中,各向异性蚀刻使用分别包括磷酸(H3PO4)或缓冲氧化物蚀刻(BOE)的液体蚀刻剂溶液。在可替换的实施例中,各向异性蚀刻是干法蚀刻过程。在一个此类实施例中,在下游的等离子体反应器中采用NF3用以各向异性蚀刻电介质间隔物层。
参考流程图200中的步骤208及相应的图3D,去除鳍状物304的牺牲部分332,以暴露出衬底301的源极/漏极区334。可以使用诸如湿法蚀刻或等离子体干法蚀刻的传统蚀刻方法去除鳍状物304的牺牲部分332。在鳍状物304包括锗308和硅锗310的交替层的实施例中,诸如氢氧化铵(NH4OH)或氢氧化四甲铵(TMAH)溶液的液体蚀刻剂用于选择性蚀刻掉鳍状物304的牺牲部分332。由牺牲栅极326和侧壁间隔物330对保护鳍状物304的沟道区328免于蚀刻。在一个实施例中,在去除鳍状物304的牺牲部分332的过程中使衬底301的顶部表面303凹陷,以形成源极/漏极沟槽336。源极/漏极沟槽336用于容纳随后的嵌入式外延源极338和漏极339区的生长。在一个实施例中,源极/漏极沟槽336形成为20至40nm之间的深度。或者,去除鳍状物304的牺牲部分332,以使得衬底301的顶部表面303在STI电介质层305上方或与之在同一平面。
参考流程图200的步骤210及相应的图3E,嵌入式外延源极338区和嵌入式外延漏极339区形成于衬底301的源极/漏极区334上。在一个实施例中,嵌入式外延源极338和漏极339区使用传统外延沉积方法来形成,例如低压化学气相沉积、气相外延和分子束外延。在一个实施例中,嵌入式外延源极338和漏极339区形成于源极/漏极沟槽336中。嵌入式外延源极338和漏极339区与鳍状物304的沟道区328耦合,上升到STI电介质层305的顶部表面以上。嵌入式外延源极338和漏极339区可以由具有晶格常数的任何公知的材料构成。理论上,嵌入式外延源极338和漏极339区由单晶半导体材料构成,例如但不限于,Si、Ge、SiGe、GeSn、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP和InP。在实施例中,嵌入式外延源极338和漏极339区由与衬底301的顶部表面303具有不同晶格常数的单晶半导体材料构成。在特定实施例中,嵌入式外延源极338区和嵌入式外延漏极339区具有比衬底301的顶部表面303的晶格常数大的晶格常数。
在特定实施例中,嵌入式外延源极338和漏极339区由锗构成,衬底301的顶部表面303是硅锗。在本发明的一个实施例中,嵌入式外延源极338和漏极339区由与用于构成晶体管的沟道纳米线的相同的半导体材料(例如Ge)构成。在本发明的一个实施例中,嵌入式外延源极338和漏极339区由具有晶格常数的材料(例如,GaAs)构成,其晶格常数大于衬底301的顶部表面303的半导体材料(例如(SiGe)的晶格常数,并且大于用于构成沟道纳米线的半导体材料(例如Ge)308的晶格常数,以便进一步增强沟道纳米线中的单轴压缩晶格应力。
在另一个特定实施例中,嵌入式外延源极338区和嵌入式外延漏极339区由硅构成,衬底301的顶部表面303是硅锗。在本发明的一个实施例中,嵌入式外延源极338和漏极339区由与用于构成晶体管的沟道纳米线的相同的半导体材料(例如Si)构成。在本发明的一个实施例中,嵌入式外延源极338和漏极339区由具有晶格常数的材料(例如碳化硅或碳掺杂硅)构成,其晶格常数小于衬底301的顶部表面303的半导体材料(例如SiGe)的晶格常数,并且小于用于构成沟道纳米线的半导体材料(例如Si)308的晶格常数,以便进一步增强沟道纳米线中的单轴拉伸晶格应力。
在嵌入式外延源极338和嵌入式外延漏极339区与衬底301的顶部表面303之间的晶格常数失配产生晶格应力,其中,嵌入式外延源极338和嵌入式外延漏极339区在平行于鳍状物304的长度320方向上受单轴晶格应力。嵌入式外延源极338和漏极339区在垂直于鳍状物304的长度329方向上晶格弛豫,因为对应于侧壁335和337的平面在形成嵌入式外延源极338和漏极339区的过程中无约束。晶格常数失配还导致嵌入式外延源极338和漏极339区在鳍状物304的沟道区328上施加力。因为鳍状物304的沟道区328中的半导体材料308的层随后会变为沟道纳米线,嵌入式外延源极338和漏极339区随后将在沟道纳米线343上施加力,这有助于维持沟道纳米线343中的晶格应力。在一个实施例中,嵌入式外延源极338和漏极339区的晶格常数大于301衬底的顶部表面303。在这个实施例中,嵌入式外延源极338和漏极339区受压缩应力,并对沟道纳米线343提供压缩力。在另一个实施例中,嵌入式外延源极338和漏极339区的晶格常数小于301衬底的顶部表面303。在这个实施例中,嵌入式外延源极338和嵌入式外延漏极339区受拉伸应力,并对沟道纳米线343提供拉伸力。
总之,在实施例中,在对纳米线形成层与居间牺牲层的叠层的鳍状物构图期间,沿纳米线形成层的沟道区形成初始单轴应力。随后通过蚀刻掉鳍状物的外侧部分,并随后在其位置形成外延源极区和漏极区,来形成嵌入式外延源极区和嵌入式外延漏极区。在一个此类实施例中,从鳍状物下面的衬底的晶体表面生长嵌入式外延源极区和嵌入式外延漏极区。在去除的外侧部分与交替的不同成分的纳米线形成层和居间牺牲层异质的情况下,通过外延生长以嵌入式源极区和漏极区的替换以成分同质的区域取代异质的部分。因而,将新晶格失配增加到蚀刻的鳍状物的任一侧上。嵌入式外延源极区和嵌入式外延漏极区于是进一步增强已经存在于纳米线形成层中的单轴应力。而且,在随后去除居间牺牲层时,嵌入式外延源极区和嵌入式外延漏极区起作用以固定随后形成的分离的纳米线。由于从下层衬底外延生长嵌入式外延源极区和嵌入式外延漏极区,固定对于在鳍状物构图过程中维持沿纳米线形成层的沟道区形成的初始单轴应力是有效的。因而,嵌入式外延源极区和嵌入式外延漏极区都维持并增强最终形成的纳米线沟道部分的单轴应力。注意,以上以同质层取代异质层可以通过使用与纳米线形成层相同的材料来执行。但在另一个实施例中,为了进一步增强单轴应力,可以外延生长与用于异质叠层的任何材料都不同的材料以形成嵌入式外延源极区和嵌入式外延漏极区。例如,在一个实施例中,由晶格常数大于异质鳍状物中任何材料的材料形成嵌入式外延源极区和嵌入式外延漏极区。在该实施例中,在最终形成的纳米线沟道部分中进一步增强单轴压缩应力。在另一个实施例中,由晶格常数小于异质鳍状物中任何材料的材料形成嵌入式外延源极区和嵌入式外延漏极区。在该实施例中,在最终形成的纳米线沟道部分中进一步增强单轴拉伸应力。
在实施例中,衬底301的源极/漏极区334的顶部表面303是具有<100>取向的单晶材料,其充当嵌入式外延源极338和漏极339区的外延生长的种子层。嵌入式外延源极338和漏极339区因而在<100>取向上生长。在嵌入式外延源极338和漏极339区的形成过程中,对应于侧壁335和337的<111>平面可以以更有利的速率生长,导致嵌入式外延源极338和漏极339区是<111>-刻面的。
应当意识到,如图3D和3D所示的,尽管期望通过蚀刻掉鳍状物304的牺牲部分332,随后外延生长以形成源极区和漏极区,来形成嵌入式外延源极338和漏极339区,以便增强沟道纳米线的应力,但不必如此。在可替换的实施例中,不蚀刻掉鳍状物304的牺牲部分332,并保留以形成器件的源极区和漏极区,例如图1E中所示的。此时,可以借助诸如离子注入的公知技术掺杂鳍状物304的牺牲部分332,以形成期望导电类型和浓度级别的源极区和漏极区。另外,如果期望的话,可以在鳍状物304的牺牲部分334的顶部上和侧壁上生长外延半导体膜,用以形成升高的源极区和漏极区,从而减小电流拥挤。
接下来,参考图3F,将夹层电介质(ILD)层340均厚沉积在所有结构上,包括升高的源极338和漏极339区、牺牲栅极电极326和侧壁间隔物334对。可以使用传统化学气相沉积法(例如等离子体增强化学气相沉积和低压化学气相沉积)来沉积覆盖ILD层340。在一个实施例中,ILD层340由任何公知的电介质材料构成,例如但不限于,未掺杂的氧化硅、掺杂的氧化硅(例如BPSG、PSG)、氮化硅和氮氧化硅。随后使用传统化学机械平面化方法抛光ILD层340,以暴露出牺牲栅极电极326的顶部和侧壁间隔物334对的顶部。
参考流程图200中的步骤212及相应的图3G和3H,去除牺牲栅极电极326,以暴露出鳍状物304的沟道区328。图3H是图3H的二维对应横截面视图。ILD层340在去除牺牲栅极电极326的过程中保护嵌入式外延源极338和漏极339区。可以使用诸如等离子体干法蚀刻或湿法蚀刻的传统蚀刻方法去除牺牲栅极电极326。在牺牲栅极电极326是多晶硅,ILD层340是氧化硅的实施例中,诸如TMAH溶液的液体蚀刻剂可以用于选择性去除牺牲栅极电极326。在鳍状物304的沟道区328上的牺牲栅极电介质层322充当蚀刻停止层,在去除牺牲栅极电极326的过程中保护鳍状物304的沟道区328。接下来,使用传统蚀刻方法去除牺牲栅极电介质层322,以在流程图200中的步骤214之前暴露出鳍状物304的沟道区328。在牺牲栅极电介质层322是氧化硅的实施例中,稀释的HF湿法蚀刻可以用于去除牺牲栅极电介质层322。
参考流程图200中的步骤214及相应的图3I,在鳍状物304的沟道区328中的半导体材料308的层之间去除牺牲材料310的层,以形成沟道纳米线343。牺牲材料310的层可以使用任何公知的蚀刻剂来去除,其对于半导体材料308的层具有选择性,在此,蚀刻剂以明显高于半导体材料308的层的速度蚀刻牺牲材料310的层。在一个实施例中,蚀刻剂选择性地蚀刻半导体材料308的层,同时不蚀刻牺牲材料310的层。在半导体材料308的层是锗,牺牲材料310的层是硅锗的实施例中,牺牲材料310的层可以使用液体蚀刻剂来选择性去除,包括但不限于,氢氧化铵(NH4OH)、氢氧化四甲铵(TMAH)、乙二胺邻苯二酚(EDP)、或氢氧化钾(KOH)溶液。在半导体材料308的层是硅,牺牲材料310的层是硅锗的实施例中,牺牲材料310的层可以使用液体蚀刻剂来选择性去除,包括但不限于,羧酸/硝酸/HF水溶液和柠檬酸/硝酸/HF水溶液。牺牲材料310的层的去除在半导体材料308的层之间留下空隙342。在半导体材料308的层之间的空隙342具有约5-30nm之间的厚度。剩余的半导体材料308的层形成沟道纳米线343的垂直陈列,其耦合到嵌入式外延源极338和漏极339区。形成的沟道纳米线343具有约5-50nm之间的厚度。沟道纳米线343平行于表面303延伸且彼此对准,以形成单列的沟道纳米线343,其中,最底部的沟道纳米线344位于列的最底部。
在实施例中,如图3I所示的,去除在嵌入式外延源极区和嵌入式外延漏极区之间的所有牺牲材料310,包括在侧壁间隔物330之下的部分。蚀刻间隔物之下的部分简化了制造,因为牺牲材料310的去除可以基于蚀刻相对于牺牲材料与嵌入式外延源极区和嵌入式外延漏极区的选择性,实现了将过蚀刻用于去除牺牲材料。但间隔物330之下的牺牲材料310的去除可以导致在最顶部的沟道纳米线343之上的间隔物330之间形成的略大的开口。这可以导致与最顶部的沟道纳米线之上的栅极长度相比,随后形成的栅极电极在沟道纳米线之间具有略大的栅极长度。在一个实施例中,利用定时蚀刻,以使得牺牲材料310与嵌入式外延源极区和嵌入式外延漏极区相邻的部分在蚀刻牺牲材料310以形成沟道纳米线343之后,保留在间隔物330之下。以此方式,随后形成的栅极电极可以相邻于沟道纳米线的所有表面而具有相同的栅极长度。
参考流程图200中的步骤216及相应的图3J和3K,在衬底301的顶部表面303之上和最底部的沟道纳米线343下方形成底部栅极隔离物348。通过首先在沟道纳米线343周围及上面均厚沉积电介质层346来形成底部栅极隔离物348,如图3J所示的。电介质层346完全填充在沟道纳米线343之间的空隙342,包括在最底部的沟道纳米线344与衬底301的顶部表面303之间区域。电介质层346还形成于ILD层340的顶部表面上。在一个实施例中,电介质层346由任何公知的电介质材料构成,例如但不限于,氧化硅、氮化硅、和氮氧化硅。在一个特定实施例中,电介质层346由氧化硅构成。理论上,电介质层346使用高保形沉积方法来形成,例如低压化学气相沉积(LPCVD)、原子层沉积(ALD)或旋涂电介质工艺,以确保完全填充在沟道纳米线343之间空隙342。接下来,如图3K所示的,使用传统各向同性电介质蚀刻方法使电介质层346从顶部向下凹陷。在电介质层346是氧化硅的特定实施例中,将定时HF湿法蚀刻法用于使电介质层346凹陷。在电介质层346的凹陷过程中,去除了大部分电介质层346,留下在衬底301的顶部表面303上和最底部的沟道纳米线344下的薄层,其构成底部栅极隔离物348。底部栅极隔离物348的厚度取决于使电介质层346凹陷的时间长度。在实施例中,执行凹陷足够长的时间,以使得底部栅极隔离物厚度达到足以将衬底301的顶部表面303与通过栅极电极352的容性耦合隔离的厚度。在实施例中,执行凹陷足够长的时间,以使得底部栅极隔离物厚度达到足够薄,从而使得在最底部的沟道纳米线344与底部栅极隔离物348之间的空隙足够大,以便完全包围最底部的沟道纳米线形成栅极电介质层350且围绕最底部的沟道纳米线344形成栅极电极352。在实施例中,所形成的底部栅极隔离物348的厚度足够厚,以将衬底301的顶部表面303与通过栅极电极352的容性耦合隔离,且所述厚度足够薄,以便栅极电介质层350和栅极电极352围绕最底部的沟道纳米线344。在特定实施例中,底部栅极隔离物348的厚度在约
Figure BDA0001165023410000201
之间。
参考流程图200中的步骤218及相应的图3L和3M,围绕每一条沟道纳米线343形成栅极电介质层350,并且栅极电极352形成在栅极电介质层350上并围绕每一条沟道纳米线343。图3M是图3L的相应三维横截面视图。栅极电介质层350可以由如前所述的任何公知的栅极电介质材料构成。使用诸如原子层沉积(ALD)的高保形沉积工艺形成栅极电介质层350,以便确保栅极电介质层的形成围绕每一条沟道纳米线343具有一致的厚度。在一个特定实施例中,栅极电介质层是HfO2,沉积为1-6纳米之间的厚度。均厚沉积栅极电介质层350,其还形成于ILD层340的顶部表面上。接下来,在栅极电介质层350上均厚沉积栅极电极材料,以形成栅极电极352。栅极电极352可以由如前所述的任何公知的栅极电极材料构成。使用诸如原子层沉积(ALD)的保形沉积工艺沉积栅极电极材料,以确保栅极电极352形成于栅极电介质层350上,在每一条沟道纳米线343周围和之间。随后化学机械平面化沉积在ILD层340的顶上的覆层栅极电极材料和栅极电介质层350,直至暴露出ILD层340的顶部表面,如图3L和3M所示的。根据本发明的实施例,使用流程图200中所述方法形成的合成器件300是非平面栅极全包围器件。
图4示出了根据本发明的一个实现方式的计算设备400。计算设备400容纳板402。板402可以包括多个组件,包括但不限于,处理器404和至少一个通信芯片406。处理器404物理且电耦合到板402。在一些实现方式中,至少一个通信芯片406也物理且电耦合到板402。在进一步的实现方式中,通信芯片406是处理器404的部分。
取决于其应用,计算设备400可以包括其他组件,其会或不会物理且电耦合到板402。这些其他组件包括但不限于,易失性存储器(例如,DRAM)、非易失性存储器(例如ROM)、闪存、图形处理器、数字信号处理器、加密处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)设备、指南针、加速度计、陀螺仪、扬声器、相机和大容量储存设备(例如,硬盘驱动器、光盘(CD)、数字多用途盘(DVD)等等)。
通信芯片406实现了无线通信,用于往来于计算设备400传送数据。术语“无线”及其派生词可以用于描述可以通过非固态介质借助使用调制电磁辐射传送数据的电路、设备、系统、方法、技术、通信信道等。该术语并非暗示相关设备不包含任何导线,尽管在一些实施例中它们可以不包含。通信芯片406可以实施多个无线标准或协议中的任意一个,包括但不限于,Wi-Fi(IEEE 802.11族)、WiMAX(IEEE 802.16族)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其派生物,以及被指定为3G、4G、5G及之后的任何其他无线协议。计算设备400可以包括多个通信芯片406。例如,第一通信芯片406可以专用于近距离无线通信,例如Wi-Fi和蓝牙,第二通信芯片406可以专用于远距离无线通信,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
计算设备400的处理器404包括封装在处理器404内的集成电路晶片。在本发明的一些实现方式中,处理器的集成电路晶片包括诸如根据本发明的实现方式形成的非平面栅极全包围晶体管器件的一个或多个器件。术语“处理器”可以指代任何设备或设备的部分,其处理来自寄存器和/或存储器的电子数据,将该电子数据转变为可以存储在寄存器和/或存储器中的其他电子数据。
通信芯片406也包括封装在通信芯片406内的集成电路晶片。根据本发明的另一个实现方式,通信芯片的集成电路晶片包括诸如根据本发明的实现方式形成的非平面栅极全包围晶体管器件的一个或多个器件。
在进一步的实现方式中,容纳在计算设备400内的另一个组件可以包含集成电路晶片,其包括诸如根据本发明的实现方式形成的非平面栅极全包围晶体管器件的一个或多个器件。
在多个实现方式中,计算设备400可以是膝上型电脑、上网本电脑、笔记本电脑、超级本电脑、智能电话、平板电脑、个人数字助理(PDA)、超移动PC、移动电话、台式计算机、服务器、打印机、扫描器、监视器、机顶盒、娱乐控制单元、数码相机、便携式音乐播放器、或数码摄像机。在进一步的实现方式中,计算设备400可以是处理数据的任何其他电子设备。
因而,并发明的一个或多个实施例可以包括非平面栅极全包围晶体管器件,其中,具有形成于衬底与底部沟道纳米线之间的嵌入式外延源极区和嵌入式外延漏极区或者底部栅极隔离物层之一或者二者。

Claims (14)

1.一种半导体器件,包括:
包括第一材料的半导体衬底,所述第一材料具有第一晶格常数;
位于所述半导体衬底之上的嵌入式外延源极区,所述嵌入式外延源极区包括第二材料,所述第二材料具有与所述第一晶格常数不同的第二晶格常数;
位于所述半导体衬底之上的嵌入式外延漏极区,所述嵌入式外延漏极区包括所述第二材料;
纳米线,所述纳米线被耦合至所述嵌入式外延源极区且被耦合至所述嵌入式外延漏极区,所述纳米线包括第三材料,所述第三材料具有与所述第二晶格常数相同的第三晶格常数;
栅极电介质层,所述栅极电介质层位于所述纳米线的至少一部分的周围;以及
栅极电极,所述栅极电极位于所述纳米线的至少一部分的周围,并且所述栅极电极至少通过所述栅极电介质层与所述纳米线分隔开。
2.根据权利要求1所述的器件,其中,所述第二晶格常数大于所述第一晶格常数。
3.根据权利要求1所述的器件,其中,所述第二材料与所述第三材料相同。
4.根据权利要求1所述的器件,其中,所述嵌入式外延源极区和所述嵌入式外延漏极区都具有有角度的侧壁。
5.根据权利要求1所述的器件,其中,所述嵌入式外延源极区在位于所述半导体衬底之上的第一位置处具有第一宽度,所述嵌入式外延源极区在位于所述半导体衬底之上的第二位置处具有第二宽度,所述第二位置与所述第一位置距离所述半导体衬底的距离不同,并且所述第一宽度大于所述第二宽度。
6.根据权利要求5所述的器件,其中,所述嵌入式外延源极区的所述第一宽度大于所述纳米线的最大宽度。
7.根据权利要求1所述的器件,进一步包括位于所述半导体衬底的第一部分上方的隔离区层,其中,所述半导体衬底的第二部分向上延伸超过所述隔离区层的底部表面。
8.根据权利要求7所述的器件,其中,所述半导体衬底的所述第二部分没有向上延伸到所述隔离区层的顶部表面。
9.根据权利要求7所述的器件,其中,所述纳米线的至少部分位于所述半导体衬底的所述第二部分的正上方,而不与所述半导体衬底的所述第二部分直接接触。
10.根据权利要求1所述的器件,其中,所述嵌入式外延漏极区具有侧壁,并且所述嵌入式外延漏极区的所述侧壁是[111]-刻面。
11.根据权利要求1所述的器件,其中,所述第一材料是硅锗,并且所述第二材料和所述第三材料是硅。
12.一种半导体器件,包括:
衬底,所述衬底具有顶部表面,所述顶部表面具有第一晶格常数;
嵌入式外延源极区和嵌入式外延漏极区,所述嵌入式外延源极区和所述嵌入式外延漏极区布置在所述衬底的所述顶部表面上,所述嵌入式外延源极区和所述嵌入式外延漏极区具有与所述第一晶格常数不同的第二晶格常数;
沟道纳米线,所述沟道纳米线具有与所述第一晶格常数不同的第三晶格常数,其中,所述第三晶格常数与所述第二晶格常数相同,所述沟道纳米线耦合到所述嵌入式外延源极区和所述嵌入式外延漏极区;
栅极电介质层,所述栅极电介质层布置在所述沟道纳米线的一个轴上且包围所述沟道纳米线的所述一个轴;以及
栅极电极,所述栅极电极布置在所述栅极电介质层上并围绕所述沟道纳米线的所述一个轴。
13.根据权利要求12所述的半导体器件,其中,所述外延源极区和所述外延漏极区是[111]-刻面的。
14.根据权利要求12所述的半导体器件,进一步包括底部栅极隔离物,所述底部栅极隔离物布置在所述衬底的所述顶部表面上且在所述沟道纳米线之下,其中,所述底部栅极隔离物具有的厚度足够厚,以将所述衬底的所述顶部表面与通过所述栅极电极的容性耦合隔离。
CN201611070116.8A 2011-12-23 2011-12-23 非平面栅极全包围器件及其制造方法 Active CN106847875B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201611070116.8A CN106847875B (zh) 2011-12-23 2011-12-23 非平面栅极全包围器件及其制造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN201611070116.8A CN106847875B (zh) 2011-12-23 2011-12-23 非平面栅极全包围器件及其制造方法
PCT/US2011/067234 WO2013095651A1 (en) 2011-12-23 2011-12-23 Non-planar gate all-around device and method of fabrication thereof
CN201180076433.XA CN104126228B (zh) 2011-12-23 2011-12-23 非平面栅极全包围器件及其制造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180076433.XA Division CN104126228B (zh) 2011-12-23 2011-12-23 非平面栅极全包围器件及其制造方法

Publications (2)

Publication Number Publication Date
CN106847875A CN106847875A (zh) 2017-06-13
CN106847875B true CN106847875B (zh) 2021-04-20

Family

ID=48669289

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201611070116.8A Active CN106847875B (zh) 2011-12-23 2011-12-23 非平面栅极全包围器件及其制造方法
CN202011335525.2A Pending CN112563315A (zh) 2011-12-23 2011-12-23 半导体器件和集成电路结构
CN201180076433.XA Active CN104126228B (zh) 2011-12-23 2011-12-23 非平面栅极全包围器件及其制造方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN202011335525.2A Pending CN112563315A (zh) 2011-12-23 2011-12-23 半导体器件和集成电路结构
CN201180076433.XA Active CN104126228B (zh) 2011-12-23 2011-12-23 非平面栅极全包围器件及其制造方法

Country Status (6)

Country Link
US (3) US8987794B2 (zh)
KR (3) KR101821672B1 (zh)
CN (3) CN106847875B (zh)
DE (1) DE112011105995B4 (zh)
TW (4) TWI541907B (zh)
WO (1) WO2013095651A1 (zh)

Families Citing this family (539)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
CN107195671B (zh) 2011-12-23 2021-03-16 索尼公司 单轴应变纳米线结构
US8987794B2 (en) * 2011-12-23 2015-03-24 Intel Coporation Non-planar gate all-around device and method of fabrication thereof
US9590089B2 (en) 2011-12-30 2017-03-07 Intel Corporation Variable gate width for gate all-around transistors
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
CN103579004B (zh) * 2012-08-10 2016-05-11 中国科学院微电子研究所 FinFET及其制造方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8735869B2 (en) * 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140151757A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Substrate-templated epitaxial source/drain contact structures
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9362386B2 (en) 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US8987791B2 (en) 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
SG11201505765SA (en) 2013-03-15 2015-08-28 Intel Corp Nanowire transistor with underlayer etch stops
CN104282560B (zh) * 2013-07-02 2018-07-27 中国科学院微电子研究所 级联堆叠纳米线mos晶体管制作方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9171843B2 (en) * 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9349863B2 (en) * 2013-08-07 2016-05-24 Globalfoundries Inc. Anchored stress-generating active semiconductor regions for semiconductor-on-insulator finfet
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) * 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9231055B2 (en) * 2013-08-19 2016-01-05 SK Hynix Inc. Semiconductor device having fin gate, resistive memory device including the same, and method of manufacturing the same
EP3050110A4 (en) 2013-09-25 2017-05-17 Intel Corporation Forming iii-v device structures on (111) planes of silicon fins
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160190319A1 (en) * 2013-09-27 2016-06-30 Intel Corporation Non-Planar Semiconductor Devices having Multi-Layered Compliant Substrates
CN104517847B (zh) * 2013-09-29 2017-07-14 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
US9142474B2 (en) 2013-10-07 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation structure of fin field effect transistor
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
US9484423B2 (en) 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9136332B2 (en) * 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US20150221726A1 (en) * 2014-02-04 2015-08-06 Globalfoundries Inc. Finfet with isolated source and drain
US9246005B2 (en) * 2014-02-12 2016-01-26 International Business Machines Corporation Stressed channel bulk fin field effect transistor
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US10134759B2 (en) 2014-02-18 2018-11-20 Stmicroelectronics, Inc. Semiconductor device including groups of nanowires of different semiconductor materials and related methods
US9257450B2 (en) * 2014-02-18 2016-02-09 Stmicroelectronics, Inc. Semiconductor device including groups of stacked nanowires and related methods
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9287358B2 (en) 2014-03-21 2016-03-15 International Business Machines Corporation Stressed nanowire stack for field effect transistor
EP2924738B1 (en) * 2014-03-27 2017-03-22 IMEC vzw Method for manufacturing a iii-v gate all around semiconductor device
KR102167517B1 (ko) * 2014-03-28 2020-10-19 인텔 코포레이션 수직 반도체 디바이스들을 제조하기 위한 종횡비 트래핑(art)
US9620589B2 (en) * 2014-04-07 2017-04-11 GlobalFoundries, Inc. Integrated circuits and methods of fabrication thereof
US10468528B2 (en) * 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US9293375B2 (en) 2014-04-24 2016-03-22 International Business Machines Corporation Selectively grown self-aligned fins for deep isolation integration
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9230992B2 (en) 2014-04-30 2016-01-05 International Business Machines Corporation Semiconductor device including gate channel having adjusted threshold voltage
US9548358B2 (en) 2014-05-19 2017-01-17 International Business Machines Corporation Dual fill silicon-on-nothing field effect transistor
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9881993B2 (en) * 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9502565B2 (en) 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US9917169B2 (en) 2014-07-02 2018-03-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of formation
US9443978B2 (en) * 2014-07-14 2016-09-13 Samsung Electronics Co., Ltd. Semiconductor device having gate-all-around transistor and method of manufacturing the same
US9647098B2 (en) 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9252208B1 (en) * 2014-07-31 2016-02-02 Stmicroelectronics, Inc. Uniaxially-strained FD-SOI finFET
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9293588B1 (en) * 2014-08-28 2016-03-22 International Business Machines Corporation FinFET with a silicon germanium alloy channel and method of fabrication thereof
US9716225B2 (en) * 2014-09-03 2017-07-25 Micron Technology, Inc. Memory cells including dielectric materials, memory devices including the memory cells, and methods of forming same
US20160071729A1 (en) * 2014-09-04 2016-03-10 Samsung Electronics Co., Ltd. Rectangular nanosheet fabrication
US9966459B2 (en) * 2014-09-04 2018-05-08 Globalfoundries Inc. Symmetrical lateral bipolar junction transistor and use of same in characterizing and protecting transistors
US10559683B2 (en) 2014-09-19 2020-02-11 Intel Corporation Apparatus and methods to create a buffer to reduce leakage in microelectronic transistors
EP3195368A4 (en) * 2014-09-19 2018-05-16 Intel Corporation Apparatus and methods to create a doped sub-structure to reduce leakage in microelectronic transistors
CN106575672B (zh) 2014-09-19 2020-11-10 英特尔公司 创建具有富铟表面的砷化铟镓有源沟道的装置和方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9349866B2 (en) * 2014-10-10 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9673277B2 (en) * 2014-10-20 2017-06-06 Applied Materials, Inc. Methods and apparatus for forming horizontal gate all around device structures
US9312186B1 (en) 2014-11-04 2016-04-12 Taiwan Semiconductor Manufacturing Company Limited Method of forming horizontal gate all around structure
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160141360A1 (en) * 2014-11-19 2016-05-19 International Business Machines Corporation Iii-v semiconductor devices with selective oxidation
US9953979B2 (en) * 2014-11-24 2018-04-24 Qualcomm Incorporated Contact wrap around structure
US9741811B2 (en) * 2014-12-15 2017-08-22 Samsung Electronics Co., Ltd. Integrated circuit devices including source/drain extension regions and methods of forming the same
EP3235007A4 (en) * 2014-12-17 2018-12-26 Intel Corporation Carrier confinement for high mobility channel devices
CN105762191B (zh) * 2014-12-19 2019-05-21 中国科学院微电子研究所 半导体器件及其制造方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
WO2016105426A1 (en) * 2014-12-24 2016-06-30 Intel Corporation Ingaas epi structure and wet etch process for enabling iii-v gaa in art trench
US9275905B1 (en) * 2015-01-28 2016-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure with anti-punch through structure
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9520466B2 (en) * 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate-all-around field effect transistors and methods of forming same
US9525036B2 (en) * 2015-03-19 2016-12-20 Samsung Electronics Co., Ltd. Semiconductor device having gate electrode with spacers on fin structure and silicide layer filling the recess
US10573719B2 (en) 2015-05-11 2020-02-25 Applied Materials, Inc. Horizontal gate all around device isolation
TWI723993B (zh) * 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
US9460920B1 (en) * 2015-05-11 2016-10-04 Applied Materials, Inc. Horizontal gate all around device isolation
KR102325894B1 (ko) 2015-06-10 2021-11-12 삼성전자주식회사 반도체 소자 및 이의 제조방법
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9590107B2 (en) 2015-06-25 2017-03-07 International Business Machines Corporation III-V gate-all-around field effect transistor using aspect ratio trapping
EP3314641A4 (en) * 2015-06-26 2019-01-23 Intel Corporation GAAS PSEUDOMORPHIC INGAAS FOR ENVELOPING GRID TRANSISTORS
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11335600B2 (en) 2015-06-27 2022-05-17 Intel Corporation Integration method for finfet with tightly controlled multiple fin heights
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9917195B2 (en) * 2015-07-29 2018-03-13 International Business Machines Corporation High doped III-V source/drain junctions for field effect transistors
CN106409907B (zh) * 2015-08-03 2021-06-08 三星电子株式会社 用于半导体装置的堆叠件及其形成方法
US10283638B2 (en) * 2015-08-03 2019-05-07 Samsung Electronics Co., Ltd. Structure and method to achieve large strain in NS without addition of stack-generated defects
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
EP3133046A1 (en) * 2015-08-17 2017-02-22 IMEC vzw Al-poor barrier for ingaas semiconductor structure
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9614068B2 (en) * 2015-09-02 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
CN106504991B (zh) * 2015-09-03 2021-08-27 应用材料公司 用于制造半导体应用的水平全环栅极器件的纳米线的方法
CN106549058A (zh) * 2015-09-22 2017-03-29 中国科学院微电子研究所 半导体器件制造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9685564B2 (en) * 2015-10-16 2017-06-20 Samsung Electronics Co., Ltd. Gate-all-around field effect transistors with horizontal nanosheet conductive channel structures for MOL/inter-channel spacing and related cell architectures
KR102379701B1 (ko) * 2015-10-19 2022-03-28 삼성전자주식회사 멀티-채널을 갖는 반도체 소자 및 그 형성 방법
US9660027B2 (en) * 2015-10-20 2017-05-23 Globalfoundries Inc. Expitaxially regrown heterostructure nanowire lateral tunnel field effect transistor
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9741792B2 (en) 2015-10-21 2017-08-22 International Business Machines Corporation Bulk nanosheet with dielectric isolation
US10276572B2 (en) 2015-11-05 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9583399B1 (en) * 2015-11-30 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017095409A1 (en) * 2015-12-03 2017-06-08 Intel Corporation Stacked channel structures for mosfets
CN105633166B (zh) 2015-12-07 2019-06-18 中国科学院微电子研究所 具有高质量外延层的纳米线半导体器件及其制造方法
US9679965B1 (en) * 2015-12-07 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device having a gate all around structure and a method for fabricating the same
WO2017096781A1 (zh) 2015-12-07 2017-06-15 中国科学院微电子研究所 具有高质量外延层的纳米线半导体器件及其制造方法
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
KR102409962B1 (ko) 2015-12-16 2022-06-16 삼성전자주식회사 반도체 장치
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN108475697A (zh) * 2015-12-22 2018-08-31 英特尔公司 具有嵌入式电介质间隔的纳米线晶体管
US11189700B2 (en) 2015-12-23 2021-11-30 Intel Corporation Fabrication of wrap-around and conducting metal oxide contacts for IGZO non-planar devices
US10559689B2 (en) 2015-12-24 2020-02-11 Intel Corporation Crystallized silicon carbon replacement material for NMOS source/drain regions
DE112015007228T5 (de) * 2015-12-24 2018-09-13 Intel Corporation Transistoren mit germaniumreichen Kanalbereichen mit reduziertem Leckverlust
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6856651B2 (ja) * 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
KR102366953B1 (ko) * 2016-01-06 2022-02-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN106960870B (zh) 2016-01-11 2021-09-10 三星电子株式会社 半导体装置及其制造方法
US10217817B2 (en) 2016-01-27 2019-02-26 International Business Machines Corporation Sacrificial layer for channel surface retention and inner spacer formation in stacked-channel FETs
US9614040B1 (en) * 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102476143B1 (ko) 2016-02-26 2022-12-12 삼성전자주식회사 반도체 장치
KR102435521B1 (ko) 2016-02-29 2022-08-23 삼성전자주식회사 반도체 소자
KR102340313B1 (ko) * 2016-03-02 2021-12-15 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102413610B1 (ko) 2016-03-02 2022-06-24 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용한 반도체 장치 및 그 제조 방법
KR102426663B1 (ko) 2016-03-02 2022-07-28 삼성전자주식회사 반도체 소자 및 그 제조방법
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102490902B1 (ko) * 2016-03-11 2023-01-26 인텔 코포레이션 희생 ⅳ족 재료 층들을 이용하여 ⅲ-ⅴ족 재료 나노와이어들을 포함하는 트랜지스터들을 형성하기 위한 기술들
US9978833B2 (en) * 2016-03-11 2018-05-22 Samsung Electronics Co., Ltd. Methods for varied strain on nano-scale field effect transistor devices
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9941405B2 (en) * 2016-03-21 2018-04-10 Samsung Electronics Co., Ltd. Nanosheet and nanowire devices having source/drain stressors and methods of manufacturing the same
US9570552B1 (en) * 2016-03-22 2017-02-14 Globalfoundries Inc. Forming symmetrical stress liners for strained CMOS vertical nanowire field-effect transistors
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10439039B2 (en) * 2016-03-25 2019-10-08 Qualcomm Incorporated Integrated circuits including a FinFET and a nanostructure FET
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US9953874B2 (en) 2016-04-28 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9735269B1 (en) 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
US9960232B2 (en) * 2016-05-09 2018-05-01 Samsung Electronics Co., Ltd. Horizontal nanosheet FETs and methods of manufacturing the same
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11004985B2 (en) 2016-05-30 2021-05-11 Samsung Electronics Co., Ltd. Semiconductor device having multi-thickness nanowire
KR20170135115A (ko) * 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10170591B2 (en) * 2016-06-10 2019-01-01 International Business Machines Corporation Self-aligned finFET formation
KR102527382B1 (ko) 2016-06-21 2023-04-28 삼성전자주식회사 반도체 소자
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10134905B2 (en) * 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10541172B2 (en) 2016-08-24 2020-01-21 International Business Machines Corporation Semiconductor device with reduced contact resistance
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9653289B1 (en) * 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
US9773893B1 (en) 2016-09-26 2017-09-26 International Business Machines Corporation Forming a sacrificial liner for dual channel devices
US10069015B2 (en) 2016-09-26 2018-09-04 International Business Machines Corporation Width adjustment of stacked nanowires
WO2018063403A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Art trench spacers to enable fin release for non-lattice matched channels
US9799618B1 (en) 2016-10-12 2017-10-24 International Business Machines Corporation Mixed UBM and mixed pitch on a single die
FR3057703B1 (fr) 2016-10-13 2019-06-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d’un transistor a effet de champ a grille enrobante
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10340340B2 (en) * 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
US9853114B1 (en) 2016-10-24 2017-12-26 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10312152B2 (en) 2016-10-24 2019-06-04 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10886268B2 (en) 2016-11-29 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
FR3060841B1 (fr) * 2016-12-15 2021-02-12 Commissariat Energie Atomique Procede de realisation d'un dispositif semi-conducteur a espaceurs internes auto-alignes
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10522694B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11245020B2 (en) * 2017-01-04 2022-02-08 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10068794B2 (en) * 2017-01-31 2018-09-04 Advanced Micro Devices, Inc. Gate all around device architecture with hybrid wafer bond technique
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10249739B2 (en) * 2017-03-01 2019-04-02 International Business Machines Corporation Nanosheet MOSFET with partial release and source/drain epitaxy
US10032867B1 (en) 2017-03-07 2018-07-24 International Business Machines Corporation Forming bottom isolation layer for nanosheet technology
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
WO2018182675A1 (en) * 2017-03-31 2018-10-04 Intel Corporation Finfet with angled source and drain regions
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102400558B1 (ko) * 2017-04-05 2022-05-20 삼성전자주식회사 반도체 소자
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102318560B1 (ko) 2017-04-12 2021-11-01 삼성전자주식회사 반도체 소자
US10930793B2 (en) * 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10008583B1 (en) * 2017-05-08 2018-06-26 Samsung Electronics Co., Ltd. Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10332965B2 (en) 2017-05-08 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10074575B1 (en) * 2017-06-21 2018-09-11 International Business Machines Corporation Integrating and isolating nFET and pFET nanosheet transistors on a substrate
KR102293127B1 (ko) * 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11121131B2 (en) 2017-06-23 2021-09-14 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
JP7071359B2 (ja) 2017-07-12 2022-05-18 ソニーセミコンダクタソリューションズ株式会社 トランジスタ及び電子機器
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
DE102017126225A1 (de) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen einer halbleitervorrichtung und eine halbleitervorrichtung
US10276718B2 (en) * 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor
KR102283024B1 (ko) * 2017-09-01 2021-07-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20190081155A1 (en) 2017-09-13 2019-03-14 Globalfoundries Inc. Nanosheet transistor with improved inner spacer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10453736B2 (en) 2017-10-09 2019-10-22 International Business Machines Corporation Dielectric isolation in gate-all-around devices
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10403551B2 (en) * 2017-11-08 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain features with an etch stop layer
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR102399071B1 (ko) 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10586853B2 (en) * 2017-11-27 2020-03-10 International Business Machines Corporation Non-planar field effect transistor devices with wrap-around source/drain contacts
US10700066B2 (en) * 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102437286B1 (ko) * 2017-11-30 2022-08-30 삼성전자주식회사 반도체 소자
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10833157B2 (en) 2017-12-18 2020-11-10 International Business Machines Corporation iFinFET
EP3729514A4 (en) 2017-12-20 2021-07-07 INTEL Corporation TRANSISTOR WITH INSULATION UNDER THE SOURCE AND DRAIN
CN109950313A (zh) * 2017-12-21 2019-06-28 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10263077B1 (en) * 2017-12-22 2019-04-16 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of fabricating a FET transistor having a strained channel
CN111108605A (zh) * 2017-12-28 2020-05-05 英特尔公司 纳米线晶体管的源电极和漏电极保护
CN109994385A (zh) * 2017-12-29 2019-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102480348B1 (ko) * 2018-03-15 2022-12-23 삼성전자주식회사 실리콘게르마늄 식각 전의 전처리 조성물 및 이를 이용한 반도체 장치의 제조 방법
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102550652B1 (ko) * 2018-04-02 2023-07-05 삼성전자주식회사 반도체 소자의 제조 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10756089B2 (en) * 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10608082B2 (en) * 2018-05-31 2020-03-31 Globalfoundries Inc. Field-effect transistors including multiple gate lengths
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN108831926B (zh) * 2018-06-11 2021-03-09 中国科学院微电子研究所 半导体器件与其制作方法
US10461154B1 (en) * 2018-06-21 2019-10-29 International Business Machines Corporation Bottom isolation for nanosheet transistors on bulk substrate
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11404578B2 (en) 2018-06-22 2022-08-02 Intel Corporation Dielectric isolation layer between a nanowire transistor and a substrate
CN108807279B (zh) * 2018-06-25 2021-01-22 中国科学院微电子研究所 半导体结构与其制作方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11695081B2 (en) * 2018-06-29 2023-07-04 Intel Corporation Channel layer formation for III-V metal-oxide-semiconductor field effect transistors (MOSFETs)
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11152510B2 (en) * 2018-07-25 2021-10-19 International Business Machines Corporation Long channel optimization for gate-all-around transistors
CN110767549B (zh) * 2018-07-26 2023-05-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
DE102019115523B4 (de) * 2018-07-31 2022-05-25 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zur herstellung einer halbleitervorrichtung
CN110797262B (zh) * 2018-08-01 2023-06-13 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10727427B2 (en) * 2018-08-31 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
KR102653665B1 (ko) * 2018-09-07 2024-04-04 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
KR102537527B1 (ko) * 2018-09-10 2023-05-26 삼성전자 주식회사 집적회로 소자
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
FR3088482B1 (fr) * 2018-11-08 2021-05-14 Commissariat Energie Atomique Mise en contrainte d'une structure de canal de transistor a barreaux superposes par le biais d'une mise en contrainte des espaceurs
KR102524803B1 (ko) * 2018-11-14 2023-04-24 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11621334B2 (en) * 2019-01-29 2023-04-04 Intel Corporation Non-planar integrated circuit structures having asymmetric source and drain trench contact spacing
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US10998233B2 (en) * 2019-03-05 2021-05-04 International Business Machines Corporation Mechanically stable complementary field effect transistors
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US10797163B1 (en) * 2019-04-29 2020-10-06 International Business Machines Corporation Leakage control for gate-all-around field-effect transistor devices
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11189710B2 (en) * 2019-05-20 2021-11-30 Applied Materials, Inc. Method of forming a bottom isolation dielectric by directional sputtering of a capping layer over a pair of stacks
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200139295A (ko) 2019-06-03 2020-12-14 삼성전자주식회사 반도체 장치
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210000780A (ko) 2019-06-25 2021-01-06 삼성전자주식회사 반도체 장치
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US10998311B2 (en) 2019-06-28 2021-05-04 International Business Machines Corporation Fabricating gate-all-around transistors having high aspect ratio channels and reduced parasitic capacitance
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TWI707438B (zh) * 2019-07-19 2020-10-11 力晶積成電子製造股份有限公司 電路架構
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11456368B2 (en) * 2019-08-22 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with hard mask layer over fin structure and method for forming the same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11417729B2 (en) 2019-08-29 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with channels formed of low-dimensional materials and method forming same
DE102020109756A1 (de) 2019-08-29 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistoren mit kanälen gebildet aus niedrigdimensionalenmaterialien und verfahren zum bilden derselben
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
KR20210031248A (ko) * 2019-09-11 2021-03-19 삼성전자주식회사 반도체 소자
US11387319B2 (en) 2019-09-11 2022-07-12 International Business Machines Corporation Nanosheet transistor device with bottom isolation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658245B2 (en) * 2019-10-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN113113486B (zh) * 2020-01-13 2022-11-18 中芯国际集成电路制造(天津)有限公司 半导体器件及其形成方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11417751B2 (en) * 2020-04-01 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
US11532720B2 (en) * 2020-04-29 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220017554A (ko) 2020-08-04 2022-02-14 삼성전자주식회사 반도체 소자
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US20220199774A1 (en) * 2020-12-22 2022-06-23 Intel Corporation Gate-all-around integrated circuit structures having germanium-diffused nanoribbon channel structures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11854960B2 (en) 2021-01-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including decoupling capacitors and methods of manufacturing thereof
TW202247463A (zh) * 2021-02-01 2022-12-01 美商應用材料股份有限公司 具全空乏矽晶絕緣體之環繞式閘極元件
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR102459732B1 (ko) * 2021-05-13 2022-10-27 (재)한국나노기술원 게이트 올 어라운드 채널을 갖는 반도체 소자의 제조 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230099540A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Elimination of sub-fin leakage in stacked nanosheet architectures
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1822338A (zh) * 2002-08-23 2006-08-23 英特尔公司 三栅极器件及其加工方法
US7781771B2 (en) * 2004-03-31 2010-08-24 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
CN101894842A (zh) * 2009-05-21 2010-11-24 国际商业机器公司 场效应晶体管反相器以及制造方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP2004172178A (ja) 2002-11-18 2004-06-17 Toshiba Corp 半導体装置及び半導体装置の製造方法
FR2853454B1 (fr) 2003-04-03 2005-07-15 St Microelectronics Sa Transistor mos haute densite
KR100553683B1 (ko) 2003-05-02 2006-02-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100487567B1 (ko) 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
KR100506460B1 (ko) 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
KR100550343B1 (ko) * 2003-11-21 2006-02-08 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
KR100555567B1 (ko) 2004-07-30 2006-03-03 삼성전자주식회사 다중가교채널 트랜지스터 제조 방법
US20080121932A1 (en) 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
KR100618900B1 (ko) 2005-06-13 2006-09-01 삼성전자주식회사 다중 채널을 갖는 모스 전계효과 트랜지스터의 제조방법 및그에 따라 제조된 다중 채널을 갖는 모스 전계효과트랜지스터
FR2895835B1 (fr) 2005-12-30 2008-05-09 Commissariat Energie Atomique Realisation sur une structure de canal a plusieurs branches d'une grille de transistor et de moyens pour isoler cette grille des regions de source et de drain
US20080135949A1 (en) 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP2009032955A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
US7674669B2 (en) 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
JP4966153B2 (ja) 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法
WO2009072984A1 (en) 2007-12-07 2009-06-11 Agency For Science, Technology And Research A silicon-germanium nanowire structure and a method of forming the same
WO2009150999A1 (ja) 2008-06-09 2009-12-17 独立行政法人産業技術総合研究所 ナノワイヤ電界効果トランジスタ及びその作製方法、並びにこれを含む集積回路
WO2009151001A1 (ja) 2008-06-09 2009-12-17 独立行政法人産業技術総合研究所 ナノワイヤ電界効果トランジスタ及びその作製方法、並びにこれを含む集積回路
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
JP2010206154A (ja) 2009-02-09 2010-09-16 Hitachi Displays Ltd 表示装置
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
JP2011029503A (ja) * 2009-07-28 2011-02-10 Toshiba Corp 半導体装置
US8216902B2 (en) 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
JP4922373B2 (ja) 2009-09-16 2012-04-25 株式会社東芝 半導体装置およびその製造方法
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
JP5404812B2 (ja) 2009-12-04 2014-02-05 株式会社東芝 半導体装置の製造方法
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8399314B2 (en) 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8987794B2 (en) * 2011-12-23 2015-03-24 Intel Coporation Non-planar gate all-around device and method of fabrication thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1822338A (zh) * 2002-08-23 2006-08-23 英特尔公司 三栅极器件及其加工方法
US7781771B2 (en) * 2004-03-31 2010-08-24 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
CN101894842A (zh) * 2009-05-21 2010-11-24 国际商业机器公司 场效应晶体管反相器以及制造方法

Also Published As

Publication number Publication date
KR101650416B1 (ko) 2016-08-23
US20150144880A1 (en) 2015-05-28
US20140225065A1 (en) 2014-08-14
TW201519327A (zh) 2015-05-16
CN106847875A (zh) 2017-06-13
TWI598962B (zh) 2017-09-11
TW201347046A (zh) 2013-11-16
TWI541907B (zh) 2016-07-11
US9252275B2 (en) 2016-02-02
KR20140097521A (ko) 2014-08-06
TW201804536A (zh) 2018-02-01
TWI467667B (zh) 2015-01-01
CN112563315A (zh) 2021-03-26
KR101654443B1 (ko) 2016-09-05
TW201642355A (zh) 2016-12-01
WO2013095651A1 (en) 2013-06-27
DE112011105995T5 (de) 2014-09-11
CN104126228B (zh) 2016-12-07
US8987794B2 (en) 2015-03-24
DE112011105995B4 (de) 2020-08-06
US10418487B2 (en) 2019-09-17
KR20150122270A (ko) 2015-10-30
US20160079422A1 (en) 2016-03-17
TWI666708B (zh) 2019-07-21
KR101821672B1 (ko) 2018-01-24
CN104126228A (zh) 2014-10-29
KR20160101213A (ko) 2016-08-24

Similar Documents

Publication Publication Date Title
CN106847875B (zh) 非平面栅极全包围器件及其制造方法
US11302777B2 (en) Integration methods to fabricate internal spacers for nanowire devices
US10038054B2 (en) Variable gate width for gate all-around transistors
US10186580B2 (en) Semiconductor device having germanium active layer with underlying diffusion barrier layer
KR101950120B1 (ko) 저 밴드 갭 클래딩 층을 갖는 채널 영역을 갖는 비-평면 반도체 디바이스
EP2901490A1 (en) Semiconductor device having germanium active layer with underlying parasitic leakage barrier layer
CN115528086A (zh) 通过利用外延保护去除背侧硅衬底的纳米带子鳍状物隔离

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20200909

Address after: Tokyo, Japan

Applicant after: Sony Corp.

Address before: California, USA

Applicant before: INTEL Corp.

TA01 Transfer of patent application right
GR01 Patent grant
GR01 Patent grant