US20150221726A1 - Finfet with isolated source and drain - Google Patents

Finfet with isolated source and drain Download PDF

Info

Publication number
US20150221726A1
US20150221726A1 US14/172,362 US201414172362A US2015221726A1 US 20150221726 A1 US20150221726 A1 US 20150221726A1 US 201414172362 A US201414172362 A US 201414172362A US 2015221726 A1 US2015221726 A1 US 2015221726A1
Authority
US
United States
Prior art keywords
semiconductor structure
raised
region
substrate
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/172,362
Inventor
Hoong Shing Wong
Min-Hwa Chi
Tae-hoon Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US14/172,362 priority Critical patent/US20150221726A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WONG, HOONG SHING, KIM, TAE-HOON, CHI, MIN-HWA
Publication of US20150221726A1 publication Critical patent/US20150221726A1/en
Priority to US15/627,973 priority patent/US10128333B2/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention generally relates to electrically isolating region(s) of a transistor, and, more particularly, to electrically isolating the source and drain of a FinFET from the substrate.
  • Semiconductor devices continue to scale downward while circuit densities continue to increase, as well as the expected performance. At the same time, the expectations continue downward for power used, particularly for mobile applications. These expectations put pressure on long-existing problems for semiconductors, such as leakage current, for example, channel subthreshold leakage at short gate length (the so-called “short-channel effect”) and junction leakage from the source and drain in a transistor to the substrate. While raising the active area of a transistor to separate it from the substrate (e.g., FinFETs) has helped, the expectations noted continue to trend toward smaller devices, higher densities and lower power.
  • the shortcomings of the prior art are overcome and additional advantages are provided through the provision, in one aspect, of a method of electrically isolating the source and drain of a FinFET from the substrate.
  • the method includes providing a semiconductor structure, the structure including: a semiconductor substrate, at least one raised semiconductor structure coupled to the substrate, and an active region having a source region, a drain region and a channel region therebetween, the active region including a layer of epitaxy over a top surface of the at least one raised structure.
  • the structure further includes a dummy gate encompassing the channel region and a spacer on either side of the dummy gate, while the method further includes electrically isolating the source region and the drain region from the at least one raised semiconductor structure while the channel region remains coupled thereto.
  • a semiconductor structure in accordance with another aspect, includes a semiconductor substrate, and at least one raised semiconductor structure coupled to the substrate.
  • the structure further includes an active region for each of the at least one raised semiconductor structure having a source region, a drain region and a channel region therebetween, the active region including a layer of epitaxy coupled to the at least one raised semiconductor structure at the channel region, and the source region and the drain region being isolated from the at least one raised semiconductor structure by a layer of at least one electrically insulating material.
  • FIG. 1 is a cross-sectional view of a semiconductor structure, in this case, at a source or a drain, at an early stage in the fabrication of a FinFET in accordance with aspects of the present invention.
  • FIG. 2 is a top-down view of one example showing more of the structure of FIG. 1 .
  • FIG. 3 depicts one example of the structure of FIG. 1 after recessing to expose a portion of the fin sidewalls below the silicon epitaxial growth of the source or drain.
  • FIG. 4 depicts one example of the structure of FIG. 3 after separating the epitaxial growth from the fin/substrate below.
  • FIG. 5 depicts one example of the structure of FIG. 4 after oxidation to reduce defects on the surfaces of the S/D epitaxial growth and fin/substrate.
  • FIG. 6 depicts one example of the reduced defect structure of FIG. 5 after filling in the gaps between the epitaxial growth and the substrate with an insulator.
  • FIG. 7 is a cross-sectional view of the structure of FIG. 2 taken across one of the fins.
  • Approximating language may be applied to modify any quantitative representation that could permissibly vary without resulting in a change in the basic function to which it is related. Accordingly, a value modified by a term or terms, such as “about,” is not limited to the precise value specified. In some instances, the approximating language may correspond to the precision of an instrument for measuring the value.
  • a method or device that “comprises,” “has,” “includes” or “contains” one or more steps or elements possesses those one or more steps or elements, but is not limited to possessing only those one or more steps or elements.
  • a step of a method or an element of a device that “comprises,” “has,” “includes” or “contains” one or more features possesses those one or more features, but is not limited to possessing only those one or more features.
  • a device or structure that is configured in a certain way is configured in at least that way, but may also be configured in ways that are not listed.
  • the terms “may” and “may be” indicate a possibility of an occurrence within a set of circumstances; a possession of a specified property, characteristic or function; and/or qualify another verb by expressing one or more of an ability, capability, or possibility associated with the qualified verb. Accordingly, usage of “may” and “may be” indicates that a modified term is apparently appropriate, capable, or suitable for an indicated capacity, function, or usage, while taking into account that in some circumstances the modified term may sometimes not be appropriate, capable or suitable. For example, in some circumstances, an event or capacity can be expected, while in other circumstances the event or capacity cannot occur—this distinction is captured by the terms “may” and “may be.”
  • connection when used to refer to two physical elements, means a direct connection between the two physical elements.
  • coupled can mean a direct connection or a connection through one or more intermediary elements.
  • the present invention addresses the leakage from the source and drain to the substrate as well as the leakage between the drain and source in case of a bias.
  • the leakage current from the source or drain to substrate includes the usual reverse biased junction leakage and the gate-induced drain leakage (GIDL) current based on the band-to-band tunneling (BTBT) mechanism.
  • the GIDL current may be dominant as the main source of leakage current from source/drain to substrate in advanced CMOS transistors, e.g., FinFET.
  • the leakage current between the drain and source when the transistor is turned off also includes two components: the usual channel subthreshold current and a “punch-through” current below the channel between the drain and source.
  • the present invention provides structure and methods to drastically reduce or eliminate the reverse biased junction current and the GIDL current from source/drain to substrate, and punch-through current in between the source and drain.
  • the present invention provides a method to form a bulk-FinFET with a “floating” source and drain that are electrically isolated from the substrate by, for example, a dielectric (e.g., oxide or nitride).
  • the active region is made of epitaxy grown on the fin, for example, silicon epitaxy that naturally forms into diamond shapes.
  • An isotropic wet etch for example, is performed to separate the diamond shaped source and drain from the substrate, while the channel portion of the active region is still electrically and physically coupled to the substrate and, in one aspect, physically supports the active region.
  • damage from the separation may be repaired, for example, by coating damaged surfaces with an oxide or nitride.
  • An electrical insulator e.g., oxide/nitride
  • ALD atomic layer deposition
  • the method of the present invention is relatively simple and inexpensive, and can easily be integrated into a modern FinFET production flow as a process module.
  • the present invention also results in FinFETs, for example, with drastically reduced or eliminated S/D junction leakage to the substrate as well as punch-through current in between the source and drain. This translates into a bulk-FinFET with low power capability.
  • the present invention reduces S/D capacitance for high performance FinFET circuits.
  • FIG. 1 is a cross-sectional view of a semiconductor structure 100 , in this case, taken at line 132 in FIG. 2 , across a source or a drain portion of a raised structure (see FIG. 2 description below), at an early stage in the fabrication of a FinFET, in accordance with one or more aspects of the present invention.
  • Structure 100 includes a portion of a substrate, in particular, a layer of oxide 102 , in which a plurality of fins 104 , for example, fins 106 and 108 , are stabilized.
  • semiconductor structure 100 may include a raised semiconductor structure coupled to semiconductor substrate 103 below oxide 102 .
  • raised semiconductor structure refers to a structure that is raised with respect to the substrate to which it is coupled, creating a three-dimensional structure (versus planar). In one example, such a raised structure takes the form of a “fin.”
  • the substrate may include a bulk semiconductor material, e.g., a bulk silicon wafer.
  • the substrate may include any silicon-containing substrate including, but not limited to, silicon (Si), single crystal silicon, polycrystalline silicon (Poly-Si), amorphous Si, silicon-on-nothing (SON) and the like.
  • the substrate may in addition or instead include various isolations, dopings and/or device features.
  • the substrate may include other suitable elementary semiconductors, such as, for example, crystalline germanium, a compound semiconductor such as silicon carbide (SiC), gallium arsenide (GaAs), gallium antimonide (GaSb), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs), and/or indium antimonide (InSb) or combinations thereof an alloy semiconductor including GaAsP, AlinAs, GaInAs, GaInP or GaInAsP or combinations thereof.
  • the substrate may be a planar substrate, or three-dimensional, such as FINS or Nanowires.
  • the shaped structures comprise epitaxial silicon growth on fins 106 and 108 (with sidewall of (110) surface) on substrate of (100) surface.
  • epitaxial silicon naturally grows via a process commonly referred to as selective epitaxial growth (SEG), into a diamond shape (as viewed from the cross-section as in FIG. 1 ), due to the slower growth rate of the (111) surfaces, labeled 116 .
  • SEG may be realized via various methods, for example, chemical vapor deposition (CVD), reduced pressure CVD (RPCVD) or other applicable methods.
  • the selective epitaxial growth starts when at least one semiconductor source gas is injected into the reaction chamber (typically at elevated temperature and reduced pressure).
  • the semiconductor source gas may be a silicon containing source gas, such as, silane (SiH 4 ) gas, a disilane (Si 2 H 6 ) gas, a dichlorosilane (SiH 2 Cl 2 ) gas, a SiHCl 3 gas and a SiCl 4 gas.
  • germanium or carbon containing precursor may be mixed with the above gases to form SiGe or SiC crystal.
  • III-V and II-VI compounds can also be grown on a crystalline silicon surface by using gases containing respective elements.
  • an HF-dip is typically performed to remove the thin oxide (e.g., native oxide) and expose the silicon (Si) surface before the start of the selective epitaxial growth.
  • thin oxide e.g., native oxide
  • Si silicon
  • selective as used herein with respect to epitaxial silicon growth refers to growing Si (or, alternatively, epitaxy from Groups III to V of the Periodic Table) on a Si crystal surface. Note that Si epitaxy will not grow on a surface of amorphous material (e.g., oxide or nitride). It will be understood that the lithographic masking steps may be performed to sequentially open a specific area and expose the fins 105 by HF-dip and followed by the selective epitaxial growth.
  • the growth rate on the silicon (Si) surfaces having (111) orientations is slower than that on other planes such as (110) or (100) planes. Accordingly, the resultant diamond shape after SEG results from the slowest epi growth rate on the (111) surface.
  • a thin silicon layer may begin to form around the (110) surface orientation of the fin sidewalls, with the growth sticking out from the fin sidewall surface.
  • FIG. 2 is a top-down view of one example showing more of the overall structure 100 of FIG. 1 prior to the epitaxial growth on the source and drain, resulting in the diamond shape, as described above.
  • a dummy gate with spacers (collectively, 144 ) spans and encompasses fins 110 above oxide layer 102 covering the substrate.
  • the dummy gate electrode typically, polysilicon
  • the spacer is typically oxide or nitride.
  • the S/D of fins is the portion of fins not covered by the gate electrode.
  • FIG. 3 depicts one example of the structure 100 of FIG. 1 after recessing the layer of oxide 102 to expose a small portion (e.g., about 5 nm to about 15 nm) of the fin sidewalls below the silicon epitaxial growth of the source or drain.
  • oxide 102 has been recessed to expose portion 118 of fin 106 , and portion 120 of fin 108 , below diamond shaped structures 112 and 114 , respectively.
  • the recessing is occurring for many other fins on a given bulk substrate.
  • the (110) surface of the fin sidewalls is exposed.
  • the etching comprises isotropic dry or wet etching (where the etching rate is similar on all planes).
  • the etching is accomplished using anisotropic wet etching, for example, using potassium hydroxide, ammonium hydroxide and/or tetramethylammonium hydroxide (TMAH or TMAOH), where the wet etching rate on (110) or (100) planes is greater than ten times faster than the etch rate on (111) plane.
  • anisotropic wet etching for example, using potassium hydroxide, ammonium hydroxide and/or tetramethylammonium hydroxide (TMAH or TMAOH), where the wet etching rate on (110) or (100) planes is greater than ten times faster than the etch rate on (111) plane.
  • FIG. 4 depicts one example of the structure 100 of FIG. 3 after separating the diamond shaped epitaxial structures on the fins from the bodies of the fins therebelow.
  • the removal of the epitaxial growth of silicon and/or silicon germanium from the source and drain areas may be performed using isotropic dry or wet etching.
  • the isotropic dry etching may take the form of, for example, isotropic plasma etching or reactive ion etching (RIE).
  • RIE reactive ion etching
  • the isotropic wet etching may also be performed using etching solutions such as, for example, HF: Nitric: Acetic solution (also known as HNA etch).
  • the isotropic dry etching or the isotropic wet etching would have no preference to the surface orientation of the region to be etched.
  • the fin connection of the diamond-shaped growth in the source and drain areas is etched narrower and finally separated from the fin body, effectively resulting in a slightly reduced size of the diamond-shape structures.
  • an oxide recess may instead be performed by using an HF dip to expose the (100) or (110) crystal surface orientation at the base of the diamond-shaped structures.
  • the diamond-shaped structure may be separated from the fin body portion by performing a highly selective anisotropic wet etching using hydroxide containing chemical wet etchants.
  • hydroxide containing etch chemistry include tetramethylammonium hydroxide (TMAH), ammonium hydroxide (NH 4 OH), potassium hydroxide (KOH) and lithium hydroxide (LiOH).
  • hydroxide-containing etch chemistries are one example of a family of etch chemistries that may be selective to the (111) surface orientation of the diamond-shaped epitaxial growth of silicon and silicon germanium surfaces.
  • the base of the diamond shape is completely separated away, and a small V-shape trench may be formed at the top of the fin body (as a result of the slowest etch rate of (111) surface).
  • the gap is nearly the same depth of oxide recess before wet etching as the wet anisotropic process is in a self-stopping manner on the (111) orientation surface.
  • FIG. 5 depicts one example of the structure 100 of FIG. 4 after oxidation to reduce defects on the surfaces of the source and drain epitaxial growth and fin body.
  • all exposed surfaces on the shaped epitaxial structures 110 are oxidized (collectively, 125 ), as well as surfaces 122 and 124 of lower body portions of fins 106 and 108 , which are embedded in oxide layer 102 , may be oxidized to repair defects created during separation (see FIG. 4 ).
  • Oxidation can be accomplished in a number of ways.
  • the oxidation can be accomplished using a conventional thermal oxidation process via furnace or RTA (Rapid Thermal Anneal) chamber, typically at temperatures of about 600-800° C. in an oxygen environment.
  • RTA Rapid Thermal Anneal
  • FIG. 6 is a cross-sectional view taken across line 132 in FIG. 2 , and is one example of the reduced-defect structure 100 of FIG. 5 after filling in gaps 126 and 128 with a conformal electrically insulating material, for example, a conformal oxide or nitride.
  • a conformal electrically insulating material for example, a conformal oxide or nitride.
  • conformal oxide 130 is deposited in the space separating the shaped epitaxial structures 110 and oxide layer 132 , as well as on the shaped epitaxial structures using a conventional deposition process, such as, for example, atomic layer deposition (ALD).
  • ALD atomic layer deposition
  • FIG. 7 is a cross-sectional view of the structure 100 of FIG. 2 taken across line 134 after deposition of the conformal oxide 130 (see FIG. 5 ). Shown in FIG. 7 is substrate 136 with oxide layer 102 thereover. Fin 138 includes source 140 and drain 142 surrounded by conformal oxide 130 . Although simplified in FIG. 7 for ease of understanding, it will be understood that what is shown as blocks for the source and drain, is actually the shaped epitaxial structures. Also shown between the source and drain is dummy gate 144 , including dummy gate electrode material 146 (e.g., poly-Si), spacers 148 and cap 150 (e.g., a nitride).
  • dummy gate 144 including dummy gate electrode material 146 (e.g., poly-Si), spacers 148 and cap 150 (e.g., a nitride).
  • a FinFET is being fabricated with a polysilicon (or other “dummy” gate material) gate electrode that, later in fabrication, will be replaced with a metal gate electrode (a “Replacement Metal Gate” process).
  • the “dummy” gate is removed after source and drain formation and deposition of inter-layer-dielectric (ILD) and CMP (chemical mechanical polishing) until the surface of the dummy gate is exposed, and then continuing the process steps of removing the “dummy gate,” followed by the “replacement gate” steps, along with the rest of the contacts and metal interconnections understood by those skilled in the art.
  • ILD inter-layer-dielectric
  • CMP chemical mechanical polishing

Abstract

A FinFET has shaped epitaxial structures for the source and drain that are electrically isolated from the substrate. Shaped epitaxial structures in the active region are separated from the substrate in the source and drain regions while those in the channel region remain. The gaps created by the separation in the source and drain are filled with electrically insulating material. Prior to filling the gaps, defects created by the separation may be reduced.

Description

    BACKGROUND OF THE INVENTION
  • 1. Technical Field
  • The present invention generally relates to electrically isolating region(s) of a transistor, and, more particularly, to electrically isolating the source and drain of a FinFET from the substrate.
  • 2. Background Information
  • Semiconductor devices continue to scale downward while circuit densities continue to increase, as well as the expected performance. At the same time, the expectations continue downward for power used, particularly for mobile applications. These expectations put pressure on long-existing problems for semiconductors, such as leakage current, for example, channel subthreshold leakage at short gate length (the so-called “short-channel effect”) and junction leakage from the source and drain in a transistor to the substrate. While raising the active area of a transistor to separate it from the substrate (e.g., FinFETs) has helped, the expectations noted continue to trend toward smaller devices, higher densities and lower power.
  • Therefore, there continues to be a need to further reduce leakage.
  • SUMMARY OF THE INVENTION
  • The shortcomings of the prior art are overcome and additional advantages are provided through the provision, in one aspect, of a method of electrically isolating the source and drain of a FinFET from the substrate. The method includes providing a semiconductor structure, the structure including: a semiconductor substrate, at least one raised semiconductor structure coupled to the substrate, and an active region having a source region, a drain region and a channel region therebetween, the active region including a layer of epitaxy over a top surface of the at least one raised structure. The structure further includes a dummy gate encompassing the channel region and a spacer on either side of the dummy gate, while the method further includes electrically isolating the source region and the drain region from the at least one raised semiconductor structure while the channel region remains coupled thereto.
  • In accordance with another aspect, a semiconductor structure is provided. The structure includes a semiconductor substrate, and at least one raised semiconductor structure coupled to the substrate. The structure further includes an active region for each of the at least one raised semiconductor structure having a source region, a drain region and a channel region therebetween, the active region including a layer of epitaxy coupled to the at least one raised semiconductor structure at the channel region, and the source region and the drain region being isolated from the at least one raised semiconductor structure by a layer of at least one electrically insulating material.
  • These, and other objects, features and advantages of this invention will become apparent from the following detailed description of the various aspects of the invention taken in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a semiconductor structure, in this case, at a source or a drain, at an early stage in the fabrication of a FinFET in accordance with aspects of the present invention.
  • FIG. 2 is a top-down view of one example showing more of the structure of FIG. 1.
  • FIG. 3 depicts one example of the structure of FIG. 1 after recessing to expose a portion of the fin sidewalls below the silicon epitaxial growth of the source or drain.
  • FIG. 4 depicts one example of the structure of FIG. 3 after separating the epitaxial growth from the fin/substrate below.
  • FIG. 5 depicts one example of the structure of FIG. 4 after oxidation to reduce defects on the surfaces of the S/D epitaxial growth and fin/substrate.
  • FIG. 6 depicts one example of the reduced defect structure of FIG. 5 after filling in the gaps between the epitaxial growth and the substrate with an insulator.
  • FIG. 7 is a cross-sectional view of the structure of FIG. 2 taken across one of the fins.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Aspects of the present invention and certain features, advantages, and details thereof, are explained more fully below with reference to the non-limiting examples illustrated in the accompanying drawings. Descriptions of well-known materials, fabrication tools, processing techniques, etc., are omitted so as not to unnecessarily obscure the invention in detail. It should be understood, however, that the detailed description and the specific examples, while indicating aspects of the invention, are given by way of illustration only, and are not by way of limitation. Various substitutions, modifications, additions, and/or arrangements, within the spirit and/or scope of the underlying inventive concepts will be apparent to those skilled in the art from this disclosure.
  • Approximating language, as used herein throughout the specification and claims, may be applied to modify any quantitative representation that could permissibly vary without resulting in a change in the basic function to which it is related. Accordingly, a value modified by a term or terms, such as “about,” is not limited to the precise value specified. In some instances, the approximating language may correspond to the precision of an instrument for measuring the value.
  • The terminology used herein is for the purpose of describing particular examples only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprise” (and any form of comprise, such as “comprises” and “comprising”), “have” (and any form of have, such as “has” and “having”), “include (and any form of include, such as “includes” and “including”), and “contain” (and any form of contain, such as “contains” and “containing”) are open-ended linking verbs. As a result, a method or device that “comprises,” “has,” “includes” or “contains” one or more steps or elements possesses those one or more steps or elements, but is not limited to possessing only those one or more steps or elements. Likewise, a step of a method or an element of a device that “comprises,” “has,” “includes” or “contains” one or more features possesses those one or more features, but is not limited to possessing only those one or more features. Furthermore, a device or structure that is configured in a certain way is configured in at least that way, but may also be configured in ways that are not listed.
  • As used herein, the terms “may” and “may be” indicate a possibility of an occurrence within a set of circumstances; a possession of a specified property, characteristic or function; and/or qualify another verb by expressing one or more of an ability, capability, or possibility associated with the qualified verb. Accordingly, usage of “may” and “may be” indicates that a modified term is apparently appropriate, capable, or suitable for an indicated capacity, function, or usage, while taking into account that in some circumstances the modified term may sometimes not be appropriate, capable or suitable. For example, in some circumstances, an event or capacity can be expected, while in other circumstances the event or capacity cannot occur—this distinction is captured by the terms “may” and “may be.”
  • As used herein, the term “connected,” when used to refer to two physical elements, means a direct connection between the two physical elements. The term “coupled,” however, can mean a direct connection or a connection through one or more intermediary elements.
  • Reference is made below to the drawings, which are not drawn to scale for ease of understanding, wherein the same reference numbers are used throughout different figures to designate the same or similar components.
  • The present invention addresses the leakage from the source and drain to the substrate as well as the leakage between the drain and source in case of a bias. The leakage current from the source or drain to substrate includes the usual reverse biased junction leakage and the gate-induced drain leakage (GIDL) current based on the band-to-band tunneling (BTBT) mechanism. The GIDL current may be dominant as the main source of leakage current from source/drain to substrate in advanced CMOS transistors, e.g., FinFET. The leakage current between the drain and source when the transistor is turned off also includes two components: the usual channel subthreshold current and a “punch-through” current below the channel between the drain and source. The present invention provides structure and methods to drastically reduce or eliminate the reverse biased junction current and the GIDL current from source/drain to substrate, and punch-through current in between the source and drain.
  • In one or more aspects, and without limiting the scope thereof, the present invention provides a method to form a bulk-FinFET with a “floating” source and drain that are electrically isolated from the substrate by, for example, a dielectric (e.g., oxide or nitride). The active region is made of epitaxy grown on the fin, for example, silicon epitaxy that naturally forms into diamond shapes. An isotropic wet etch, for example, is performed to separate the diamond shaped source and drain from the substrate, while the channel portion of the active region is still electrically and physically coupled to the substrate and, in one aspect, physically supports the active region. At this point, damage from the separation may be repaired, for example, by coating damaged surfaces with an oxide or nitride. An electrical insulator (e.g., oxide/nitride) is deposited conformally, for example, by atomic layer deposition or ALD, to fill in the gaps so that the “floating” source and drain (also referred to as “S/D”) of the active region is electrically isolated from the substrate, which also provides support for the S/D.
  • The method of the present invention is relatively simple and inexpensive, and can easily be integrated into a modern FinFET production flow as a process module. As explained above, the present invention also results in FinFETs, for example, with drastically reduced or eliminated S/D junction leakage to the substrate as well as punch-through current in between the source and drain. This translates into a bulk-FinFET with low power capability. In addition, the present invention reduces S/D capacitance for high performance FinFET circuits. With all the above advantages, there is also no increase in the number of masking steps over conventional bulk FinFETs. As one skilled in the art will know, masking steps tend to be some of the most costly segments of semiconductor fabrication.
  • FIG. 1 is a cross-sectional view of a semiconductor structure 100, in this case, taken at line 132 in FIG. 2, across a source or a drain portion of a raised structure (see FIG. 2 description below), at an early stage in the fabrication of a FinFET, in accordance with one or more aspects of the present invention. Structure 100 includes a portion of a substrate, in particular, a layer of oxide 102, in which a plurality of fins 104, for example, fins 106 and 108, are stabilized. Continuing with FIG. 1, in this example, semiconductor structure 100 may include a raised semiconductor structure coupled to semiconductor substrate 103 below oxide 102. As used herein, the term “raised semiconductor structure” refers to a structure that is raised with respect to the substrate to which it is coupled, creating a three-dimensional structure (versus planar). In one example, such a raised structure takes the form of a “fin.”
  • The substrate may include a bulk semiconductor material, e.g., a bulk silicon wafer. In one example, the substrate may include any silicon-containing substrate including, but not limited to, silicon (Si), single crystal silicon, polycrystalline silicon (Poly-Si), amorphous Si, silicon-on-nothing (SON) and the like. The substrate may in addition or instead include various isolations, dopings and/or device features. The substrate may include other suitable elementary semiconductors, such as, for example, crystalline germanium, a compound semiconductor such as silicon carbide (SiC), gallium arsenide (GaAs), gallium antimonide (GaSb), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs), and/or indium antimonide (InSb) or combinations thereof an alloy semiconductor including GaAsP, AlinAs, GaInAs, GaInP or GaInAsP or combinations thereof. The substrate may be a planar substrate, or three-dimensional, such as FINS or Nanowires.
  • At a top portion of the fins is a plurality of shaped structures 110, for example, diamond-shaped structures 112 and 114. In this example, the shaped structures comprise epitaxial silicon growth on fins 106 and 108 (with sidewall of (110) surface) on substrate of (100) surface. As one skilled in the art will know, epitaxial silicon naturally grows via a process commonly referred to as selective epitaxial growth (SEG), into a diamond shape (as viewed from the cross-section as in FIG. 1), due to the slower growth rate of the (111) surfaces, labeled 116. SEG may be realized via various methods, for example, chemical vapor deposition (CVD), reduced pressure CVD (RPCVD) or other applicable methods. The selective epitaxial growth starts when at least one semiconductor source gas is injected into the reaction chamber (typically at elevated temperature and reduced pressure). In one example, the semiconductor source gas may be a silicon containing source gas, such as, silane (SiH4) gas, a disilane (Si2H6) gas, a dichlorosilane (SiH2Cl2) gas, a SiHCl3 gas and a SiCl4 gas. In another example, germanium or carbon containing precursor may be mixed with the above gases to form SiGe or SiC crystal. Furthermore, III-V and II-VI compounds can also be grown on a crystalline silicon surface by using gases containing respective elements. Further, an HF-dip is typically performed to remove the thin oxide (e.g., native oxide) and expose the silicon (Si) surface before the start of the selective epitaxial growth. The term “selective” as used herein with respect to epitaxial silicon growth refers to growing Si (or, alternatively, epitaxy from Groups III to V of the Periodic Table) on a Si crystal surface. Note that Si epitaxy will not grow on a surface of amorphous material (e.g., oxide or nitride). It will be understood that the lithographic masking steps may be performed to sequentially open a specific area and expose the fins 105 by HF-dip and followed by the selective epitaxial growth.
  • It is observed that due to different growth rates on different crystal surface planes or orientations, different shapes may be formed. For example, the growth rate on the silicon (Si) surfaces having (111) orientations is slower than that on other planes such as (110) or (100) planes. Accordingly, the resultant diamond shape after SEG results from the slowest epi growth rate on the (111) surface. During the epitaxial growth, a thin silicon layer may begin to form around the (110) surface orientation of the fin sidewalls, with the growth sticking out from the fin sidewall surface. As the growth continues, it may be limited by the (111) surface orientation, gradually resulting in a diamond shape (with typical characteristic inner angles of 54.7° and 109.4°, i.e., angles between (111) planes), with advantages over a thin rectangular fin shape (as prior to performing SEG) of a greater surface area and volume in the source and drain region, the flexibility of a multi-layer fin structure (e.g., SiGe diamonds on Si fins), and built-in fin stress materials as compared to the conventional surface.
  • FIG. 2 is a top-down view of one example showing more of the overall structure 100 of FIG. 1 prior to the epitaxial growth on the source and drain, resulting in the diamond shape, as described above. As shown and described more fully with respect to FIG. 7 (a cross-sectional view taken at line 134 in FIG. 2), a dummy gate with spacers (collectively, 144) spans and encompasses fins 110 above oxide layer 102 covering the substrate. The dummy gate electrode (typically, polysilicon) is situated over and separated from the fin by a thin dielectric (typically, Si-oxide or Si-Oxynitride) and patterned to be perpendicular to and wrapping around or encompassing the fins. The spacer is typically oxide or nitride. The S/D of fins is the portion of fins not covered by the gate electrode.
  • FIG. 3 depicts one example of the structure 100 of FIG. 1 after recessing the layer of oxide 102 to expose a small portion (e.g., about 5 nm to about 15 nm) of the fin sidewalls below the silicon epitaxial growth of the source or drain. For example, oxide 102 has been recessed to expose portion 118 of fin 106, and portion 120 of fin 108, below diamond shaped structures 112 and 114, respectively. Of course, it will be appreciated that the recessing is occurring for many other fins on a given bulk substrate. In one example, the (110) surface of the fin sidewalls is exposed. In a further example, the etching comprises isotropic dry or wet etching (where the etching rate is similar on all planes). In yet a further example, the etching is accomplished using anisotropic wet etching, for example, using potassium hydroxide, ammonium hydroxide and/or tetramethylammonium hydroxide (TMAH or TMAOH), where the wet etching rate on (110) or (100) planes is greater than ten times faster than the etch rate on (111) plane.
  • FIG. 4 depicts one example of the structure 100 of FIG. 3 after separating the diamond shaped epitaxial structures on the fins from the bodies of the fins therebelow. For example, the removal of the epitaxial growth of silicon and/or silicon germanium from the source and drain areas may be performed using isotropic dry or wet etching. In one example, the isotropic dry etching may take the form of, for example, isotropic plasma etching or reactive ion etching (RIE). In another example, the isotropic wet etching may also be performed using etching solutions such as, for example, HF: Nitric: Acetic solution (also known as HNA etch). The isotropic dry etching or the isotropic wet etching would have no preference to the surface orientation of the region to be etched. As a consequence, the fin connection of the diamond-shaped growth in the source and drain areas is etched narrower and finally separated from the fin body, effectively resulting in a slightly reduced size of the diamond-shape structures.
  • Alternatively, an oxide recess may instead be performed by using an HF dip to expose the (100) or (110) crystal surface orientation at the base of the diamond-shaped structures. The diamond-shaped structure may be separated from the fin body portion by performing a highly selective anisotropic wet etching using hydroxide containing chemical wet etchants. Some examples of the hydroxide-containing etch chemistry include tetramethylammonium hydroxide (TMAH), ammonium hydroxide (NH4OH), potassium hydroxide (KOH) and lithium hydroxide (LiOH). Since the etching rate of Si (100) and (110) surface orientation is about 10 to about 100 times larger than that of (111) surface orientation, hydroxide-containing etch chemistries are one example of a family of etch chemistries that may be selective to the (111) surface orientation of the diamond-shaped epitaxial growth of silicon and silicon germanium surfaces. As a consequence, the base of the diamond shape is completely separated away, and a small V-shape trench may be formed at the top of the fin body (as a result of the slowest etch rate of (111) surface). The gap is nearly the same depth of oxide recess before wet etching as the wet anisotropic process is in a self-stopping manner on the (111) orientation surface.
  • FIG. 5 depicts one example of the structure 100 of FIG. 4 after oxidation to reduce defects on the surfaces of the source and drain epitaxial growth and fin body. For example, all exposed surfaces on the shaped epitaxial structures 110 are oxidized (collectively, 125), as well as surfaces 122 and 124 of lower body portions of fins 106 and 108, which are embedded in oxide layer 102, may be oxidized to repair defects created during separation (see FIG. 4). Oxidation can be accomplished in a number of ways. For example, the oxidation can be accomplished using a conventional thermal oxidation process via furnace or RTA (Rapid Thermal Anneal) chamber, typically at temperatures of about 600-800° C. in an oxygen environment. Although reduced in size by the oxidation, gaps remain between the shaped epitaxial structures 110 and the bottom portion of their respective fins from the separation, for example, gaps 126 and 128.
  • FIG. 6 is a cross-sectional view taken across line 132 in FIG. 2, and is one example of the reduced-defect structure 100 of FIG. 5 after filling in gaps 126 and 128 with a conformal electrically insulating material, for example, a conformal oxide or nitride. In one example, conformal oxide 130 is deposited in the space separating the shaped epitaxial structures 110 and oxide layer 132, as well as on the shaped epitaxial structures using a conventional deposition process, such as, for example, atomic layer deposition (ALD).
  • FIG. 7 is a cross-sectional view of the structure 100 of FIG. 2 taken across line 134 after deposition of the conformal oxide 130 (see FIG. 5). Shown in FIG. 7 is substrate 136 with oxide layer 102 thereover. Fin 138 includes source 140 and drain 142 surrounded by conformal oxide 130. Although simplified in FIG. 7 for ease of understanding, it will be understood that what is shown as blocks for the source and drain, is actually the shaped epitaxial structures. Also shown between the source and drain is dummy gate 144, including dummy gate electrode material 146 (e.g., poly-Si), spacers 148 and cap 150 (e.g., a nitride). In the present example, a FinFET is being fabricated with a polysilicon (or other “dummy” gate material) gate electrode that, later in fabrication, will be replaced with a metal gate electrode (a “Replacement Metal Gate” process). Typically, the “dummy” gate is removed after source and drain formation and deposition of inter-layer-dielectric (ILD) and CMP (chemical mechanical polishing) until the surface of the dummy gate is exposed, and then continuing the process steps of removing the “dummy gate,” followed by the “replacement gate” steps, along with the rest of the contacts and metal interconnections understood by those skilled in the art. However, it will be understood that the present invention would also work with a metal gate electrode upfront, rather than a RMG process.
  • While several aspects of the present invention have been described and depicted herein, alternative aspects may be effected by those skilled in the art to accomplish the same objectives. Accordingly, it is intended by the appended claims to cover all such alternative aspects as fall within the true spirit and scope of the invention.

Claims (19)

1. A method, comprising:
providing a semiconductor structure, the structure comprising: a semiconductor substrate; at least one raised semiconductor structure coupled to the substrate, and an active region having a source region, a drain region and a channel region therebetween, the active region comprising a layer of epitaxy over a top surface of the at least one raised structure, a dummy gate encompassing the channel region and a spacer on either side of the dummy gate; and
electrically isolating the source region and the drain region from the at least one raised semiconductor structure while the channel region remains coupled thereto.
2. The method of claim of claim 1, wherein the electrically isolating comprises:
separating the source region and the drain region from the at least one raised semiconductor structure while the channel region remains coupled to the at least one raised semiconductor structure, wherein the separating creates a source region gap and a drain region gap with the at least one raised semiconductor structure; and
filling the source region gap and the drain region gap with at least one electrically insulating material.
3. The method of claim 2, wherein the separating comprises:
recessing the substrate to expose a portion of the at least one raised semiconductor structure; and
etching the exposed portion of the at least one raised semiconductor structure.
4. The method of claim 3, wherein the exposed portion of the at least one raised semiconductor structure has a length of about 5 nm to about 10 nm.
5. The method of claim 2, further comprising, prior to the filling, reducing defects in the epitaxy and/or substrate caused by the separating.
6. The method of claim 5, wherein reducing defects comprises oxidizing affected surfaces of the epitaxy and the at least one raised semiconductor structure.
7. The method of claim 2, wherein the filling comprises conformally depositing the at least one insulating material over the source region and the drain region, and in the source and drain region gaps.
8. The method of claim 2, wherein the semiconductor structure further comprises at least one other type of semiconductor device, the method further comprising masking the at least one other type of semiconductor device prior to the separating and the filling.
9. A semiconductor structure, comprising:
a semiconductor substrate;
at least one raised semiconductor structure coupled to the substrate; and
an active region for each of the at least one raised semiconductor structure having a source region, a drain region and a channel region therebetween, wherein the active region comprises a layer of epitaxy coupled to the at least one raised semiconductor structure at the channel region, the source region and the drain region being isolated from the at least one raised semiconductor structure by a layer of at least one electrically insulating material.
10. The semiconductor structure of claim 9, wherein the layer of at least one insulating material has a thickness of about 5 nm to about 10 nm.
11. The semiconductor structure of claim 9, wherein the layer of at least one insulating material comprises at least one oxide.
12. The semiconductor structure of claim 9, wherein the layer of at least one insulating material comprises at least one nitride.
13. The semiconductor structure of claim 9, wherein the semiconductor substrate comprises a bulk semiconductor substrate, wherein the at least one raised semiconductor structure comprises a plurality of raised semiconductor structures.
14. The semiconductor structure of claim 13, wherein the bulk semiconductor substrate comprises at least two different types of semiconductor devices.
15. The semiconductor structure of claim 9, further comprising:
a dummy gate encompassing the channel region; and
a spacer on either side of the dummy gate with a cap thereover.
16. The semiconductor structure of claim 9, wherein the epitaxy comprises epitaxial silicon.
17. The semiconductor structure of claim 9, wherein the epitaxy comprises epitaxial silicon germanium.
18. The semiconductor structure of claim 9, wherein the epitaxy comprises at least one material from each of periodic table column III and column V.
19. The semiconductor structure of claim 9, wherein the epitaxy comprises at least one material from each of periodic table column II and column VI.
US14/172,362 2014-02-04 2014-02-04 Finfet with isolated source and drain Abandoned US20150221726A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/172,362 US20150221726A1 (en) 2014-02-04 2014-02-04 Finfet with isolated source and drain
US15/627,973 US10128333B2 (en) 2014-02-04 2017-06-20 FinFET with isolated source and drain

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/172,362 US20150221726A1 (en) 2014-02-04 2014-02-04 Finfet with isolated source and drain

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/627,973 Division US10128333B2 (en) 2014-02-04 2017-06-20 FinFET with isolated source and drain

Publications (1)

Publication Number Publication Date
US20150221726A1 true US20150221726A1 (en) 2015-08-06

Family

ID=53755519

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/172,362 Abandoned US20150221726A1 (en) 2014-02-04 2014-02-04 Finfet with isolated source and drain
US15/627,973 Active US10128333B2 (en) 2014-02-04 2017-06-20 FinFET with isolated source and drain

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/627,973 Active US10128333B2 (en) 2014-02-04 2017-06-20 FinFET with isolated source and drain

Country Status (1)

Country Link
US (2) US20150221726A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9306001B1 (en) * 2015-04-14 2016-04-05 International Business Machines Corporation Uniformly doped leakage current stopper to counter under channel leakage currents in bulk FinFET devices
CN106098783A (en) * 2016-08-19 2016-11-09 北京大学 A kind of low-power consumption fin formula field effect transistor and preparation method thereof
US9601514B1 (en) 2016-01-26 2017-03-21 International Business Machines Corporation Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
US9812332B2 (en) 2016-01-05 2017-11-07 Samsung Electronics Co., Ltd. Etching methods and methods of manufacturing semiconductor devices using the same
US20180145178A1 (en) * 2015-05-05 2018-05-24 International Business Machines Corporation Strained finfet source drain isolation
WO2019125424A1 (en) * 2017-12-20 2019-06-27 Intel Corporation Transistor with isolation below source and drain
US20190363092A1 (en) * 2018-05-22 2019-11-28 International Business Machines Corporation Vertical transistor with edram

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11177366B2 (en) 2020-01-13 2021-11-16 International Business Machines Corporation Gate induced drain leakage reduction in FinFETs

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101763B1 (en) * 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US20090008705A1 (en) * 2007-07-05 2009-01-08 International Business Machines Corporation Body-contacted finfet
US7671416B1 (en) * 2004-09-30 2010-03-02 Altera Corporation Method and device for electrostatic discharge protection
US20110193178A1 (en) * 2010-02-09 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-Notched SiGe FinFET Formation Using Condensation
US20130161756A1 (en) * 2011-12-23 2013-06-27 Glenn A. Glass Nanowire transistor devices and forming techniques
US20140008731A1 (en) * 2012-07-05 2014-01-09 International Business Machines Corporation Field-effect-transistor with self-aligned diffusion contact
US20140103441A1 (en) * 2012-10-15 2014-04-17 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20140225065A1 (en) * 2011-12-23 2014-08-14 Willy Rachmady Non-planar gate all-around device and method of fabrication thereof
US20140264489A1 (en) * 2013-03-15 2014-09-18 Globalfoundries Inc. Wrap around stressor formation
US20150108585A1 (en) * 2012-12-21 2015-04-23 Stmicroelectronics, Inc. Fully substrate-isolated finfet transistor
US20160005852A1 (en) * 2014-07-07 2016-01-07 Sung-min Kim Semiconductor devices having lower and upper fins and method for fabricating the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100517559B1 (en) * 2003-06-27 2005-09-28 삼성전자주식회사 Fin field effect transistor and method for forming of fin therein
US7659157B2 (en) * 2007-09-25 2010-02-09 International Business Machines Corporation Dual metal gate finFETs with single or dual high-K gate dielectric
US7560358B1 (en) 2007-12-31 2009-07-14 Intel Corporation Method of preparing active silicon regions for CMOS or other devices
US9012286B2 (en) * 2012-04-12 2015-04-21 Globalfoundries Inc. Methods of forming FinFET semiconductor devices so as to tune the threshold voltage of such devices
US9000522B2 (en) * 2013-01-09 2015-04-07 International Business Machines Corporation FinFET with dielectric isolation by silicon-on-nothing and method of fabrication
US20150187909A1 (en) * 2013-12-30 2015-07-02 Global Foundries, Inc. Methods for fabricating multiple-gate integrated circuits
US9437698B2 (en) * 2014-09-04 2016-09-06 Taiwan Semiconductor Manufacturing Company Lmited Semiconductor device including a gate structure wrapped around a fin structure

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7671416B1 (en) * 2004-09-30 2010-03-02 Altera Corporation Method and device for electrostatic discharge protection
US7101763B1 (en) * 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US20090008705A1 (en) * 2007-07-05 2009-01-08 International Business Machines Corporation Body-contacted finfet
US20110193178A1 (en) * 2010-02-09 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-Notched SiGe FinFET Formation Using Condensation
US20130161756A1 (en) * 2011-12-23 2013-06-27 Glenn A. Glass Nanowire transistor devices and forming techniques
US20140225065A1 (en) * 2011-12-23 2014-08-14 Willy Rachmady Non-planar gate all-around device and method of fabrication thereof
US20140008731A1 (en) * 2012-07-05 2014-01-09 International Business Machines Corporation Field-effect-transistor with self-aligned diffusion contact
US20140103441A1 (en) * 2012-10-15 2014-04-17 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20150108585A1 (en) * 2012-12-21 2015-04-23 Stmicroelectronics, Inc. Fully substrate-isolated finfet transistor
US20140264489A1 (en) * 2013-03-15 2014-09-18 Globalfoundries Inc. Wrap around stressor formation
US20160005852A1 (en) * 2014-07-07 2016-01-07 Sung-min Kim Semiconductor devices having lower and upper fins and method for fabricating the same

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9306001B1 (en) * 2015-04-14 2016-04-05 International Business Machines Corporation Uniformly doped leakage current stopper to counter under channel leakage currents in bulk FinFET devices
US10586867B2 (en) * 2015-05-05 2020-03-10 International Business Machines Corporation Strained FinFET source drain isloation
US20180145178A1 (en) * 2015-05-05 2018-05-24 International Business Machines Corporation Strained finfet source drain isolation
US9812332B2 (en) 2016-01-05 2017-11-07 Samsung Electronics Co., Ltd. Etching methods and methods of manufacturing semiconductor devices using the same
US10096698B2 (en) 2016-01-26 2018-10-09 International Business Machines Corporation Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
US9716046B1 (en) 2016-01-26 2017-07-25 International Business Machines Corporation Method and structure for forming dielectric isolated finFET with improved source/drain epitaxy
US9985117B2 (en) 2016-01-26 2018-05-29 International Business Machines Corporation Method and structure for forming dielectric isolated finFET with improved source/drain epitaxy
US10056255B2 (en) 2016-01-26 2018-08-21 International Business Machines Corporation Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
US9601514B1 (en) 2016-01-26 2017-03-21 International Business Machines Corporation Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
US10847639B2 (en) 2016-01-26 2020-11-24 Tessera, Inc. Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
US11430879B2 (en) 2016-01-26 2022-08-30 Tessera, Inc. Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
CN106098783A (en) * 2016-08-19 2016-11-09 北京大学 A kind of low-power consumption fin formula field effect transistor and preparation method thereof
WO2019125424A1 (en) * 2017-12-20 2019-06-27 Intel Corporation Transistor with isolation below source and drain
US11171207B2 (en) 2017-12-20 2021-11-09 Intel Corporation Transistor with isolation below source and drain
US11923410B2 (en) 2017-12-20 2024-03-05 Intel Corporation Transistor with isolation below source and drain
US20190363092A1 (en) * 2018-05-22 2019-11-28 International Business Machines Corporation Vertical transistor with edram
US11069688B2 (en) * 2018-05-22 2021-07-20 International Business Machines Corporation Vertical transistor with eDRAM

Also Published As

Publication number Publication date
US20170288016A1 (en) 2017-10-05
US10128333B2 (en) 2018-11-13

Similar Documents

Publication Publication Date Title
US10128333B2 (en) FinFET with isolated source and drain
US10991795B2 (en) Semiconductor device and manufacturing method thereof
US9337340B2 (en) FinFET with active region shaped structures and channel separation
US10269971B2 (en) Semiconductor devices and FinFETs
TWI715671B (en) Nanowire transistors with embedded dielectric spacers
US9276064B1 (en) Fabricating stacked nanowire, field-effect transistors
US9306019B2 (en) Integrated circuits with nanowires and methods of manufacturing the same
KR102175547B1 (en) Pre-sculpting of si fin elements prior to cladding for transistor channel applications
US9224865B2 (en) FinFET with insulator under channel
US20140264607A1 (en) Iii-v finfets on silicon substrate
US9059002B2 (en) Non-merged epitaxially grown MOSFET devices
US9595589B2 (en) Transistor with performance boost by epitaxial layer
CN103779278A (en) CMOS (Complementary Metal Oxide Semiconductor) tube forming method
US9543417B2 (en) High mobility devices and methods of forming same
US10164097B2 (en) Semiconductor device and manufacturing method thereof
US9728626B1 (en) Almost defect-free active channel region
WO2016190858A1 (en) Apparatus and methods to create a buffer which extends into a gated region of a transistor
CN104425275A (en) Forming method of semiconductor structure
US9960257B2 (en) Common fabrication of multiple FinFETs with different channel heights
WO2016043770A1 (en) Apparatus and methods to create a buffer to reduce leakage in microelectronic transistors
US9735152B2 (en) Non-planar structure with extended exposed raised structures and same-level gate and spacers
US11804522B2 (en) Sidewall epitaxy encapsulation for nanosheet I/O device
CN111627815B (en) Forming method of non-planar field effect transistor
US20210074830A1 (en) Isolated Vertical Nanowire
US20240006496A1 (en) Semiconductor device with robust inner spacer

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WONG, HOONG SHING;CHI, MIN-HWA;KIM, TAE-HOON;SIGNING DATES FROM 20131218 TO 20140201;REEL/FRAME:032137/0053

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117