KR102259187B1 - 콘택 집적 및 선택적 실리사이드 형성 방법들 - Google Patents

콘택 집적 및 선택적 실리사이드 형성 방법들 Download PDF

Info

Publication number
KR102259187B1
KR102259187B1 KR1020197010723A KR20197010723A KR102259187B1 KR 102259187 B1 KR102259187 B1 KR 102259187B1 KR 1020197010723 A KR1020197010723 A KR 1020197010723A KR 20197010723 A KR20197010723 A KR 20197010723A KR 102259187 B1 KR102259187 B1 KR 102259187B1
Authority
KR
South Korea
Prior art keywords
regions
containing precursor
germanium
silicide
silicon
Prior art date
Application number
KR1020197010723A
Other languages
English (en)
Other versions
KR20190042108A (ko
Inventor
마티아스 바우어
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020217015990A priority Critical patent/KR102302000B1/ko
Publication of KR20190042108A publication Critical patent/KR20190042108A/ko
Application granted granted Critical
Publication of KR102259187B1 publication Critical patent/KR102259187B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)

Abstract

선택적 실리사이드 형성을 위한 방법들이 본원에 설명된다. 방법들은 일반적으로, 콘택 구조 집적 방식들과 함께 활용되고, 개선된 실리사이드 형성 특성들을 제공한다. 일 구현에서, 실리사이드 물질은 약 550 ℃ 미만의 온도에서 소스/드레인(S/D) 영역들 상에 선택적으로 형성된다. 결과적인 실리사이드는 진보된 콘택 집적 방식들에서 바람직한 접촉 저항 및 적용가능성을 보이는 것으로 여겨진다.

Description

콘택 집적 및 선택적 실리사이드 형성 방법들
본 개시내용의 구현들은 일반적으로, 콘택 집적 방식들을 위한 선택적 실리사이드 형성 방법들에 관한 것이다.
티타늄 실리사이드는 반도체 디바이스 제조에서 실리사이드 콘택들을 위한 유망한 물질이다. 그러나, 티타늄 실리사이드의 화학 기상 증착(CVD)은 800 ℃ 미만의 온도들에 대해 선택적이지 않다. 게다가, 실리사이드 형성은 바람직한 형상 추종성에 못미치는 형상추종성을 보이고, 실리사이드 형성 동안 규소가 소모되며, 증착 후 어닐(post deposition anneal)이 종종 활용되어 낮은 비저항 상태의 실리사이드들을 형성한다. 이러한 변수들은 종종 시간 소모적이며, 진보된 노드 콘택 구조 집적 방식들에 대한 열 예산들과 양립가능하지 않다.
따라서, 개선된 실리사이드 형성 방법들이 관련 기술분야에 필요하다.
일 구현에서, 실리사이드 형성 방법이 제공된다. 방법은, 소스/드레인(S/D) 영역들을 노출시키기 위해 콘택 트렌치 식각 프로세스를 수행하는 단계 및 노출된 S/D 영역들에 대해 세정 프로세스를 수행하는 단계를 포함한다. 에피택셜 게르마늄 증착이 S/D 영역들 상에 수행되고, 에피택셜 증착된 게르마늄을 약 550 ℃ 미만의 온도에서 규소 함유 전구체 및 티타늄 함유 전구체에 노출시킴으로써 S/D 영역들에 실리사이드 형성 프로세스가 수행된다.
또 다른 구현에서, 실리사이드 형성 방법이 제공된다. 방법은, 소스/드레인(S/D) 영역들을 노출시키기 위해 콘택 트렌치 식각 프로세스를 수행하는 단계를 포함하고, 노출된 S/D 영역들은 IV족 물질들을 포함한다. 노출된 S/D 영역들 상에 산화물 제거 프로세스가 수행되고, S/D 영역들 상에 에피택셜 게르마늄 증착이 수행된다. 티타늄, 규소, 및 게르마늄을 포함하는 실리사이드 물질 합금을 형성하기 위해, 에피택셜 증착된 게르마늄을 약 500 ℃ 미만의 온도에서 규소 함유 전구체 및 티타늄 함유 전구체에 노출시킴으로써 S/D 영역들 상에 열 화학 기상 증착 실리사이드 형성 프로세스가 수행된다. 게르마늄은 10% 미만의 농도로 합금에 존재한다.
또 다른 구현에서, 실리사이드 형성 방법이 제공된다. 방법은, pMOS 유형 및 nMOS 유형 소스/드레인(S/D) 영역들을 노출시키기 위해 콘택 트렌치 식각 프로세스를 수행하는 단계, 노출된 S/D 영역들에 대해 제1 세정 프로세스를 수행하는 단계, pMOS 유형 S/D 영역들을 마스킹하는 단계, pMOS 유형 S/D 영역들 상에 에피택셜 게르마늄 증착을 수행하는 단계, 및 pMOS 유형 S/D 영역들로부터 마스크를 제거하는 단계를 포함한다. 방법은, nMOS 유형 S/D 영역들을 마스킹하는 단계, nMOS 유형 S/D 영역들 상에 에피택셜 게르마늄 증착을 수행하는 단계, nMOS 유형 S/D 영역들로부터 마스크를 제거하는 단계, 및 S/D 영역들에 대해 제2 세정 프로세스를 수행하는 단계를 더 포함한다. 에피택셜 증착된 게르마늄을 약 550 ℃ 미만의 온도에서 규소 함유 전구체 및 티타늄 함유 전구체에 노출시킴으로써 S/D 영역들 상에 실리사이드 형성 프로세스가 수행된다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 구현들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 첨부 도면들은 단지 예시적인 구현들만을 예시하고 따라서 그의 범위를 제한하는 것으로 간주되어서는 안 되며, 다른 동등하게 효과적인 구현들을 허용할 수 있다는 점에 주목해야 한다.
도 1은 본원에 설명된 일 구현에 따른 방법의 작동들을 예시한다.
도 2는 본원에 설명된 일 구현에 따른 방법의 작동들을 예시한다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 구현의 요소들 및 특징들이 추가의 언급 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
선택적 실리사이드 형성을 위한 방법들이 본원에 설명된다. 방법들은 일반적으로, 콘택 구조 집적 방식들과 함께 활용되고, 개선된 실리사이드 형성 특성들을 제공한다. 일 구현에서, 실리사이드 물질은 약 550 ℃ 미만의 온도에서 소스/드레인(S/D) 영역들 상에 선택적으로 형성된다. 결과적인 실리사이드는 진보된 콘택 집적 방식들에서 바람직한 접촉 저항 및 적용가능성을 보이는 것으로 여겨진다.
도 1은 선택적 실리사이드 형성 프로세스를 수행하기 위한 방법(100)의 작동들을 예시한다. 작동(110)에서, 콘택 트렌치 식각 프로세스가 수행된다. 식각 프로세스, 예컨대, 반응성 이온 식각 프로세스 등은 반도체 디바이스의 소스/드레인(S/D) 영역들을 노출시키기 위해 수행된다. 본원에서 설명되는 구현들이, 다양한 집적 방식들, 예컨대, 실리사이드가 가장 먼저이고 실리사이드가 가장 나중인 집적 방식들에서 적합한 적용가능성을 찾을 수 있다는 것이 고려된다. 유사하게, 본원에 설명된 구현들은 게이트가 가장 먼저이고 게이트가 가장 나중인 집적 방식들에 유익할 것으로 여겨진다.
작동(120)에서, 세정 프로세스가 S/D 영역들에 대해 수행된다. 세정 프로세스는 후속 처리 작동들, 예컨대, 실리사이드 형성 프로세스들 이전에 수행될 수 있다. 일 구현에서, 세정 프로세스는 S/D 영역들의 표면들로부터 산화물, 질화물 또는 다른 물질들을 제거하는 데에 활용된다. 적합한 세정 프로세스들은 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스(Applied Materials)로부터 입수가능한 시코니®(SICONI®) 사전 세정 프로세스를 포함한다. 그러나, 다른 제조업자들로부터의 적합하게 구성된 세정 프로세스들이 또한, 본원에 설명된 구현들에 따라 구현될 수 있다는 것이 고려된다.
작동(120)과 관련하여 설명된 세정 프로세스는 S/D 영역들의 표면을 노출시키기 위해 S/D 영역들로부터 산화물들, 질화물들 또는 다른 바람직하지 않은 물질들을 실질적으로 또는 완전히 제거한다고 여겨진다. 일 구현에서, S/D 영역들의 노출된 표면들은 IV족 물질들, 예컨대, 규소 및/또는 게르마늄을 포함한다. 다른 물질들, 예컨대, 인, 인듐, 비소, 갈륨 등이 또한, 노출된 표면들에 존재할 수 있다. 일 구현에서, S/D 영역들은 nMOS 유형 디바이스들로서 구성된다. 또 다른 구현에서, S/D 영역들은 pMOS 유형 디바이스들로서 구성된다. 기판이 완전히 nMOS로, 완전히 pMOS로, 또는 nMOS 및 pMOS 디바이스들의 조합으로 구성될 수 있다는 것이 고려된다.
작동(130)에서, 에피택셜 게르마늄 증착 프로세스가 S/D 영역들 상에 수행된다. 일 구현에서, 에피택셜 증착된 게르마늄은 수 옹스트롬 내지 수 나노미터의 두께, 예를 들어, 약 1 Å 내지 약 10 nm, 예컨대, 약 5 Å 내지 약 5 nm의 두께를 가질 수 있다. S/D 영역들 상에 증착된 에피택셜 게르마늄 층이 nMOS 및 pMOS 디바이스 구조들 양쪽 모두에 적합할 수 있다는 것이 고려된다. 일 구현에서, 에피택셜 게르마늄 층은 또한, 갈륨으로 도핑된다. 따라서, 디바이스에 특정된(즉, nMOS, pMOS) S/D 계면 공학기술이 간소화되고 콘택 집적의 효율이 개선될 수 있다.
작동(140)에서, S/D 영역 상에 형성된 에피택셜 게르마늄 층이 선택적으로 세정된다. 예를 들어, 자연 산화물이 게르마늄 층 상에 형성되는 경우, 후속 실리사이드 형성 프로세스들을 위한 게르마늄 층을 준비하기 위해 시코니® 또는 다른 적합한 산화물 제거 프로세스가 수행될 수 있다. 실리사이드 형성 동안 S/D 물질의 소모를 방지하거나 감소시키기 위해, 게르마늄 층이 S/D과 실리사이드 계면에 추가적인 반전도성 물질을 제공하는 것으로 여겨진다.
작동(150)에서, 선택적 실리사이드 형성 프로세스가 수행된다. 일 구현에서, 선택적 실리사이드 형성 프로세스는 약 550 ℃ 미만, 예컨대, 약 500 ℃ 미만의 온도에서 수행되는 열 CVD 프로세스이다. 일 구현에서, TiCl4는 티타늄 전구체로서 활용되고 SiH4는 규소 전구체로서 활용된다. 또 다른 구현에서, 본원에 설명된 감소된 온도들에서 화합물의 분해 에너지를 감소시키기 위해, 더 대량의 할로겐, 예컨대, TiBr4 또는 TiI4가 활용될 수 있다. 또 다른 구현에서, 더 고차의 실란들, 예컨대, Si2H6, Si3H8, 및 Si4H10이, 더 고차의 실란들과 연관된 감소된 분해 에너지 때문에 활용될 수 있다. 대안적으로, 수소화게르마늄들, 예컨대, GeH3가 규소 지배 S/D 영역들 표면으로부터의 Cl 탈착을 더 돕는 데에 활용될 수 있다. 일 구현에서, 결과적인 형성된 실리사이드는 13-16 μΩ/㎠ 사이의 비저항을 보이는 낮은 저항의 c54-TiSi2 상태가 될 것으로 여겨진다.
실리사이드를 형성하기 위해 활용되는 규소 전구체들 및 티타늄 전구체는 열 CVD 프로세스 동안 교번하는 방식으로 펄싱되거나 공동 유동될 수 있다. 또 다른 구현에서, SiCl4, SiCl3H, SiCl2H2, SiCl2, SiClH4, HCl, Cl2, GeCl4, GeCl2, 및 이들의 조합들 및 혼합물들이 또한, 실리사이드 형성 프로세스 동안 공동 유동되거나, 펄싱되거나, 주기적으로 펄싱된다. H를 보충하는 것은 S/D 영역들에서 규소의 식각을 감소시키고, Cl의 추가적인 공급은 실리사이드 증착(즉, 다른 구조들 및 물질들에 우선하여 주로 S/D 영역들 상에의 자기-정렬 실리사이드 형성) 동안 바람직한 선택도를 유지하는 데에 활용될 수 있다고 여겨진다.
추가적인 전구체들 및 그와 연관된 대응하는 물질들의 활용은 또한, 핀홀 밀도를 감소시키거나 또는 핀홀들을 완전히 제거하여, S/D 영역 계면에 걸쳐 균일한 성장 특성들을 갖는 실리사이드를 생성하는 것으로 여겨진다. 게르마늄 함유 전구체들을 활용하여 약 10%까지의 Ge (Ti(Si0.9Ge0.1)2)를 갖는 실리사이드 합금들이 또한, 바람직한 실리사이드 특성들, 예컨대, 막 및 접촉 비저항 및 열 안정성을 제공한다는 것이 고려된다. 이 구현에서, 막 비저항은 약 15 μΩ/cm일 수 있고, 접촉 비저항은 약 2E-9 Ω/㎠일 수 있다.
실리사이드 형성 프로세스는 또한, 증가된 콘택 면적 집적 방식들을 위해 "가우징된" S/D 구조들 또는 랩 어라운드 콘택 구조들의 형성에서 활용될 수 있다. 실리사이드 증착 프로세스의 선택적이고 등각적인 성질이, "높은(tall)" 핀 전계 효과 트랜지스터들(FinFET) 및 수평 게이트 올 어라운드(hGAA) 트랜지스터 아키텍처들에서 유리하게 활용될 수 있다는 것이 고려된다.
도 2는 선택적 실리사이드 형성 프로세스를 수행하기 위한 방법(200)의 작동들을 예시한다. 작동(205)에서, 콘택 트렌치 식각 프로세스가 수행되고, 작동(210)에서, 세정 프로세스가 S/D 영역들에 대해 수행된다. 특정 구현들에서, 작동들(205 및 210)은 각각 작동들(110 및 120)과 유사하다. 작동(215)에서, pMOS S/D 영역들이 마스킹된다. 적합한 마스크들, 예컨대, 질화물 물질들 및 탄소 함유 물질들은, 작동(220)에서 nMOS S/D 영역들 상에의 에피택셜 증착 동안 pMOS S/D 영역들 상에의 증착을 방지하기 위해 pMOS S/D 영역들 위에 선택적으로 형성된다. nMOS S/D 영역들 상에의 증착은 고도로 도핑된 SiP 물질들, 인화물 물질들, 규소 물질들, 규소 게르마늄 물질들 및 인 도핑된 규소 게르마늄 물질들을 포함할 수 있다.
작동(225)에서, 마스크가 pMOS S/D 영역들로부터 제거되고, 작동(230)에서, nMOS S/D 영역들이 마스킹된다. nMOS S/D 영역들에 대해 활용되는 마스크들은 pMOS S/D 영역들에 대해 활용되는 것들과 유사하고, 작동(235)에서, 에피택셜 증착 프로세스는 pMOS S/D 영역들 상에 수행된다. pMOS S/D 영역들 상에의 증착은 고도로 도핑된 GeB, 붕소 물질들, 게르마늄 물질들, 규소 게르마늄 물질들, 및 붕소 도핑된 규소 게르마늄 물질들을 포함할 수 있다. 작동(240)에서, 마스크는 nMOS S/D 영역들로부터 제거된다.
작동(245)에서, 세정 프로세스, 예컨대, 작동(140)과 관련하여 설명된 세정 프로세스가 S/D 영역들 상에 수행된다. 작동(250)에서, 작동(150)과 관련하여 설명된 프로세스와 유사하게, 선택적 실리사이드 형성 프로세스가 수행된다. 작동들(150 및 250) 각각이, S/D 영역에 존재하는 물질 및 디바이스 구조의 유형에 응답하여 실리사이드 형성을 개선하기 위해, 조정된 프로세스 변수들을 활용할 수 있다는 것이 고려된다.
방법(100) 및 방법(200) 각각에서, 선택적 실리사이드 형성 프로세스들은 바람직한 비저항 특성들을 갖는 선택적 실리사이드 물질 형성을 제공한다. 방법들(100, 200)은 사전 비정질화 주입, 사후 변환 급속 열 어닐링, 또는 미반응 Ti의 제거를 활용하지 않고 실리사이드 형성을 가능하게 하여, 진보된 콘택 집적 방식들의 효율을 단순화하고 증가시킨다.
전술한 내용은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 실리사이드 형성 방법으로서,
    소스/드레인(S/D) 영역들을 노출시키기 위해 콘택 트렌치 식각 프로세스를 수행하는 단계;
    상기 노출된 S/D 영역들에 대해 세정 프로세스를 수행하는 단계;
    게르마늄의 에피택셜 증착을 상기 S/D 영역들 상에 수행하는 단계 - 상기 에피택셜 증착된 게르마늄은 갈륨으로 도핑됨 -; 및
    상기 에피택셜 증착된 게르마늄을 550 ℃ 미만의 온도에서 규소 함유 전구체 및 티타늄 함유 전구체에 노출시킴으로써 상기 S/D 영역들 상에 실리사이드 형성 프로세스를 수행하는 단계를 포함하는, 실리사이드 형성 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 티타늄 함유 전구체는 TiCl4, TiBr4, 및 TiI4로 이루어진 군으로부터 선택되는, 실리사이드 형성 방법.
  4. 제3항에 있어서,
    상기 티타늄 함유 전구체는 TiCl4인, 실리사이드 형성 방법.
  5. 제1항에 있어서,
    상기 규소 함유 전구체는 SiH4, Si2H6, Si3H8, 및 Si4H10으로 이루어진 군으로부터 선택되는, 실리사이드 형성 방법.
  6. 제5항에 있어서,
    상기 규소 함유 전구체는 SiH4인, 실리사이드 형성 방법.
  7. 제1항에 있어서,
    상기 티타늄 함유 전구체 및 상기 규소 함유 전구체는 상기 실리사이드 형성 프로세스 동안 공동 유동되는, 실리사이드 형성 방법.
  8. 제1항에 있어서,
    상기 티타늄 함유 전구체 및 상기 규소 함유 전구체는 상기 실리사이드 형성 프로세스 동안 교번하는 방식으로 펄싱되는, 실리사이드 형성 방법.
  9. 제1항에 있어서,
    상기 실리사이드 형성 프로세스는 500 ℃ 미만의 열 화학 기상 증착에 의해 수행되는, 실리사이드 형성 방법.
  10. 제1항에 있어서,
    상기 실리사이드 형성 프로세스 동안 상기 S/D 영역들을 염소 함유 전구체에 노출시키는 단계를 더 포함하고, 상기 염소 함유 전구체는 SiCl4, SiCl3H, SiCl2H2, SiCl2, SiClH4, HCl, Cl2, GeCl4, GeCl2, 및 이들의 조합들 및 혼합물들로 구성되는 군으로부터 선택되는, 실리사이드 형성 방법.
  11. 실리사이드 형성 방법으로서,
    소스/드레인(S/D) 영역들을 노출시키기 위해 콘택 트렌치 식각 프로세스를 수행하는 단계 ― 상기 노출된 S/D 영역들은 IV족 물질들을 포함함 ―;
    상기 노출된 S/D 영역들에 대해 산화물 제거 프로세스를 수행하는 단계;
    게르마늄의 에피택셜 증착을 상기 S/D 영역들 상에 수행하는 단계 - 상기 에피택셜 증착된 게르마늄은 갈륨으로 도핑됨 -; 및
    티타늄, 규소, 및 게르마늄을 포함하는 실리사이드 물질 합금 ― 게르마늄은 상기 합금에 10% 미만의 농도로 존재함 ― 을 형성하기 위해, 상기 에피택셜 증착된 게르마늄을 500 ℃ 미만의 온도에서 규소 함유 전구체 및 티타늄 함유 전구체에 노출시킴으로써 상기 S/D 영역들 상에 열 화학 기상 증착 실리사이드 형성 프로세스를 수행하는 단계를 포함하는, 실리사이드 형성 방법.
  12. 삭제
  13. 제11항에 있어서,
    상기 S/D 영역들은 pMOS 유형 디바이스들로서 구성되는, 실리사이드 형성 방법.
  14. 실리사이드 형성 방법으로서,
    pMOS 유형 및 nMOS 유형 소스/드레인(S/D) 영역들을 노출시키기 위해 콘택 트렌치 식각 프로세스를 수행하는 단계;
    상기 노출된 S/D 영역들에 대해 제1 세정 프로세스를 수행하는 단계;
    pMOS 유형 S/D 영역들을 마스킹하는 단계;
    상기 pMOS 유형 S/D 영역들 상에 게르마늄의 에피택셜 증착을 수행하는 단계;
    마스크를 상기 pMOS 유형 S/D 영역들로부터 제거하는 단계;
    nMOS 유형 S/D 영역들을 마스킹하는 단계;
    상기 nMOS 유형 S/D 영역들 상에 게르마늄의 에피택셜 증착을 수행하는 단계;
    마스크를 상기 nMOS 유형 S/D 영역들로부터 제거하는 단계;
    상기 S/D 영역들에 대해 제2 세정 프로세스를 수행하는 단계; 및
    티타늄, 규소, 및 게르마늄을 포함하는 실리사이드 물질 합금 ― 게르마늄은 상기 실리사이드 물질 합금에 10% 미만의 농도로 존재함 ― 을 형성하기 위해, 상기 에피택셜 증착된 게르마늄을 550 ℃ 미만의 온도에서 규소 함유 전구체 및 티타늄 함유 전구체에 노출시킴으로써 상기 S/D 영역들 상에 실리사이드 형성 프로세스를 수행하는 단계를 포함하는, 실리사이드 형성 방법.
  15. 삭제
KR1020197010723A 2016-09-15 2017-01-26 콘택 집적 및 선택적 실리사이드 형성 방법들 KR102259187B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217015990A KR102302000B1 (ko) 2016-09-15 2017-01-26 콘택 집적 및 선택적 실리사이드 형성 방법들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662395277P 2016-09-15 2016-09-15
US62/395,277 2016-09-15
PCT/US2017/015121 WO2018052473A2 (en) 2016-09-15 2017-01-26 Contact integration and selective silicide formation methods

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217015990A Division KR102302000B1 (ko) 2016-09-15 2017-01-26 콘택 집적 및 선택적 실리사이드 형성 방법들

Publications (2)

Publication Number Publication Date
KR20190042108A KR20190042108A (ko) 2019-04-23
KR102259187B1 true KR102259187B1 (ko) 2021-06-01

Family

ID=61560770

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217015990A KR102302000B1 (ko) 2016-09-15 2017-01-26 콘택 집적 및 선택적 실리사이드 형성 방법들
KR1020197010723A KR102259187B1 (ko) 2016-09-15 2017-01-26 콘택 집적 및 선택적 실리사이드 형성 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217015990A KR102302000B1 (ko) 2016-09-15 2017-01-26 콘택 집적 및 선택적 실리사이드 형성 방법들

Country Status (5)

Country Link
US (2) US10103028B2 (ko)
EP (1) EP3513435A4 (ko)
KR (2) KR102302000B1 (ko)
TW (2) TWI749054B (ko)
WO (1) WO2018052473A2 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018052473A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. Contact integration and selective silicide formation methods

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040127027A1 (en) * 2002-12-30 2004-07-01 Yoon-Jik Lee Method for forming titanium silicide contact of semiconductor device
US20140138775A1 (en) * 2012-11-16 2014-05-22 International Business Machines Corporation Dual epi cmos integration for planar substrates
US20150333180A1 (en) * 2010-12-21 2015-11-19 Intel Corporation Selective germanium p-contact metalization through trench

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8402223A (nl) 1984-07-13 1986-02-03 Philips Nv Werkwijze ter vervaardiging van een halfgeleiderinrichting en inrichting, vervaardigd door toepassing daarvan.
US4619038A (en) 1985-08-15 1986-10-28 Motorola, Inc. Selective titanium silicide formation
US5240739A (en) * 1992-08-07 1993-08-31 Micron Technology Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
US5401674A (en) 1994-06-10 1995-03-28 Advanced Micro Devices Germanium implant for use with ultra-shallow junctions
KR0172559B1 (ko) * 1995-12-29 1999-03-30 김주용 반도체소자의 제조방법
KR100248145B1 (ko) * 1997-06-30 2000-03-15 김영환 반도체 소자의 금속배선 형성방법
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6399487B1 (en) 1998-12-28 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of reducing phase transition temperature by using silicon-germanium alloys
US6218711B1 (en) 1999-02-19 2001-04-17 Advanced Micro Devices, Inc. Raised source/drain process by selective sige epitaxy
KR100629266B1 (ko) * 2004-08-09 2006-09-29 삼성전자주식회사 샐리사이드 공정 및 이를 사용한 반도체 소자의 제조방법
US7994015B2 (en) * 2009-04-21 2011-08-09 Applied Materials, Inc. NMOS transistor devices and methods for fabricating same
US9012964B2 (en) * 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Modulating germanium percentage in MOS devices
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US9620601B2 (en) * 2014-07-01 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structures and methods of forming the same
WO2018052473A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. Contact integration and selective silicide formation methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040127027A1 (en) * 2002-12-30 2004-07-01 Yoon-Jik Lee Method for forming titanium silicide contact of semiconductor device
US20150333180A1 (en) * 2010-12-21 2015-11-19 Intel Corporation Selective germanium p-contact metalization through trench
US20140138775A1 (en) * 2012-11-16 2014-05-22 International Business Machines Corporation Dual epi cmos integration for planar substrates

Also Published As

Publication number Publication date
TWI749054B (zh) 2021-12-11
KR20190042108A (ko) 2019-04-23
TW202213449A (zh) 2022-04-01
TW201824338A (zh) 2018-07-01
EP3513435A2 (en) 2019-07-24
KR102302000B1 (ko) 2021-09-14
US20180076041A1 (en) 2018-03-15
WO2018052473A2 (en) 2018-03-22
KR20210064429A (ko) 2021-06-02
US10964544B2 (en) 2021-03-30
WO2018052473A3 (en) 2018-07-26
US20190051531A1 (en) 2019-02-14
US10103028B2 (en) 2018-10-16
TWI773584B (zh) 2022-08-01
EP3513435A4 (en) 2020-04-22

Similar Documents

Publication Publication Date Title
TWI689971B (zh) 使用n型摻雜的選擇性磊晶生長以在n型金氧半導體鰭式電晶體中形成非直視性的源極汲極延伸部分
TW201608643A (zh) 場效電晶體的製造方法及積體電路結構
CN106158747B (zh) 半导体结构及其形成方法
TWI756583B (zh) 用於製造具有減少的接觸電阻的半導體元件的方法
US9966438B2 (en) Method of doped germanium formation
TWI668730B (zh) 用於源極/汲極工程的整合系統及方法
US20120295417A1 (en) Selective epitaxial growth by incubation time engineering
US10242882B2 (en) Cyclic etch process to remove dummy gate oxide layer for fin field effect transistor fabrication
US10312096B2 (en) Methods for titanium silicide formation using TiCl4 precursor and silicon-containing precursor
JP2009182264A (ja) 半導体装置およびその製造方法
KR102259187B1 (ko) 콘택 집적 및 선택적 실리사이드 형성 방법들
JP2001284468A (ja) 半導体装置およびその製造方法
TWI699829B (zh) 形成半導體結構的方法及形成鰭狀場效電晶體結構的方法
CN113284803A (zh) 形成半导体器件的方法
JP2006228859A (ja) 半導体装置およびその製造方法
JP2010278083A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant