TW201608643A - 場效電晶體的製造方法及積體電路結構 - Google Patents

場效電晶體的製造方法及積體電路結構 Download PDF

Info

Publication number
TW201608643A
TW201608643A TW104126049A TW104126049A TW201608643A TW 201608643 A TW201608643 A TW 201608643A TW 104126049 A TW104126049 A TW 104126049A TW 104126049 A TW104126049 A TW 104126049A TW 201608643 A TW201608643 A TW 201608643A
Authority
TW
Taiwan
Prior art keywords
deposition
layer
field effect
effect transistor
semiconductor
Prior art date
Application number
TW104126049A
Other languages
English (en)
Other versions
TWI685035B (zh
Inventor
蔡俊雄
黃遠國
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201608643A publication Critical patent/TW201608643A/zh
Application granted granted Critical
Publication of TWI685035B publication Critical patent/TWI685035B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors
    • H01L29/66287Silicon vertical transistors with a single crystalline emitter, collector or base including extrinsic, link or graft base formed on the silicon substrate, e.g. by epitaxy, recrystallisation, after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Plasma & Fusion (AREA)

Abstract

配合本發明某些實施例揭露一種場效電晶體的製造方法,包括對一半導體基底進行蝕刻製程,進而在半導體基底的源極區及汲極區內形成複數凹口。在凹口內形成由第一半導體材料所構成的鈍化材料層。磊晶成長一第二半導體材料,進而在凹口內形成一源極特徵及一汲極特徵,其中源極特徵及汲極特徵透過鈍化材料層與半導體基底分隔。

Description

場效電晶體的製造方法及積體電路結構
本發明係有關於一種半導體技術,特別是有關於場效電晶體的製造方法及積體電路結構。
半導體產業已發展至奈米科技製程,進而追求更高的裝置密度、更高的性能及更低的成本。在積體電路的發展過程中,通常增加了功能密度(即,每晶片面積所內連接的裝置的數量),卻降低了幾何尺寸(即,製程中所能製造出的最小元件或線路)。尺寸縮小所帶來的好處通常包括提高生產效率及降低相關成本。儘管在材料及製造方面有突破性發展,將平面裝置例如現有的金屬氧化物半導體場效電晶體(Metal-Oxide-Semiconductor Field-Effect Transistor,MOSFET)尺寸縮小經證明是具有挑戰性的。舉例來說,尺寸縮小也產生相對較高的功耗值(power dissipation value)。為了克服這些挑戰,積體電路產業尋求新穎的結構及製造方法,以提供更好的性能。解決問題的一個途徑為發展具有增強的遷移率之應變場效電晶體(field effect transistor,FET)。然而,現有結構及製造方法具有與金屬污染相關的問題,其導致裝置缺陷及其他性能問題。
因此,有需要尋求一種場效電晶體裝置的結構及製造方法,其能夠解決上述問題以提高效能及減少缺陷。
本發明係提供一種場效電晶體的製造方法,包括對一半導體基底進行蝕刻製程,進而在半導體基底的源極區及汲極區內形成複數凹口。在凹口內形成由一第一半導體材料所構成的一鈍化材料層。磊晶成長一第二半導體材料,進而在凹口內形成一源極特徵及一汲極特徵,其中源極特徵及汲極特徵透過鈍化材料層與半導體基底分隔。
本發明係提供另一種場效電晶體的製造方法,包括對一半導體基底進行蝕刻製程,進而在半導體基底的源極區及汲極區內形成複數凹口。透過具有變化的蝕刻/沉積因子的一沉積製程,磊晶成長一半導體材料,進而在凹口內形成一源極特徵及一汲極特徵。
本發明係提供一種積體電路結構,包括一半導體基底,由一第一半導體材料所構成,且具有複數第一凹口。一第一閘極堆疊結構形成於半導體基底上,且鄰近於第一凹口。一鈍化材料層由一第二半導體材料所構成,且形成於第一凹口內。一第一源極特徵及一第一汲極特徵由一第三半導體材料所構成,且形成於第一凹口內,並透過鈍化材料層與半導體基底分隔,其中鈍化材料層不含氯。
100‧‧‧半導體結構
110‧‧‧半導體基底
112‧‧‧(淺溝槽)隔離特徵
114‧‧‧(第一鰭狀)主動區/半導體區
114A‧‧‧第一主動區
114B‧‧‧第二主動區
116‧‧‧摻雜井區
116A‧‧‧p型摻雜井區
116B‧‧‧n型摻雜井區
118、118A、118B‧‧‧通道區
120‧‧‧(虛設)閘極堆疊結構
120A‧‧‧第一閘極堆疊結構
120B‧‧‧第二閘極堆疊結構
122‧‧‧閘極介電特徵
124‧‧‧閘極電極
124A‧‧‧第一閘極電極
124B‧‧‧第二閘極電極
126‧‧‧閘極間隙壁
128‧‧‧輕摻雜汲極特徵
132‧‧‧凹口
136‧‧‧鈍化層
138、138A、138B‧‧‧源極特徵/汲極特徵
142‧‧‧內層介電層
144‧‧‧閘極溝槽
146‧‧‧閘極堆疊結構
146A‧‧‧第一閘極堆疊結構
146B‧‧‧第二閘極堆疊結構
148‧‧‧閘極介電層
150‧‧‧(金屬)閘極電極
152‧‧‧金屬層/功函數層
152A‧‧‧n型功函數層
152B‧‧‧p型功函數層
154‧‧‧導電層
160‧‧‧半導體結構
162‧‧‧第二鰭狀主動區
164、168‧‧‧頂表面
170‧‧‧摻雜井區
172‧‧‧通道區
200、220、230‧‧‧方法
202、204、206、208、210、222‧‧‧步驟
232‧‧‧步驟/磊晶沉積製程
234‧‧‧第一沉積步驟
236‧‧‧第二沉積步驟
242‧‧‧第一蝕刻/沉積因子
244‧‧‧第二蝕刻/沉積因子
246、248、250‧‧‧蝕刻/沉積因子
D‧‧‧水平距離
第1A、2、3、4A、5A、7及8A圖係繪示出根據本發明某 些實施例之半導體結構在各個製造階段的剖面示意圖。
第1B、4B、5B及8B圖係繪示出根據本發明某些其他實施例之半導體結構在各個製造階段的剖面示意圖。
第6圖係繪示出根據本發明其他實施例之半導體結構的剖面示意圖。
第9圖係繪示出根據本發明其他實施例之半導體結構的剖面示意圖。
第10圖係繪示出根據本發明其他實施例之半導體結構的平面示意圖。
第11及12圖係繪示出根據本發明某些實施例之第10圖中的半導體結構的剖面示意圖。
第13圖係繪示出根據本發明某些實施例之半導體結構的製造方法的流程圖。
第14圖係繪示出根據本發明某些其他實施例之半導體結構的製造方法的流程圖。
第15圖係繪示出根據本發明某些其他實施例之半導體結構的製造方法的流程圖。
第16圖說明第15圖的方法中的沉積製程在某些實施例中對應的E/D因子。
第17圖說明第15圖的方法中的沉積製程在某些其他實施例中對應的E/D因子。
第18圖說明第15圖的方法中的沉積製程在某些其他實施例中對應的E/D因子。
第19圖說明第15圖的方法中的沉積製程在某些其他實施 例中對應的E/D因子。
要瞭解的是本說明書以下的揭露內容提供許多不 同的實施例或範例,以實施本發明的不同特徵。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。再者,將一第一特徵形成於一第二特徵之上或上方的敘述內容包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦可包含將附加的特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。
第1A、2、3、4A、5A、7及8A圖係繪示出根據本 發明某些實施例之半導體結構100在各個製造階段的剖面示意圖。第1B、4B、5B及8B圖係繪示出根據本發明某些其他實施例之半導體結構在各個製造階段的剖面示意圖。半導體結構100及其製造方法配合某些實施例共同說明。在一實施例中,半導體結構100包括一個或一個以上的場效電晶體(FET)。
請參照第1A圖,半導體結構100包括一半導體基底 110。半導體基底110包括矽。或者,半導體基底110可包括鍺、矽鍺或其他適合的半導體材料。在另一實施例中,半導體基底110可以包括埋藏的一介電材料層,其用於隔離且透過適合的 技術(例如,氧離子佈植隔離(separation by implanted oxygen,SIMOX)技術)而形成。在某些實施例中,基底110可為絕緣層覆半導體(semiconductor on insulator),例如絕緣層覆矽(silicon on insulator,SOI)。
作為用於說明的各種實施例,半導體結構100包括 其他元件或特徵部件。在某些實施例中,隔離特徵部件(例如,多個淺溝槽隔離(shallow trench isolation,STI)特徵112)形成於半導體基底110內,且定義出多個半導體區(或主動區)114。多個半導體區114透過淺溝槽隔離特徵112彼此分離及隔離。在一範例中,半導體基底110的頂表面與淺溝槽隔離特徵112的頂表面可以共平面,進而產生共同的頂表面。在另一範例中,半導體基底110的頂表面與淺溝槽隔離特徵112的頂表面不共平面,進而產生三維結構,例如鰭式場效電晶體(FinFET)結構。
在某些實施例中,淺溝槽隔離特徵112的形成包括 形成具有開口的硬式罩幕層(hard mask)以定義淺溝槽隔離特徵的區域;透過硬式罩幕層的開口蝕刻半導體基底110以在半導體基底110內形成溝槽;沉積一層或多層介電材料以填充溝槽;以及進行化學機械研磨(chemical mechanical polishing,CMP)製程。作為用於說明的一實施例,淺溝槽隔離特徵112的深度在大約50nm至大約500nm的範圍內。在一範例中,硬式罩幕層的形成包括沉積一硬式罩幕層;進行微影製程在硬式罩幕層上形成圖案化的阻劑層;以及使用圖案化的阻劑層作為蝕刻遮罩蝕刻硬式罩幕層。在某些範例中,介電材料的沉積還包括溝槽的熱氧化,然後透過化學氣相沉積(chemical vapor deposition,CVD)製程在溝槽內填充介電材料(例如,氧化矽)。在一範例中,填充溝槽的化學氣相沉積製程包括高密度電漿化學氣相沉積(high density plasma CVD,HDPCVD)製程。在某些實施例中,淺溝槽隔離特徵112的形成還包括在進行化學機械研磨製程之後去除硬式罩幕層。在另一實施例中,硬式罩幕層包括透過由熱氧化製程所形成的氧化矽層以及位於氧化矽層上且由化學氣相沉積製程所形成的氮化矽層。
在第1A圖中,主動區114設計為用來形成一場效電晶體,例如p型場效電晶體(pFET)或n型場效電晶體(nFET)。在某些實施例中,一摻雜井區116可以形成於一個或一個以上的主動區114內。在某些實施例中,摻雜井區116包括n型摻雜物(例如,磷(P)),其分佈於p型場效電晶體將形成的一主動區內。可以藉由適合的摻雜製程(例如,一次或多次離子佈植(ion implantation)製程)而透過罩幕層的開口將n型摻雜物注入摻雜井區116內。在某些其他實施例中,摻雜井區116包括p型摻雜物(例如,硼(B)),其分佈於n型場效電晶體將形成的一主動區內。可以藉由適合的摻雜製程(例如,一次或多次離子佈植製程)而透過罩幕層的開口將p型摻雜物注入摻雜井區116內。淺溝槽隔離特徵112還具有將摻雜物定義於所需的主動區的功能。在用於說明的本實施例中,n型的摻雜井區116形成於半導體區114內。在一範例中,摻雜井區116可具有對應的摻雜濃度在大約1016cm-3及1018cm-3之間的範圍內。在另一實施例中,摻雜井區116的深度可在大約0.5微米及2微米之間的範圍內。一通道區118定義於主動區114內,且當對應的場效電晶體開啟 時在操作期間作為導電通路。
半導體結構100可以包括多個主動區。如第1B圖所 示,半導體結構100包括一第一主動區114A及一第二主動區114B,在某些實施例中由一個淺溝槽隔離特徵112所分隔。第一主動區114A設計為用於將n型場效電晶體形成於其上,且第二主動區114B設計為用於將p型場效電晶體形成於其上。在此情況下,透過適當的步驟,將一p型摻雜井區116A形成於用於n型場效電晶體的第一主動區114A內,且將一n型摻雜井區116B形成於用於p型場效電晶體的第二主動區114B內。在某些範例中,上述步驟可以包括以第一遮罩(透過微影製程圖案化的硬式罩幕層或軟式罩幕層)覆蓋第一主動區;使用第一遮罩作為佈植遮罩以n型摻雜物對第二主動區進行第一離子佈植製程;以第二遮罩(透過微影製程圖案化的硬式罩幕層或軟式罩幕層)覆蓋第二主動區;以及使用第二遮罩作為佈植遮罩以p型摻雜物對第一主動區進行第二離子佈植製程。在下列描述內容中,為了簡化而通常以一個主動區及一個場效電晶體作為範例說明。然而,為了描述不同的實施例,某些圖式繪示出兩個主動區及兩個場效電晶體作為範例說明,例如組成、配置及/或形成方式不同的n型場效電晶體及p型場效電晶體。
請參照第2圖,一閘極堆疊結構120形成於主動區 114上,且一通道區118定義於主動區114內。閘極堆疊結構120位於通道區118上,且垂直地對準於通道區118。閘極堆疊結構120包括設置於半導體基底110上的一閘極介電特徵122,以及設置於閘極介電特徵122上的一閘極電極124。半導體結構100 可能還包括設置於閘極堆疊結構120的側壁上的閘極間隙壁126。
閘極介電特徵122包括一閘極介電材料,例如氧化 矽或具有更高介電常數的適合的介電材料(高k介電材料)。在本實施例中,閘極介電特徵122包括一層以上的介電材料層。舉例來說,閘極介電特徵122包括一界面介電層(例如,氧化矽)以及界面介電層上的高k介電材料層。
閘極電極124包括一導電材料層,例如摻雜多晶 矽、金屬、金屬合金、金屬矽化物或其組合。在某些實施例中,閘極電極124包括一層以上的導電材料層。舉例來說,閘極電極124包括具有適合的功函數且位於閘極介電特徵122上的一第一導電層,以及位於第一導電層上的一第二導電層。在一範例中,第一導電層包括氮化鉭或氮化鈦。在另一範例中,第二導電層包括鋁、鎢、銅、摻雜多晶矽或其組合。
閘極堆疊結構120的形成步驟包括各種沉積製程 及圖案化製程。根據某些實施例進一步描述閘極堆疊結構120的形成。在一實施例中,一界面層形成於半導體基底110上。 界面層可以包括透過適合的技術(例如,原子層沉積(atomic layer deposition,ALD)製程、熱氧化製程或紫外光-臭氧(UV-Ozone)氧化製程)所形成的氧化矽。界面層的厚度可以小於大約10埃(Å,angstrom)。一高k介電材料層形成於界面層上。 高k介電材料層所包括的介電材料的介電常數高於熱氧化矽的介電常數(大約3.9)。透過適合的方法(例如,原子層沉積製程或其他適合的技術)形成高k介電材料層。形成高k介電材料層 的其他方法包括金屬有機化學氣相沉積(metal organic chemical vapor deposition,MOCVD)製程、物理氣相沉積(physical vapor deposition,PVD)製程、紫外光-臭氧氧化製程或分子束磊晶(molecular beam epitaxy,MBE)製程。在一實施例中,高k介電材料包括HfO2。另外,高k介電材料層包括金屬氮化物、金屬矽酸鹽或其他金屬氧化物。界面層及高k介電材料層構成的閘極介電特徵。
在某些實施例中,閘極電極124包括多晶矽。透過 適當的技術(例如,化學氣相沉積製程),在閘極介電特徵上形成一多晶矽層。在一範例中,透過適當的技術(例如,物理氣相沉積製程),一蓋層可以進一步形成於高k介電材料層與多晶矽層之間。在某些實施例中,蓋層可以包括氮化鈦(TiN)、氮化鉭(TaN)或其組合。蓋層可以提供一種或多種功能,例如作為擴散阻擋層、蝕刻終止層及/或保護層。
在沉積製程之後,將各個閘極材料層圖案化,以 形成一個閘極堆疊結構120(或多個閘極堆疊結構)。閘極堆疊結構120的圖案化製程包括微影製程及蝕刻製程。微影製程形成圖案化的阻劑層。在一範例中,微影製程包括阻劑塗佈製程、軟烘烤製程、曝光製程、曝光後烘烤(post-exposure baking,PEB)製程、顯影製程及硬烘烤製程。之後,使用圖案化的阻劑層作為蝕刻遮罩進行蝕刻製程,將閘極堆疊材料層圖案化。蝕刻製程可以包括一個或一個以上的蝕刻步驟。舉例來說,可以進行使用不同蝕刻劑的多個蝕刻步驟來蝕刻各個閘極堆疊材料層。
在其他實施例中,閘極堆疊材料層的圖案化製程 可以改用硬式罩幕層作為蝕刻遮罩。硬式罩幕層可以包括氮化矽、氮氧化矽、氧化矽、其他適合的材料或其組合。一硬式罩幕層沉積於閘極堆疊材料層上。一圖案化的阻劑層透過微影製程而形成於硬式罩幕層上。然後,透過圖案化的阻劑層的開口蝕刻硬式罩幕層,進而形成圖案化的硬式罩幕層。之後可以使用適合的方法(例如,如濕式剝離(wet stripping)製程或電漿灰化(plasma ashing)製程)去除圖案化的阻劑層。
閘極間隙壁126包括一介電材料,且可以具有一層 或多層。在某些實施例中,閘極間隙壁126包括氧化矽、氮化矽、氮氧化矽、其他適合的介電材料或其組合。透過沉積製程及非等向性蝕刻製程(例如,乾式蝕刻製程)形成閘極間隙壁126。
在某些實施例中,半導體結構100可以包括輕摻雜 汲極(light-doped drain,LDD)特徵128,其透過適合的製程(例如,離子佈植製程)形成於主動區114內。輕摻雜汲極特徵128的摻雜類型與摻雜井區116的摻雜類型相反。對於p型場效電晶體,摻雜井區116為n型且輕摻雜汲極特徵128為p型,而對於n型場效電晶體,摻雜井區116為p型且輕摻雜汲極特徵128為n型。可在一連串過程中形成輕摻雜汲極特徵128、虛設(dummy)閘極堆疊結構及閘極間隙壁126,舉例來說,沉積閘極堆疊材料層且圖案化為虛設閘極堆疊結構;透過使用虛設閘極堆疊結構(及淺溝槽隔離特徵)來定義輕摻雜汲極特徵而進行離子佈植製程,以形成輕摻雜汲極特徵;然後形成閘極間隙壁。在其他 實施例中,輕摻雜汲極特徵128為選擇性的,半導體結構100可以將其省略。
請參照第3圖,透過包括蝕刻製程的操作步驟,在 主動區114內的半導體基底110內形成複數凹口132。在某些實施例中,可以使用例如濕式(及/或乾式)蝕刻製程來選擇性蝕刻半導體基底110的材料而形成凹口132。在進一步的實施例中,閘極堆疊結構120、閘極間隙壁126及淺溝槽隔離特徵112共同作為蝕刻硬式罩幕層,進而在源極區及汲極區內形成凹口132。在某些範例中,使用例如四氟化碳(CF4)、四甲基氫氧化銨(tetramethylammonium hydroxide,THMA)、其他適合的蝕刻劑或其組合的蝕刻劑來形成凹口132。在某些實施例中,所形成的凹口132的寬度在大約50Å至大約400Å的範圍內。在蝕刻製程之後可以使用適合的化學物質進行清潔製程。凹口132大致上對準於閘極結構,特別是對準於閘極間隙壁126的外側邊緣。如第3圖所標示的,水平距離“D”在某些範例中大致上是零。在某些其他實施例中,由於蝕刻製程的方向性及/或閘極堆疊結構120於蝕刻製程期間的遮蔽效應(shadowing effect),水平距離“D”大於零。在某些範例中,當使用濕式蝕刻劑時,橫向蝕刻可能額外對閘極間隙壁126造成底切(undercut)。在此情況下,水平距離“D”可能小於零或為負的,其意味著底切。 凹口132可能具有不同的幾何形狀(例如,六邊形),這取決於蝕刻製程的設計及調整。例如,濕式蝕刻製程大致上無方向性且為等向性的,但蝕刻速率與將被蝕刻的基底表面的結晶方向有關。
在實驗中可以發現,金屬殘留物(例如,貴金屬), 可能存在於凹口132內。金屬殘留物可能在形成凹口132的製程(例如,濕式蝕刻製程、乾式蝕刻及/或清潔製程)期間進入凹口內。透過實驗及失效模式分析,確定了金屬殘留物是導致後續填充凹口132的過程中在半導體基底110內形成缺陷的根本原因。在本實施例中,缺陷由透過金屬輔助的矽蝕刻(metal-assisted silicon etching)的機制所形成。當後續填充凹口的過程中涉及氯時,金屬殘留物在含氯的蝕刻製程期間作為催化劑。具體而言,貴金屬下方的矽被蝕刻的速度快於沒有被貴金屬覆蓋的矽。因此,貴金屬沉入矽基底內,在基底內產生孔洞或粗糙輪廓。這將導致基底產生缺陷(例如,微孔結構)。本文所揭露的方法及結構係為了解決這些問題所設計。
請參照第4A圖,一鈍化層136形成於凹口132上, 以覆蓋凹口132的表面。鈍化層136的組成及厚度設計為在後續製程期間有效地將氯化學物質與金屬殘留物隔離。鈍化層136有效地消除了(或大致上減少)金屬輔助的矽蝕刻,因此消除了相關缺陷的形成。在某些實施例中,鈍化層136包括碳、鍺、碳化矽或矽鍺。鈍化層136磊晶成長於凹口132的表面上,故鈍化層136為晶體結構。因此,後續的製程可以在鈍化層136上進行磊晶成長,並以晶體結構的半導體材料填充凹口132。在鈍化層136的形成期間,前驅物不含氯(Cl),以避免氯與金屬殘留物之間的直接反應。由於前驅物不含氯,因此鈍化層136的形成不涉及蝕刻且為非選擇性的。鈍化層136也可以形成於其他區域,例如形成於閘極堆疊結構及淺溝槽隔離特徵上。鈍化層 在其他區域中不想要的部分將於後續的製程(例如,填充凹口的沉積製程及蝕刻製程)被去除。在某些實施例中,鈍化層136包括矽或碳化矽,其由具有不含氯的前驅物的沉積製程所形成。在某些實施例中,鈍化層136的厚度在大約1nm至大約4nm的範圍內。
在一實施例中,鈍化層136為一碳化矽層,其透過適合的方法(例如,化學氣相沉積製程)使用不含氯的前驅物而磊晶成長碳化矽層所形成。在進一步的實施例中,前驅物包括甲基矽甲烷(CH3SiH3或MMS)及甲矽烷(SiH4)。前驅物內的含矽化學物質也可包括乙矽烷(SiH6)、丙矽烷(Si3H8)、其他適合的含矽化學物質或其組合。在某些範例中,前驅物不含氯。在本範例中,前驅物也更不包括含摻雜物的化學物質(不含磷或其他n型摻雜物)。鈍化層136設計為有效地防止擴散及對蝕刻有惰性。作為用於說明的範例,除了金屬輔助矽蝕刻,磷為另一個問題。磷擴散導致漏電流(leakage),並進一步導致裝置性能的劣化。不含摻雜物的前驅物及所對應的不含摻雜物的鈍化層136解決了前述問題。在另一實施例中,提供前驅物適當的氣流及分壓,使得磊晶成長碳化矽所構成的鈍化層136具有碳濃度在大約1.8%至大約3%(原子百分比)的範圍內。具有如此低的碳濃度的碳化矽層對於應變效應並不適合,但是作為用於隔離及防止擴散的鈍化層則更加有效。又某些範例中,n型場效電晶體的源極區及汲極區的凹口內以及p型場效電晶體的源極區及汲極區的凹口內皆形成鈍化層136,如第4B圖所討論的。在第4B圖中,第一主動區114A用於n型場效電晶體,而第二主 動區114B用於p型場效電晶體。在某些實施例中,鈍化層136無摻雜,且具有碳濃度在大約1.8%至大約3%(原子百分比)的範圍內,鈍化層136形成於n型場效電晶體的源極區及汲極區以及p型場效電晶體的源極區及汲極區兩者的凹口內。
在某些實施例中,鈍化層136為一碳化矽層,其透 過適合的方法(例如,化學氣相沉積製程)所形成。碳化矽鈍化層136包括磷(P)摻雜物,且具有低的磷摻雜濃度小於1×1020/cm3或為0~1×1020/cm3。可以透過原位(in-situ)摻雜來注入磷摻雜物。在鈍化層136的磊晶成長期間,前驅物還包括含磷化學物質(例如,磷化氫(PH3))。碳化矽鈍化層136的磷濃度可能不足以構成源極/汲極特徵。n型場效電晶體的源極/汲極特徵的磷摻雜濃度可能大於1×1020/cm3。因此調整了碳化矽鈍化層136的磷濃度,使得磷濃度分階段地從基底到源極/汲極特徵平緩地改變,且磷濃度不會太高而無造成漏電流的疑慮。
在某些實施例中,鈍化層136為一矽層,其透過使 用不含氯的前驅物而磊晶成長矽層所形成。在進一步的實施例中,前驅物包括SiH4或其他含矽化學物質。在本實施例中,前驅物沒有摻雜物(前驅物不包括磷及含硼化學物質),以防止摻雜物的擴散。因此,對應的矽鈍化層136不含摻雜物。在某些範例中,磊晶成長製程期間的前驅物具有低的分壓在大約1Torr至大約10Torr的範圍內。
在某些實施例中,鈍化層136為一矽鍺(SiGe)層, 其透過使用不含氯的前驅物磊晶成長矽鍺層所形成。在進一步的實施例中,前驅物包括含矽化學物質(例如,SiH4)及含鍺化 學物質(GeH4)。在本實施例中,前驅物沒有摻雜物(前驅物不包括磷及含硼化學物質),以防止摻雜物的擴散。因此,對應的矽鍺鈍化層136不含摻雜物(鈍化層136不含硼或其他p型摻雜物)。在某些範例中,矽鍺鈍化層136的鍺濃度在大約10%至大約40%的範圍內,以增強鈍化層136的功效。又某些範例中,n型場效電晶體的源極區及汲極區以及p型場效電晶體的源極區及汲極區兩者的凹口內皆形成矽鍺鈍化層136,如第4B圖所示。在第4B圖中,第一主動區114A用於n型場效電晶體,而第二主動區114B用於p型場效電晶體。在某些實施例中,矽鍺鈍化層136無摻雜,且具有鍺濃度在大約10%至大約40%(原子百分比)的範圍內,矽鍺鈍化層136形成於n型場效電晶體的源極區及汲極區以及p型場效電晶體的源極區及汲極區兩者的凹口內。在某些範例中,磊晶成長製程期間的前驅物具有低的分壓在大約1Torr至大約10Torr的範圍內。
在某些實施例中,對鈍化層136進一步施加氟(F) 處理。藉由形成氟-矽(F-Si)鍵結,氟與鈍化層136的矽之間的交互作用強,進而減少懸空鍵結(dangling bond)。因此,增強了鈍化層,對於主動區的某些破壞也減少了。在某些範例中,氟處理為氟電漿處理。具體而言,產生氟電漿並將氟電漿引導至電漿腔室內的鈍化層136。在進一步的實施例中,氟處理的氟劑量在大約1×1014ions/cm2至大約2×1015ions/cm2的範圍內。因此,所形成的鈍化層136為具有氟濃度在大約1×1014ions/cm2至大約2×1015ions/cm2的範圍內的一半導體層(例如,上述各個實施例所述之矽、碳化矽或矽鍺)。需注意的是,氟 濃度在本範例中定義為每單位面積的氟原子的數量。在其他範例中,氟處理包括施加大約0.5keV至大約5keV的範圍內的偏壓。在某些範例中,在用於形成鈍化層136的同一設備內進行氟處理。
請參照第5A圖,透過選擇性沉積製程,以一半導 體材料填充凹口132,進而磊晶成長出具有晶體結構的源極/汲極(S/D)特徵138。用於形成源極特徵及汲極特徵138的沉積製程為了蝕刻效果且使沉積製程具選擇性而涉及了氯氣。選擇性沉積製程經設計及調整為磊晶成長,使得形成於凹口132內的源極特徵及汲極特徵138包括具有晶體結構的半導體材料。此半導體材料不同於半導體基底110的半導體材料。舉例來說,此半導體材料包括碳化矽或矽鍺,而半導體基底110為一矽基底。在某些實施例中,根據通道區118內適當的拉伸效應來選擇半導體材料,使得所對應的載子遷移率增加。在一範例中,主動區114用於形成p型場效電晶體,用於形成源極特徵及汲極特徵138的半導體材料為摻雜有硼的矽鍺,而半導體基底110為一矽基底。在另一範例中,主動區114用於形成n型場效電晶體,用於形成源極特徵及汲極特徵138的半導體材料為摻雜有磷的碳化矽,而半導體基底110為一矽基底。
第5B圖係配合某些實施例作進一步說明。半導體 結構100包括用於形成n型場效電晶體的第一主動區114A及用於形成p型場效電晶體的第二主動區114B。半導體結構100還包括位於第一主動區114A內的p型摻雜井區116A及位於第二主動區114B內的n型摻雜井區116B。半導體結構100還包括一第一 閘極堆疊結構120A及一第二閘極堆疊結構120B,分別設置於第一主動區114A及第二主動區114B內。具體而言,根據一實施例,源極特徵及汲極特徵138A為摻雜磷的碳化矽(SiC-P),其提供拉伸應力至n型場效電晶體的通道區118A。在另一實施例中,源極特徵及汲極特徵138B為摻雜硼的矽鍺(SiGe-B),其提供壓應力至p型場效電晶體的通道區118B。在某些其他實施例中,由摻雜磷的碳化矽所構成的源極特徵及汲極特徵138A以及由摻雜硼的矽鍺所構成的源極特徵及汲極特徵138B皆存在於半導體結構100內,且分別透過適合的製程而形成。在一範例中,此製程包括使用第一遮罩覆蓋第一主動區114A而在第二主動區114B的凹口內磊晶成長摻雜硼的矽鍺,以及使用第二遮罩覆蓋第二主動區114B而在第一主動區114A的凹口內磊晶成長摻雜磷的碳化矽。第一遮罩及第二遮罩可為由微影製程所形成的圖案化阻劑層,或是透過沉積及微影製程所形成的硬式罩幕層。又其他實施例中,源極特徵及汲極特徵138A為摻雜磷的碳化矽而源極特徵及汲極特徵138B為摻雜硼的矽鍺,或者源極特徵及汲極特徵138A為摻雜磷的矽(Si-P)而源極特徵及汲極特徵138B為摻雜硼的矽鍺。
請再參照第5B圖,如以上所述,源極特徵及汲極 特徵138A與源極特徵及汲極特徵138B有所不同,而根據某些實施例,第一主動區114A及第二主動區114B兩者內的鈍化層136的組成及形成方式是相同的,如同第4B圖所述。在一範例中,鈍化層136包括不含磷的碳化矽層,其碳濃度在大約1.8%至大約3%的範圍內。在進一步的範例中,源極特徵及汲極特 徵138A包括摻雜磷的碳化矽(或摻雜磷的矽),而源極特徵及汲極特徵138B可包括摻雜硼的矽鍺(或摻雜硼的矽(Si-B))。在另一範例中,鈍化層136包括摻雜磷的碳化矽層,其中磷濃度小於10-20/cm3,且源極特徵及汲極特徵138A包括摻雜磷的碳化矽,其中磷濃度小於10-20/cm3。又一範例中,鈍化層136為不含硼或其他p型摻雜物的矽鍺層。
請仍參照第5B圖,第一閘極電極124A及第二閘極 電極124B可以為相同的組成(例如,多晶矽),或者為不同的組成(例如,第一閘極電極124A包括n型功函數金屬層層且第二閘極電極124B包括p型功函數金屬層層),例如以閘極優先(gate-first)製程來形成金屬閘極。
根據各種實施例進一步說明形成源極/汲極特徵的 製程。在某些實施例中,用於在凹口132內形成源極特徵及汲極特徵138的選擇性沉積製程為循環式沉積及蝕刻(cyclic deposition and etching,CDE)製程。在凹口132內磊晶成長半導體材料。在本實施例中,使用包括含摻雜物之化學物質的前驅物,在循環式沉積及蝕刻製程期間對源極特徵及汲極特徵138進行原位摻雜。循環式沉積及蝕刻製程是雙循環製程,其使用為了沉積/蝕刻效果而具有氯的前驅物,使得上述半導體材料選擇性地沉積於凹口132內。在第一循環(沉積循環)中,使用各種化學物質作為前驅物,以磊晶成長上述半導體材料。在第二循環(蝕刻循環)中,蝕刻製程使用含氯氣體(例如,氯化氫、氯氣或兩者)。循環式沉積及蝕刻製程重複兩次循環,直到凹口132被填滿或是過度填充而超過半導體基底110的頂表面。
在某些實施例中,當n型場效電晶體形成於第5A圖 中的主動區114內(或第5B圖中的主動區114A內)時,上述半導體材料為具有磷摻雜物的碳化矽。在進一步的實施例中,循環式沉積及蝕刻製程的第一循環(沉積循環)中所使用的前驅物包括SiH4(及/或Si2H6)、PH3及MMS。第二循環(蝕刻循環)所使用的前驅物包括HCl或Cl2。在一範例中,提供HCl的製程腔室壓力在大約200Torr至大約250Torr的範圍內。
在某些實施例中,當p型場效電晶體形成於第5A圖 中的主動區114內(或第5B圖中的主動區114B內)時,上述半導體材料為具有硼摻雜物的矽鍺。在進一步的實施例中,循環式沉積及蝕刻製程的第一循環(沉積循環)中所使用的前驅物包括SiH4(及/或Si2H6)、B2H6及GeH4。第二循環(蝕刻循環)所使用的前驅物包括HCl或Cl2。在一範例中,提供HCl的製程腔室壓力在大約200Torr至大約250Torr的範圍內。
在某些實施例中,在沉積循環中所使用的前驅物 也可包括其他含矽化學物質(例如,甲矽烷(SiH4)、乙矽烷(SiH6)、丙矽烷(Si3H8)、二氯矽烷(SiH2Cl2)、另一含矽前驅物或其組合)。
在某些實施例中,用於形成源極特徵及汲極特徵 138的製程也可以使用其他的沉積技術,例如將沉積氣體(用於沉積的化學物質)及蝕刻氣體(含氯氣體,例如Cl2或HCl)同時流入製程腔室中的沉積製程。此製程非雙循環製程而為連續沉積/蝕刻製程,其在凹口132內選擇性地磊晶成長半導體材料。因此,將半導體材料形成於凹口132的沉積製程對於金屬殘留物 是不敏感的,因而消除金屬輔助矽蝕刻的問題及相關的缺陷。 此沉積製程稱為具有共流(co-flow)沉積及蝕刻化學物質的選擇性磊晶成長(selective epitaxy growth,SEG)製程,或簡稱為共流磊晶成長(或共流磊晶沉積)製程。
在某些實施例中,可以透過循環式沉積及蝕刻製 程以及共流磊晶成長製程的組合來形成源極/汲極特徵。源極特徵及汲極特徵138A可以包括摻雜磷的碳化矽,其具有分階段的磷濃度,且由循環式沉積及蝕刻製程以及共流磊晶成長製程中兩個或兩個以上的步驟所形成。舉例來說,源極特徵及汲極特徵138A包括第一SiC-P層、第一SiC-P層上的一第二SiC-P層、以及第二SiC-P層上的一第三SiC-P層,且具有各自的摻雜濃度。在一特定範例中,源極特徵及汲極特徵138A包括透過循環式沉積及蝕刻製程以原位摻雜形成第一磷濃度在大約1020/cm3至大約4×1020/cm3的範圍內的第一SiC-P層;透過共流磊晶成長製程以原位摻雜形成第二磷濃度在大約4×1020/cm3至大約1021/cm3的範圍內的第二SiC-P層;以及透過另一共流磊晶成長製程以原位摻雜形成第三磷濃度在大約1021/cm3至大約3×1021/cm3的範圍內的第三SiC-P層。在進一步的範例中,第一SiC-P層、第二SiC-P層及第三SiC-P層的厚度分別為10nm~20nm、10nm~20nm及5nm~15nm。
在某些實施例中,源極特徵及汲極特徵138形成於 凹口132內,使得凹口132大致上填滿而具有頂表面大致上與半導體基底110共平面(特別是,與通道區118的頂表面共平面,如第5A圖或第5B圖所示)。源極特徵及汲極特徵138可以具有其 他組成、幾何形狀及形成方式。如第6圖所示,凹口132內的源極特徵及汲極特徵138過度成長而超出通道區118的頂表面之外。
半導體結構100可以包括透過對應的製程所形成 的其他特徵。在一實施例中,透過沉積技術(例如,化學氣相沉積製程及化學機械研磨製程)形成一內層介電(interlayer dielectric,ILD)層。在另一實施例中,形成內連線結構,且內連線結構包括用以耦接各種元件以形成功能電路的各種導電特徵(例如,金屬線、接觸(contact)特徵及通孔(via)特徵)。
在其他實施例中,閘極堆疊結構120為虛設閘極, 且在閘極替代(gate replacement)製程(例如,後閘極(gate-last)製程或後高介電質(high-k last)製程)中被具有金屬電極及高k介電材料的一閘極堆疊結構所取代。在後閘極製程中,透過沉積製程及研磨製程(例如,化學機械研磨製程),將一內層介電材料層形成於基底且環繞閘極堆疊結構120。透過選擇性蝕刻製程去除虛設閘極內的閘極電極,進而在內層介電層內形成一閘極溝槽。之後,透過適合的製程(例如,沉積製程及化學機械研磨製程),在內層介電層的閘極溝槽內形成最終的閘極堆疊結構。後高介電質製程類似於後閘極製程,但對虛設閘極內的閘極介電層進行不同的處理。在後高介電質製程中,虛設閘極堆疊結構(包括閘極介電層及閘極電極兩者)在形成內層介電層之後被去除。之後,在內層介電層的閘極溝槽內形成最終的閘極堆疊結構。
第7及8圖提供閘極替代製程的一實施例作為說 明。透過沉積製程及研磨製程(例如,化學機械研磨製程),將一內層介電層142形成於半導體基底110上,且圍繞虛設閘極堆疊結構120。內層介電層142包括一種或一種以上的介電材料,例如氧化矽、氟化矽玻璃(fluorinated silica glass,FSG)、低k介電材料或其他適合的介電材料。上述沉積製程可以包括化學氣相沉積製程、電漿增強化學氣相沉積製程或其他適合的沉積技術。透過選擇性蝕刻製程去除虛設閘極堆疊結構120,進而在內層介電層142內形成一閘極溝槽144。之後,透過適合的製程(例如,沉積製程及化學機械研磨製程),在閘極溝槽144內形成由高k介電材料及金屬電極所構成的一閘極堆疊結構146。因此,所形成的閘極堆疊結構146包括閘極介電層148及金屬閘極電極150。在一特定的範例中,閘極介電層148包括由氧化矽所構成的一界面層以及位於界面層上的一高k介電層。
金屬閘極電極150包括一金屬層152,其具有適當 的功函數(對於n型場效電晶體而言為具有功函數小於大約4.2eV的金屬或對於p型場效電晶體而言為具有功函數大於大約5.2eV的金屬,例如對於n型場效電晶體而言為鉭且對於p型場效電晶體而言為氮化鈦)。金屬層152也稱為功函數(work function,WF)層,或特別稱為n型功函數層或p型功函數層。閘極電極150可以包括多層導電層。例如,閘極電極150包括閘極介電層148上的功函數層152及功函數層152上的一導電層154在一範例中,功函數層152包括鉭或氮化鈦。在另一範例中,導電層154包括鋁、鎢、銅、摻雜的多晶矽或其組合。當閘極堆疊結構146由後高介電質製程所形成時,閘極介電層148形成 於閘極溝槽144的底部及側壁,或者換句話說,閘極介電層148具有U形的外型。
所形成的半導體結構100包括一閘極堆疊結構;磊 晶成長的源極特徵及汲極特徵,其由不同於基底材料的半導體材料所構成;以及一鈍化層,設置為環繞源極特徵及汲極特徵,且將源極特徵及汲極特徵與基底分隔。在形成源極特徵及汲極特徵的製程期間,鈍化層有效地防止金屬殘留物與含氯化學物質直接接觸,進而消除了氯與金屬殘留物之間的交互作用所導致相關的缺陷。
第8B圖係繪示出半導體結構100的剖面示意圖,其 包括具有第一導電型的第一場效電晶體,以及具有相反於第一導電型的第二導電型的第二場效電晶體。第一導電型為n型導電型及p型導電型之中的其中一者,且第二導電型為其中另一者。舉例來說,第一場效電晶體及第二場效電晶體分別為n型場效電晶體及p型場效電晶體。第8B圖中的半導體結構100包括設置於用於形成n型場效電晶體的第一主動區114A上的一第一金屬閘極堆疊結構146A,以及設置於用於形成p型場效電晶體的第二主動區114B上的一第二金屬閘極堆疊結構146B。第一金屬閘極堆疊結構146A及第二金屬閘極堆疊結構146B兩者皆為由後高介電質製程所形成的金屬閘極堆疊結構。在本實施例中,第一金屬閘極堆疊結構146A及第二金屬閘極堆疊結構146B的組成彼此不同。具體而言,第一金屬閘極堆疊結構146A包括具有功函數小於大約4.2eV(例如,鉭)的一n型功函數層152A,以及具有功函數大於大約5.2eV(例如,氮化鉭)的一p 型功函數層152B。在用於形成金屬閘極堆疊結構的製程的一範例中,第一金屬閘極堆疊結構146A形成於第一主動區114A內,而第二主動區114B則被一遮罩(例如,圖案化的阻劑層或圖案化的硬式罩幕層)所覆蓋,以及第二金屬閘極堆疊結構146B形成於第二主動區114B內,而第一主動區114A則被另一遮罩所覆蓋。
第9圖係繪示出根據本發明其他實施例的半導體 結構100的剖面示意圖。第9圖中的半導體結構100類似於第8A圖中的半導體結構100,不同的是第9圖中的金屬閘極堆疊結構146是由後閘極製程所形成。具體而言,在內層介電層142形成之前形成閘極介電特徵122,因此閘極介電特徵122不會形成於閘極溝槽144的側壁上。
如以上所提及的,所述之半導體結構100可以形成 於平面基底上或者也可以形成於非平面基底上,例如具有(複數)鰭狀主動區的基底。第10至12圖係繪示出根據本發明在某些實施例中各種方向之具有鰭狀主動區的半導體結構160。第10圖為半導體結構160的平面示意圖。第11圖為半導體結構160沿著虛線AA’的剖面示意圖。第12圖為半導體結構160沿著虛線BB’的剖面示意圖。半導體結構160包括第一鰭狀主動區114及第二鰭狀主動區162,其透過隔離特徵(例如,淺溝槽隔離特徵)112彼此分離。半導體結構160還包括形成於第一鰭狀主動區114及第二鰭狀主動區162上的閘極堆疊結構146。
對於鰭狀主動區,半導體基底110的頂表面164(特別是通道區118的頂表面)與淺溝槽隔離特徵112的頂表面168 不共平面,因而產生了三維結構。形成於鰭狀主動區上的場效電晶體也稱為鰭式場效電晶體(FinFET)。如第11圖所示,第一鰭狀主動區114突出於淺溝槽隔離特徵112的頂表面168。透過適合的技術(例如,蝕刻製程或磊晶成長製程)形成鰭狀主動區。在一範例中,在形成淺溝槽隔離特徵112之後,進行蝕刻製程(例如,濕式蝕刻製程),以選擇性地蝕刻淺溝槽隔離特徵112,使得淺溝槽隔離特徵112凹陷。在另一範例中,在形成淺溝槽隔離特徵112之後,進行選擇性磊晶成長製程,以在半導體基底110上磊晶成長一半導體材料,使得第一鰭狀主動區114垂直地延伸於淺溝槽隔離特徵112的頂表面168上方。第一鰭狀主動區114的半導體材料可以相同於半導體基底110的半導體材料(例如,矽),或者也可以不同於半導體基底110的半導體材料(例如,矽鍺)。如第12圖所示,第二鰭狀主動區162包括一摻雜井區170及形成於摻雜井區170內的通道區172。閘極堆疊結構146包括由任何適當的方法(例如,後閘極製程或後高介電質製程)所形成的閘極介電層148及閘極電極150。即使鰭狀主動區是繪示於第10至12圖中,但第1A至9圖中的半導體結構100的各種實施例也可以包括具有(複數)鰭狀主動區及鰭式場效電晶體的鰭狀結構。
第13圖係繪示出根據本發明某些實施例之半導體 結構(例如,半導體結構100或160)的製造方法200的流程圖。配合第8圖及其他圖式說明方法200。然而,半導體結構100僅提供作為範例,而並非用於限制此方法的範圍。方法200包括步驟202,提供半導體基底110(例如,矽晶圓)。半導體基底110 還可以包括其他特徵/部件,例如摻雜井區116及淺溝槽隔離特徵112。
方法200還包括步驟204,以形成閘極堆疊結構120。閘極堆疊結構的形成包括各種沉積製程及圖案化製程。也可進一步形成其他特徵(例如,閘極間隙壁126及輕摻雜汲極特徵128)。
方法200包括形成複數凹口132的步驟206。透過包括蝕刻製程的步驟在主動區114之中的半導體基底內形成凹口132。在某些實施例中,可以使用對半導體基底110的材料具選擇性的濕式(及/或乾式)蝕刻製程來形成凹口132。在蝕刻製程之後,可以使用適合的化學物質進行清潔製程。蝕刻製程及/或清潔製程可能將金屬殘留物引入凹口132內。
方法200包括形成鈍化層136的步驟208。形成鈍化層136以覆蓋半導體基底110,且鈍化層136的組成及厚度設計為在後續的製程期間有效地將金屬殘留物與氯化學物質隔離,進而消除金屬輔助矽蝕刻的問題以及相關缺陷的形成。在某些實施例中,鈍化層136包括碳、鍺、碳化矽或矽鍺,例如第4A圖所討論的。鈍化層136磊晶成長於半導體基底110上,且透過使用不含氯的前驅物的非選擇性磊晶成長製程而形成。需注意的是,鈍化層136可以形成於具有非晶體結構的其他區域(例如,淺溝槽隔離特徵及/或閘極堆疊結構),但上述部分的鈍化層136最終將會被去除,例如在下一步驟210期間去除。因此,凹口132內的鈍化層136具有晶體結構,使得後續製程可以磊晶成長及填充凹口132而形成具有晶體結構的源極特徵及汲 極特徵。在鈍化層136的形成期間,不含氯的前驅物可避免氯與金屬殘留物之間的直接反應。由於前驅物不含氯,因此沉積製程為非選擇性的,且也可以形成於其他區域(例如,閘極堆疊結構及淺溝槽隔離特徵)上。在某些實施例中,鈍化層136包括透過使用不含氯的前驅物的沉積製程所形成的矽或碳化矽。在某些實施例中,鈍化層136的厚度在大約1nm至大約4nm的範圍內。
在一實施例中,鈍化層136為一碳化矽層,其透過 使用不含氯的前驅物而磊晶成長碳化矽層所形成。在進一步的實施例中,前驅物包括甲基矽甲烷(CH3SiH3或MMS)及甲矽烷(SiH4)。鈍化層136可包括單層或多層。在本實施例中,前驅物還不含摻雜物(例如,不含磷),以防止摻雜物的擴散。在此情況下,鈍化層136是不含氯及摻雜物。在某些實施例中,調整前驅物(例如,透過控制氣流),以形成碳化矽層。
在另一實施例中,鈍化層136為一矽層,其透過使 用不含氯的前驅物而磊晶成長矽層所形成。在進一步的實施例中,前驅物包括SiH4。鈍化層136可包括單層或多層。在本實施例中,前驅物沒有摻雜物(前驅物不包括磷及含硼化學物質),以防止摻雜物的擴散。在某些其他實施例中,鈍化層136可以包括為鍺層或矽鍺層。
方法200包括步驟210,為了應變效應,透過在凹 口132內磊晶成長與半導體基底110不同的半導體材料,進而形成源極特徵及汲極特徵138。步驟210涉及為了蝕刻效果的氯,因而為選擇性的。在半導體基底110上進行的沉積製程與在其 他區域(例如,淺溝槽隔離特徵及閘極堆疊結構)上進行的沉積製程具有不同的沉積速率,且所產生的結構不同。含氯的氣體提供蝕刻效果,使得沉積於其他區域(例如,淺溝槽隔離特徵及/或閘極堆疊結構)上的半導體材料被完全去除,造成步驟210為選擇性沉積製程。由於鈍化層136為晶體且作為磊晶成長的晶種層,因此沉積於凹口132內的半導體材料為晶體。
在某些實施例中,步驟210包括循環式沉積及蝕刻 製程。透過循環式沉積及蝕刻製程,以半導體材料填充凹口132,進而形成源極特徵及汲極特徵138。此半導體材料不同於半導體基底110的材料。舉例來說,此半導體材料包括碳化矽或矽鍺,而半導體基底110為矽基底。在本實施例中,在使用具有含氯摻雜物的前驅物之循環式沉積及蝕刻製程期間,對源極特徵及汲極特徵138進行原位摻雜。循環式沉積及蝕刻製程是雙循環製程。在用於沉積的第一循環中,使用各種化學物質作為前驅物,以磊晶成長上述半導體材料。在第二循環中,使用含氯氣體(例如,氯化氫、氯氣或兩者)來進行蝕刻製程。循環式沉積及蝕刻製程重複兩次循環,直到凹口132被填滿或是過度填充而超過半導體基底110的頂表面。
在某些實施例中,當n型場效電晶體形成於主動區 114內時,上述半導體材料為具有磷摻雜物的碳化矽。在進一步的實施例中,循環式沉積及蝕刻製程的第一循環(沉積循環)中所使用的前驅物包括SiH4(及/或Si2H6)、PH3及MMS。第二循環(蝕刻循環)所使用的前驅物包括HCl或Cl2。在一範例中,提供HCl的製程腔室壓力在大約200Torr至大約250Torr的範圍 內。
在某些其他實施例中,當p型場效電晶體形成於主 動區114內時,上述半導體材料為具有硼摻雜物的矽鍺。在進一步的實施例中,循環式沉積及蝕刻製程的第一循環(沉積循環)中所使用的前驅物包括SiH4(及/或Si2H6)、B2H6及GeH4。第二循環(蝕刻循環)所使用的前驅物包括HCl或Cl2。在一範例中,提供HCl的製程腔室壓力在大約200Torr至大約250Torr的範圍內。
在某些實施例中,在沉積循環中所使用的前驅物 包括矽烷、乙矽烷、丙矽烷、二氯矽烷、另一含矽前驅物及/或其任意的組合。
在某些實施例中,步驟210可以使用共流沉積製 程。為了連續且同時沉積及蝕刻的效果,在共流磊晶成長製程中,用於沉積的化學物質及含氯氣體同時流入製程腔室中。上述用於沉積的化學物質及含氯氣體類似於循環式沉積及蝕刻製程中所使用的。
可以將其他的製造步驟應用於上述方法的各個步 驟之前、期間及之後。在一實施例中,一內層介電(ILD)層形成於半導體基底110上。內層介電層包括氧化矽、低k介電材料或其他適合的介電材料。透過適合的技術(例如,化學氣相沉積製程)形成內層介電層。舉例來說,可以使用高密度電漿化學氣相沉積製程形成內層介電層。
在另一實施例中,上述方法還包括形成各種內連 線特徵的步驟,內連線特徵設計為耦合各種元件以形成一功能 電路。內連線特徵包括垂直內連線結構(例如,接觸插塞及通孔電極)及水平內連線結構(例如,金屬線)。各種內連線特徵可以使用各種導電材料,包括銅、鎢及矽化物。在一範例中,使用鑲嵌製程來形成銅基(copper-based)多層內連線結構。在另一實施例中,使用鎢在接觸孔洞內形成鎢插塞。在另一範例中,使用矽化物在源極區及汲極區上形成各種接觸插塞,以降低接觸電阻(contact resistance)。
第14圖係繪示出根據本發明某些其他實施例之半導體結構的製造方法220的流程圖。方法220類似於方法200。然而,方法220還包括在步驟208與步驟210之間進行步驟222。步驟222包括對鈍化層136施加氟處理製程。在某些實施例中,氟處理為氟電漿處理。具體而言,在電漿腔室中產生氟電漿且將氟電漿引導至鈍化層136。在進一步的實施例中,氟處理的氟劑量在大約1×1014至2×1015ions/cm2的範圍內。因此,所形成的鈍化層136為具有氟濃度在大約1×1014ions/cm2至大約2×1015ions/cm2的範圍內的一半導體層(例如,上述各個實施例所述之矽、碳化矽或矽鍺)。在其他範例中,氟處理包括施加大約0.5keV至大約5keV的範圍內的偏壓。在某些範例中,在用於形成鈍化層136的同一設備內進行氟處理。氟與矽之間的交互作用強而形成氟-矽(F-Si)鍵結,減少懸空鍵結,因此減少/消除了後續對於基底(特別是鈍化層136下方的部分)的破壞。在對鈍化層136進行氟處理之後,方法220進行到步驟210,在凹口132內磊晶成長半導體材料。
第15圖係繪示出根據本發明某些其他實施例之半 導體結構的製造方法230的流程圖。方法230設計為形成磊晶成長的源極特徵及汲極特徵,而消除或大致上減少金屬輔助矽蝕刻效應及相關的缺陷。方法230包括類似於方法200的步驟202至步驟206。
透過步驟206在半導體基底110內形成凹口132之 後,方法230進行至步驟232,以在凹口132內形成源極特徵及汲極特徵138。步驟232包括磊晶沉積製程,其具有變化的蝕刻/沉積因子。具體而言,步驟232以沉積效果及蝕刻效果兩者而在凹口132內磊晶成長半導體材料。在步驟232期間,為了蝕刻效果,在製程腔室內引入含氯氣體(例如,Cl2或HCl),進而使得磊晶沉積製程具有選擇性(選擇性地成長於半導體基底110上)。在各種實施例中,步驟232包括循環式沉積及蝕刻製程、共流磊晶成長製程或其組合。先前已說明了循環式沉積及蝕刻製程及共流磊晶成長製程,此處不再贅述。蝕刻/沉積(E/D)因子用來定義磊晶沉積製程232的特性。蝕刻/沉積因子定義為在某個製程時間的期間對半導體基底的蝕刻量與沉積量的比值。蝕刻/沉積因子在從0到小於1的範圍內。磊晶沉積製程232是以蝕刻/沉積因子在磊晶沉積製程期間變化(特別是在製程期間增加)的方式進行。以下配合某些實施例進一步說明磊晶沉積製程232及蝕刻/沉積因子。
如以上的方法200所述,循環式沉積及蝕刻製程包 括重複多次的一沉積循環(或稱為D循環)及一蝕刻循環(或稱為E循環)。透過改變一個或一個以上的參數(例如,製程溫度、氣流、氣體分壓、E循環的持續時間、D循環的持續時間、E循 環與D循環的比值或其組合)來改變蝕刻/沉積因子。在一實施例中,調整D循環的持續時間及E循環的持續時間(或是D循環的持續時間與E循環的持續時間之比值)以改變蝕刻/沉積因子。作為用於說明的範例,循環式沉積及蝕刻製程可能進行15秒的沉積製程然後進行10秒的蝕刻製程而得到一蝕刻/沉積因子,或者可能進行10秒的沉積製程然後進行6秒的蝕刻製程而得到另一蝕刻/沉積因子。在另一實施例中,透過調整E循環的次數與D循環的次數之比值(或稱為E循環與D循環的比值)來改變蝕刻/沉積因子。作為用於說明的範例,循環式沉積及蝕刻製程可能進行2次沉積循環及1次蝕刻循環而得到一蝕刻/沉積因子,或者可能進行1次沉積循環及1次蝕刻循環而得到另一蝕刻/沉積因子。在另一實施例中,透過改變基底溫度來改變蝕刻/沉積因子。在用於說明的範例中,在循環式沉積及蝕刻製程的蝕刻循環期間,將基底溫度降低而減少蝕刻效果,進而降低了蝕刻/沉積因子。在另一實施例中,透過降低沉積氣體壓力(或沉積氣體流率)的方式來調整蝕刻/沉積因子,進而提高蝕刻/沉積因子。又一實施例中,透過降低蝕刻氣體壓力(或蝕刻氣體流率)的方式來調整蝕刻/沉積因子,進而降低蝕刻/沉積因子。
在共流磊晶成長製程中,蝕刻/沉積因子類似地變 化。在一實施例中,改變基底溫度以改變蝕刻/沉積因子。舉例來說,僅當基底溫度為620℃或大於620℃時有明顯的蝕刻效果。當基底溫度增加至620℃以上時,蝕刻效果增加,因此提高蝕刻/沉積因子。在另一實施例中,透過降低沉積氣體壓力(或 沉積氣體流率)的方式來調整蝕刻/沉積因子,進而提高蝕刻/沉積因子。又一實施例中,透過降低蝕刻氣體壓力(或蝕刻氣體流率)的方式來調整蝕刻/沉積因子,進而降低蝕刻/沉積因子。
在方法200的步驟210中,對應的循環式沉積及蝕 刻製程或共流磊晶成長製程的蝕刻/沉積因子在製程中為定值。在步驟232中,蝕刻/沉積因子為變化的參數,其在製程中增加。最初,蝕刻/沉積因子小到足以消除或大致上減少金屬輔助矽蝕刻。然後,蝕刻/沉積因子在製程期間提高,進而使得磊晶沉積製程232具有選擇性。
在某些實施例中,步驟232中的磊晶沉積製程包括 具有第一蝕刻/沉積因子的第一沉積步驟234以及具有第二蝕刻/沉積因子的第二沉積步驟236。配合第15圖進一步說明。在第一沉積步驟234中,控制磊晶沉積製程具有經選擇為大致上減少氯及減少氯與金屬殘留物之間的交互作用的第一蝕刻/沉積因子242。在某些範例中,第一蝕刻/沉積因子242在從0至大約0.2的範圍內。第一沉積步驟234沒有選擇性。在第二沉積步驟236中,調整第二蝕刻/沉積因子244,使得磊晶沉積製程在半導體基底110上選擇性地沉積。第二蝕刻/沉積因子244大於第一蝕刻/沉積因子242。在某些範例中,第二蝕刻/沉積因子244在從大約0.2至大約0.5的範圍內。需注意的是,第一沉積步驟234的沉積製程沒有選擇性或不具有足夠的選擇性。在第一沉積步驟期間在其他區域(例如,淺溝槽隔離特徵及/或閘極堆疊結構)的任何非預期的沉積將由於增加的蝕刻效果而在第二沉 積步驟被去除。
在步驟232中,對應的沉積技術可以是循環式沉積 及蝕刻製程、共流磊晶成長製程或其組合。在某些其他實施例中,只要調整上述兩個沉積步驟以消除金屬相關的缺陷及沉積選擇性,沉積氣體(非蝕刻氣體)可以包括氯化的化學物質。例如,在步驟232中可能使用SiH2Cl2作為含矽氣體。
在某些範例中,磊晶沉積製程232為循環式沉積及 蝕刻製程。在第一沉積步驟234中,用於(複數)蝕刻循環的HCl(或Cl2)的分壓小於100Torr,而在第二沉積步驟236中,用於(複數)蝕刻循環的HCl(或Cl2)的分壓大於100Torr。在某些其他範例中,磊晶沉積製程232為共流磊晶成長製程。在第一沉積步驟234中,HCl(或Cl2)的分壓小於3Torr,而在第二沉積步驟236中,HCl(或Cl2)的分壓大於3Torr。
在某些其他實施例中,磊晶沉積製程232為共流磊 晶成長製程(或循環式沉積及蝕刻製程)。藉由基底溫度調整蝕刻/沉積因子。如同以上所述,當基底溫度大於620℃時有明顯的蝕刻效果。在第一沉積步驟234中,第一基底溫度小於大約620℃,且在第二沉積步驟236中,第二基底溫度大於620℃。
在某些其他實施例中,步驟232使用具有兩個步驟 的共流磊晶成長製程:具有第一蝕刻/沉積因子之第一沉積步驟234;以及具有大於第一蝕刻/沉積因子的第二蝕刻/沉積因子之第二沉積步驟236。在共流磊晶成長製程期間,不斷變化地控制沉積氣體及蝕刻氣體(例如,透過控制氣體流率),以在類似於循環式沉積及蝕刻製程所述的考量之下不斷變化地改變 蝕刻/沉積因子。舉例來說,蝕刻氣體流率最初是零或更低。 然後蝕刻氣體流率隨著製程時間而增加。在第一沉積步驟234中,製程腔室中的氯(例如,HCl)的氣體分壓低,例如某些範例中在大約1Torr至大約10Torr的範圍內。在第二沉積步驟236中,製程腔室中的氯的氣體分壓大於第一沉積步驟234之氯的氣體分壓,例如某些範例中第二沉積步驟236之氯的氣體分壓在大約200Torr至大約250Torr的範圍內。
在某些其他實施例中,磊晶沉積製程232設計為使 得蝕刻/沉積因子隨著製程逐步階梯式變化。如第17圖所示,蝕刻/沉積因子246隨著製程時間階梯式變化。再次說明,透過一個或一個以上的機制(例如,氣流、氣體分壓及基底溫度)來實現蝕刻/沉積因子的改變。沉積機制可以是循環式沉積及蝕刻製程、共流磊晶成長製程或其組合。
在某些實施例中,步驟232設計為使得蝕刻/沉積因子隨著製程連續地變化。蝕刻/沉積因子變化的範圍從0到1。在步驟232期間,在各種考量(包括沉積選擇性、金屬輔助矽蝕刻的問題及/或沉積的品質)之下不斷變化地改變蝕刻/沉積因子。最初,金屬輔助矽蝕刻的問題是首要的考量因素,因此選擇低的蝕刻/沉積因子。在步驟232的後面階段,沉積選擇性為首要的,而金屬輔助矽蝕刻的問題則為次要的,因此增加蝕刻/沉積因子。蝕刻/沉積因子可能為製程時間的適當函數,例如線性函數或非線性函數。第18圖為一範例,蝕刻/沉積因子248為製程時間的線性函數。第19圖為另一範例,蝕刻/沉積因子250為製程時間的非線性函數。可以在各種因素(例如,被沉積 的半導體材料、製程生產能力、以及裝置對於金屬輔助矽蝕刻效應相關的缺陷之耐受範圍)的考量之下將其調整及最佳化,以獲得更好的製造結果。
可以將其他的製造步驟應用於上述方法的各個步 驟之前、期間及之後。在一實施例中,一內層介電(ILD)層形成於半導體基底上。內層介電層包括氧化矽、低k介電材料、其他適合的介電材料或其組合。透過適合的技術(例如,化學氣相沉積製程)形成內層介電層。舉例來說,可以使用高密度電漿化學氣相沉積製程形成內層介電層。在另一實施例中,可以應用閘極替代製程,以形成具有高k介電材料及金屬閘極電極的最終閘極堆疊結構。在另一實施例中,上述方法還包括形成各種內連線特徵的步驟,以耦合各種元件進而形成一功能電路。
本發明提供了一種場效電晶體的製造方法及結 構,能夠解決與金屬殘留物及氯相關的缺陷問題。此方法包括在磊晶成長半導體材料進而於凹口內形成源極特徵及汲極特徵之前,在半導體基底的凹口內形成鈍化層。鈍化層的組成、厚度及配置設計為有效地防止含氯化學物質與凹口內的金屬殘留物之間的交互作用。在其他實施例中,進一步對鈍化層進行氟處理,以提高其功效。在其他實施例中,此方法應用具有變化的蝕刻/沉積因子之沉積方法來磊晶成長源極特徵及汲極特徵。蝕刻/沉積因子隨著製程時間而變化,以解決與金屬殘留物及氯相關的缺陷問題。一開始選擇低的蝕刻/沉積因子,以解決缺陷問題,然後蝕刻/沉積因子隨著時間而增加,以提 高沉積選擇性。
半導體結構100可以用於各種應用(例如,邏輯電 路、動態隨機存取記憶體(dynamic random access memory,DRAM)、靜態隨機存取記憶體(static random access memory,SRAM)單元、快閃記憶體、或影像感測器)中。半導體結構為平面場效電晶體結構或者是鰭式場效電晶體結構。在其他實施例中,所公開的用以選擇性地沉積半導體材料層的方法可以用於形成在製程期間具有大致上減少的金屬敏感度之其他磊晶特徵。在用於具有磊晶成長的通道區之場效電晶體的一範例中,此方法說明如下:去除虛設閘極以形成閘極溝槽;使閘極溝槽內的基底凹陷;透過所公開的沉積法在凹口區域內磊晶成長半導體材料。
配合本發明一實施例,一種場效電晶體的製造方 法包括對一半導體基底進行蝕刻製程,進而在半導體基底的源極區及汲極區內形成複數凹口。在凹口內形成由一第一半導體材料所構成的一鈍化材料層。磊晶成長一第二半導體材料,進而在凹口內形成一源極特徵及一汲極特徵,其中源極特徵及汲極特徵透過鈍化材料層與半導體基底分隔。
配合本發明另一實施例,一種場效電晶體的製造 方法包括對一半導體基底進行蝕刻製程,進而在半導體基底的源極區及汲極區內形成複數凹口。透過具有變化的蝕刻/沉積因子的一沉積製程,磊晶成長一半導體材料,進而在凹口內形成一源極特徵及一汲極特徵。
配合本發明又另一實施例,一種積體電路結構包 括一半導體基底,由一第一半導體材料所構成,且具有複數第一凹口。一第一閘極堆疊結構形成於半導體基底上,且鄰近於第一凹口。一鈍化材料層由一第二半導體材料所構成,且形成於第一凹口內。一第一源極特徵及一第一汲極特徵由一第三半導體材料所構成,且形成於第一凹口內,並透過鈍化材料層與半導體基底分隔,其中鈍化材料層不含氯。
以上概略說明了本發明數個實施例的特徵,使所 屬技術領域中具有通常知識者對於本發明可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本發明實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本發明之精神和保護範圍內,且可在不脫離本發明之精神和範圍內,當可作更動、替代與潤飾。
100‧‧‧半導體結構
110‧‧‧半導體基底
112‧‧‧(淺溝槽)隔離特徵
114‧‧‧(第一鰭狀)主動區/半導體區
116‧‧‧摻雜井區
118‧‧‧通道區
126‧‧‧閘極間隙壁
128‧‧‧輕摻雜汲極特徵
136‧‧‧鈍化層
138‧‧‧源極特徵/汲極特徵
142‧‧‧內層介電層
146‧‧‧閘極堆疊結構
148‧‧‧閘極介電層
150‧‧‧(金屬)閘極電極
152‧‧‧金屬層/功函數層
154‧‧‧導電層

Claims (17)

  1. 一種場效電晶體的製造方法,包括:對一半導體基底進行蝕刻製程,進而在該半導體基底的源極區及汲極區內形成複數凹口;在該等凹口內形成由一第一半導體材料所構成的一鈍化材料層;以及磊晶成長一第二半導體材料,進而在該等凹口內形成一源極特徵及一汲極特徵,其中該源極特徵及該汲極特徵透過該鈍化材料層與該半導體基底分隔。
  2. 如申請專利範圍第1項所述之場效電晶體的製造方法,其中形成該鈍化材料層的步驟包括使用不含氯的前驅物進行非選擇性沉積製程,且包括磊晶成長由該第一半導體材料所構成的該鈍化材料層,該第一半導體材料不同於該第二半導體材料。
  3. 如申請專利範圍第2項所述之場效電晶體的製造方法,其中形成該鈍化材料層的步驟包括使用不含摻雜物的氣體的前驅物,磊晶成長由不含摻雜物的該第一半導體材料所構成的該鈍化材料層。
  4. 如申請專利範圍第1項所述之場效電晶體的製造方法,更包括在磊晶成長該第二半導體材料之前,對該鈍化材料層進行一氟處理。
  5. 如申請專利範圍第4項所述之場效電晶體的製造方法,其中進行該氟處理的步驟包括以氟劑量在大約1×1014ions/cm2至大約2×1015ions/cm2的範圍內進行該氟處理。
  6. 如申請專利範圍第1項所述之場效電晶體的製造方法,其中磊晶成長該第二半導體材料的步驟包括進行一循環式沉積及蝕刻製程,其中:該循環式沉積及蝕刻製程包括用於沉積製程的一第一循環及用於蝕刻製程的一第二循環的;且該第二循環使用含氯氣體,其包括HCl及Cl2其中至少一者。
  7. 如申請專利範圍第6項所述之場效電晶體的製造方法,其中該循環式沉積及蝕刻製程的該第一循環使用包括SiH4(及/或Si2H6)、PH3及甲基矽甲烷(MMS)的前驅物或者使用包括SiH4(及/或Si2H6)、B2H6及GeH4的前驅物。
  8. 一種場效電晶體的製造方法,包括:對一半導體基底進行蝕刻製程,進而在該半導體基底的源極區及汲極區內形成複數凹口;以及透過具有變化的蝕刻/沉積因子的一沉積製程,磊晶成長一半導體材料,進而在該等凹口內形成一源極特徵及一汲極特徵。
  9. 如申請專利範圍第8項所述之場效電晶體的製造方法,其中磊晶成長該半導體材料的步驟包括透過選自氣體分壓、氣體流率、基底溫度及其組合的機制來改變蝕刻/沉積因子,且其中該沉積製程包括具有一第一蝕刻/沉積因子的一第一沉積步驟,以及具有一第二蝕刻/沉積因子的一第二沉積步驟,該第二蝕刻/沉積因子大於該第一蝕刻/沉積因子。
  10. 如申請專利範圍第9項所述之場效電晶體的製造方法,其中: 該第一沉積步驟為非選擇性沉積製程;且該第二沉積步驟為選擇性沉積製程。
  11. 如申請專利範圍第9項所述之場效電晶體的製造方法,其中:該第一沉積步驟具有一第一基底溫度低於620℃;且該第二沉積步驟具有一第二基底溫度高於620℃。
  12. 如申請專利範圍第8項所述之場效電晶體的製造方法,其中該沉積製程包括循環式沉積及蝕刻製程、共流磊晶成長製程及其組合的其中一者。
  13. 一種積體電路結構,包括:一半導體基底,由一第一半導體材料所構成,且具有複數第一凹口;一第一閘極堆疊結構,形成於該半導體基底上,且鄰近於該等第一凹口;一鈍化材料層,由一第二半導體材料所構成,且形成於該等第一凹口內;以及一第一源極特徵及一第一汲極特徵,由一第三半導體材料所構成,且形成於該等第一凹口內,並透過該鈍化材料層與該半導體基底分隔,其中該鈍化材料層不含氯。
  14. 如申請專利範圍第13項所述之積體電路結構,其中:該第一源極特徵及該第一汲極特徵摻雜有選自由n型摻雜物及p型摻雜物所組成的群組中的一摻雜物;該鈍化材料層不含該摻雜物;該第一半導體材料為矽;且 該第二半導體材料不同於該第三半導體材料。
  15. 如申請專利範圍第14項所述之積體電路結構,其中該鈍化材料層還包括氟。
  16. 如申請專利範圍第15項所述之積體電路結構,其中該鈍化材料層包括氟濃度在大約1×1014cm-2至大約2×1015cm-2的範圍內的氟。
  17. 如申請專利範圍第14項所述之積體電路結構,更包括:複數第二凹口,位於該半導體基底內,其中由該第二半導體材料所構成的該鈍化材料層位於該等第二凹口內;一第二閘極堆疊結構,形成於該半導體基底上,且鄰近於該等第二凹口;以及一第二源極特徵及一第二汲極特徵,由該第二半導體材料所構成,且形成於該等第二凹口內,並透過該鈍化材料層與該半導體基底分隔,其中:該第一閘極堆疊結構、該第一源極特徵及該第一汲極特徵用以形成具有一第一導電型的第一場效電晶體;且該第二閘極堆疊結構、該第二源極特徵及該第二汲極特徵用以形成具有相反於該第一導電型的一第二導電型的第二場效電晶體。
TW104126049A 2014-08-22 2015-08-11 場效電晶體的製造方法及積體電路結構 TWI685035B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462040880P 2014-08-22 2014-08-22
US62/040,880 2014-08-22
US14/597,115 US10263108B2 (en) 2014-08-22 2015-01-14 Metal-insensitive epitaxy formation
US14/597,115 2015-01-14

Publications (2)

Publication Number Publication Date
TW201608643A true TW201608643A (zh) 2016-03-01
TWI685035B TWI685035B (zh) 2020-02-11

Family

ID=55348998

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104126049A TWI685035B (zh) 2014-08-22 2015-08-11 場效電晶體的製造方法及積體電路結構

Country Status (4)

Country Link
US (3) US10263108B2 (zh)
KR (2) KR20160023543A (zh)
CN (1) CN105390398B (zh)
TW (1) TWI685035B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI691072B (zh) * 2017-11-30 2020-04-11 台灣積體電路製造股份有限公司 積體電路與其製作方法
US10840376B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method with enhanced gate contact and threshold voltage
US11211470B2 (en) 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI782426B (zh) * 2020-02-25 2022-11-01 愛爾蘭商亞德諾半導體國際無限公司 積體電路以及用於製造積體電路之方法
TWI782234B (zh) * 2018-09-28 2022-11-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
TWI832806B (zh) * 2016-10-05 2024-02-21 南韓商三星電子股份有限公司 半導體裝置及製造半導體裝置的方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8026470B2 (en) 2008-10-22 2011-09-27 Tyco Electronics Corporation Photosensor circuits including a switch mode power converter, a driver transistor and a relay coil
US8304996B2 (en) 2010-02-04 2012-11-06 Tyco Electronics Corporation Photosensor circuits including a current amplifier
KR102175854B1 (ko) * 2013-11-14 2020-11-09 삼성전자주식회사 반도체 소자 및 이를 제조하는 방법
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
KR102290538B1 (ko) 2015-04-16 2021-08-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
WO2016204755A1 (en) * 2015-06-17 2016-12-22 Intel Corporation Vertical integration scheme and circuit elements architecture for area scaling of semiconductor devices
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US10141443B2 (en) * 2016-03-24 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices FinFET devices with optimized strained-sourece-drain recess profiles and methods of forming the same
FR3050315B1 (fr) * 2016-04-19 2019-06-21 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistor a overlap des regions d'acces maitrise
CN107403835B (zh) * 2016-05-19 2021-12-14 联芯集成电路制造(厦门)有限公司 半导体装置及其制作工艺
US10304957B2 (en) 2016-09-13 2019-05-28 Qualcomm Incorporated FinFET with reduced series total resistance
US10115808B2 (en) * 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
US10700197B2 (en) * 2017-09-29 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10217815B1 (en) 2017-10-30 2019-02-26 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit device with source/drain barrier
US10978351B2 (en) 2017-11-17 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer between substrate and isolation structure
US10840355B2 (en) * 2018-05-01 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Increasing source/drain dopant concentration to reduced resistance
US10483396B1 (en) 2018-06-11 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interfacial layer between fin and source/drain region
CN109524470A (zh) * 2018-10-29 2019-03-26 上海华力集成电路制造有限公司 Nmos管及其制造方法
CN109473480A (zh) * 2018-10-29 2019-03-15 上海华力集成电路制造有限公司 Nmos管及其制造方法
US11075269B2 (en) 2018-11-30 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TWI764399B (zh) 2020-04-27 2022-05-11 台灣積體電路製造股份有限公司 半導體裝置、積體晶片及其形成方法
US11522049B2 (en) 2020-04-27 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion barrier layer for source and drain structures to increase transistor performance
DE102020125995A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co. Ltd. Passivierungsschicht für epitaktischen halbleiterprozess
US11404274B2 (en) * 2020-08-18 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US20220336640A1 (en) 2021-04-14 2022-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Undoped Region Under Source/Drain And Method Forming Same

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
JP3698710B1 (ja) 2004-04-28 2005-09-21 三菱電機株式会社 階調改善回路及び表示システム
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7221006B2 (en) * 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US7608515B2 (en) * 2006-02-14 2009-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion layer for stressed semiconductor devices
US7528072B2 (en) * 2006-04-20 2009-05-05 Texas Instruments Incorporated Crystallographic preferential etch to define a recessed-region for epitaxial growth
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
JP5380794B2 (ja) * 2007-06-22 2014-01-08 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体層の形成方法
US7838887B2 (en) * 2008-04-30 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain carbon implant and RTA anneal, pre-SiGe deposition
US7736982B2 (en) * 2008-10-14 2010-06-15 United Microelectronics Corp. Method for forming a semiconductor device
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
KR20120038195A (ko) * 2010-10-13 2012-04-23 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
KR20120099863A (ko) * 2011-03-02 2012-09-12 삼성전자주식회사 트랜지스터 및 그 제조 방법
US9885123B2 (en) 2011-03-16 2018-02-06 Asm America, Inc. Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US8809170B2 (en) * 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9064892B2 (en) * 2011-08-30 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US9099490B2 (en) * 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9029912B2 (en) * 2013-01-11 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor substructure having elevated strain material-sidewall interface and method of making the same
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9093468B2 (en) * 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US8906789B2 (en) * 2013-03-13 2014-12-09 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric cyclic desposition etch epitaxy
US8993384B2 (en) * 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9627480B2 (en) * 2014-06-26 2017-04-18 Globalfoundries Inc. Junction butting structure using nonuniform trench shape
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI832806B (zh) * 2016-10-05 2024-02-21 南韓商三星電子股份有限公司 半導體裝置及製造半導體裝置的方法
US10840376B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method with enhanced gate contact and threshold voltage
TWI713220B (zh) * 2017-11-29 2020-12-11 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US11804547B2 (en) 2017-11-29 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method with enhanced gate contact and threshold voltage
TWI691072B (zh) * 2017-11-30 2020-04-11 台灣積體電路製造股份有限公司 積體電路與其製作方法
US11152355B2 (en) 2017-11-30 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with embedded memory device and contact isolation scheme
TWI782234B (zh) * 2018-09-28 2022-11-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US11211470B2 (en) 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI782426B (zh) * 2020-02-25 2022-11-01 愛爾蘭商亞德諾半導體國際無限公司 積體電路以及用於製造積體電路之方法

Also Published As

Publication number Publication date
KR101820265B1 (ko) 2018-01-19
CN105390398A (zh) 2016-03-09
KR20160023543A (ko) 2016-03-03
US20190245087A1 (en) 2019-08-08
TWI685035B (zh) 2020-02-11
KR20170028917A (ko) 2017-03-14
US11495685B2 (en) 2022-11-08
US10804395B2 (en) 2020-10-13
US20210043770A1 (en) 2021-02-11
CN105390398B (zh) 2021-02-19
US20160056290A1 (en) 2016-02-25
US10263108B2 (en) 2019-04-16

Similar Documents

Publication Publication Date Title
US11495685B2 (en) Metal-insensitive epitaxy formation
TWI671808B (zh) 半導體裝置及其製造方法
US9905646B2 (en) V-shaped epitaxially formed semiconductor layer
TWI816685B (zh) 半導體裝置及其製造方法
TWI536564B (zh) 半導體裝置及其形成方法
KR101496518B1 (ko) 고유전율 및 금속 게이트 구조를 갖는 mosfet를 위한 구조 및 방법
US9472620B1 (en) Semiconductor device including fin structures and manufacturing method thereof
KR20160003539A (ko) 콘택 구조체들 및 이들을 형성하는 방법들
TWI697039B (zh) 半導體裝置及其形成方法
TW201926705A (zh) 半導體結構及其製造方法
TW202029340A (zh) 半導體裝置及其形成方法
TW202209555A (zh) 半導體元件的製造方法及半導體元件
TWI730478B (zh) 製造半導體元件之方法以及半導體元件
US11935951B2 (en) Metal-insensitive epitaxy formation
US11616133B2 (en) Fin field-effect transistor device and method
KR102571375B1 (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
US11631745B2 (en) Semiconductor device structure with uneven gate profile
US20230178418A1 (en) Multigate device structure with engineered cladding and method making the same
TWI521709B (zh) 半導體結構及積體電路之製造方法