TWI773584B - 接觸件整合及選擇性矽化物形成方法 - Google Patents

接觸件整合及選擇性矽化物形成方法 Download PDF

Info

Publication number
TWI773584B
TWI773584B TW110142488A TW110142488A TWI773584B TW I773584 B TWI773584 B TW I773584B TW 110142488 A TW110142488 A TW 110142488A TW 110142488 A TW110142488 A TW 110142488A TW I773584 B TWI773584 B TW I773584B
Authority
TW
Taiwan
Prior art keywords
silicide
germanium
containing precursor
region
titanium
Prior art date
Application number
TW110142488A
Other languages
English (en)
Other versions
TW202213449A (zh
Inventor
馬蒂亞斯 包爾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202213449A publication Critical patent/TW202213449A/zh
Application granted granted Critical
Publication of TWI773584B publication Critical patent/TWI773584B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Thin Film Transistor (AREA)

Abstract

本文描述選擇性矽化物形成之方法。一般而言與接觸件結構整合方案一併利用該等方法,且該等方法提供改良的矽化物形成特性。一個實施型態中,矽化物材料在低於約550 ºC的溫度選擇性形成於源極/汲極(S/D)區域上。相信所得的矽化物呈現期望的接觸電阻及先進接觸件整合方案中的可應用性。

Description

接觸件整合及選擇性矽化物形成方法
本案揭露內容之實施型態一般關於用於接觸件整合方案的選擇性矽化物形成方法。
矽化鈦是用於半導體元件製造中的矽化物接觸件的有望材料。然而,矽化鈦的化學氣相沉積(CVD)對於低於800ºC的溫度不具選擇性。再者,習知矽化物的形成呈現低於期望的正形度(conformality),且後沉積退火經常用於形成低電阻率相的矽化物。這些變數經常耗時且與先進節點接觸件結構整合方案的熱預算不相容。
因此,此技術中所需要的是改良的矽化物形成方法。
一個實施型態中,提供一種矽化物形成方法。該方法包括:執行接觸件溝槽蝕刻製程,以暴露源極/汲極(S/D)區域,以及在該暴露的S/D區域上執行清潔製程。在該S/D區域上執行磊晶鍺沉積,且透過將該磊晶沉積的鍺在低於約550ºC的溫度暴露至含鈦前驅物與含矽前驅物而在該S/D區域中執行矽化物形成製程。
另一實施型態中,提供矽化物形成方法。該方法包括:執行接觸件溝槽蝕刻製程,以暴露源極/汲極(S/D)區域,且該暴露的S/D區域包括四族材料。在該暴露的S/D區域上執行氧化物移除製程,且在該S/D區域上執行磊晶鍺沉積。透過將該磊晶沉積的鍺在低於約500ºC的溫度暴露至含鈦前驅物與含矽前驅物以形成包括鈦、矽、及鍺的矽化物材料合金,而在該S/D區域上執行熱化學氣相沉積矽化物形成製程。鍺以低於10%的濃度存在於該合金中。
尚有另一實施型態中,提供一種矽化物形成方法。該方法包括:執行接觸件溝槽蝕刻製程,以暴露pMOS型及nMOS型的源極/汲極(S/D)區域;在該暴露的S/D區域上執行第一清潔製程;遮蔽pMOS型的S/D區域;在該pMOS型的S/D區域上執行磊晶鍺沉積;以及從該pMOS型的S/D區域移除該遮罩。該方法進一步包括:遮蔽nMOS型的S/D區域;在該nMOS型的S/D區域上執行磊晶鍺沉積;從該nMOS型的S/D區域移除該遮罩;以及在該S/D區域上執行第二清潔製程。透過將該磊晶沉積的鍺在低於約550ºC的溫度暴露至含鈦前驅物與含矽前驅物,而在該S/D區域上執行矽化物形成製程。
本文描述用於選擇性矽化物形成的方法。一般而言與接觸件結構整合方案一併利用該等方法,且該等方法提供改良的矽化物形成特性。一個實施型態中,矽化物材料在低於約550ºC的溫度選擇性形成於源極/汲極(S/D)區域上。相信所得的矽化物呈現期望的接觸電阻及先進接觸件整合方案中的可應用性。
第1圖說明用於執行選擇性矽化物形成製程的方法100的操作。在操作110,執行接觸件溝槽蝕刻製程。執行該蝕刻製程(諸如反應性離子蝕刻製程或類似製程)以暴露半導體元件的源極/汲極(S/D)區域。考量本文所述的實施型態可於各種整合方案(諸如矽化物優先及矽化物最後的整合方案)中找到適合的可應用性。類似地,相信本文所述之實施型態對於閘極優先及閘極最後的整合方案有益。
在操作120,在S/D區域上執行清潔製程。該清潔製程可在後續處理操作(諸如矽化物形成製程)之前執行。一個實施型態中,該清潔製程用於從S/D區域之表面移除氧化物、氮化物、或其他材料。適合的清潔製程包括SICONI®預清潔製程,其可購自美國加州Santa Clara的應用材料公司。然而,考量也可根據本文所述之實施型態實施來自其他販售商的合適設置的清潔製程。
相信關於操作120所述的清潔製程實質上或完全地從S/D區域移除氧化物、氮化物、或其他非期望材料,以暴露S/D區域的表面。一個實施型態中,S/D區域的暴露表面包括四族材料,諸如矽及/或鍺。諸如磷、銦、砷、鎵、及類似物之其他材料也可存在於暴露表面處。一個實施型態中,S/D區域設置成nMOS型的元件。另一實施型態中,S/D區域設置成pMOS型的元件。考量基材可完全由nMOS構成、完全由pMOS構成、或由nMOS及pMOS元件之組合構成。
在操作130,於S/D區域上執行磊晶鍺沉積製程。一個實施型態中,磊晶沉積鍺可具有介於數埃至數奈米之間的厚度,例如介於約1Å至約10nm之間,諸如介於約5Å至約5nm之間。考量在S/D區域上沉積的磊晶鍺層可適合用於nMOS及pMOS元件結構兩者。一個實施型態中,也以鎵摻雜磊晶鍺層。從而,元件的特定(即nMOS、pMOS)的S/D界面工程簡化,且可改善接觸件整合的效能。
在操作140,視情況任選清潔S/D區域上所形成的磊晶鍺層。例如,若鍺層上形成原生氧化物,則可執行SICONI®或其他適合的氧化物移除製程以準備鍺層以供後續的矽化物形成製程。相信該鍺層提供S/D及矽化物界面處的額外半導體材料,以防止或減少矽化物形成期間S/D材料的消耗。
在操作150,執行選擇性矽化物形成製程。一個實施型態中,選擇性矽化物形成製程是在低於約550ºC的溫度(諸如低於約500ºC)執行的熱CVD製程。一個實施型態中,TiCl 4用作鈦前驅物,而SiH 4用作矽前驅物。另一實施型態中,可利用更大型的鹵素(諸如TiBr 4或TiI 4)以減少本文所述之降低的溫度下的化合物之分解能量。另一實施型態中,可利用更高級的矽烷(諸如Si 2H 6、Si 3H 8、及Si 4H 10),這是由於與更高級矽烷相關的降低的分解能量。作為替代方案,可利用諸如GeH 3之類的鍺之氫化物以進一步助於氯從以矽為主的S/D區域表面脫附。一個實施型態中,相信形成的所得的矽化物是在低電阻率c54-TiSi 2相,該相呈現介於13-16µΩ/cm 2之間的電阻率。
用於形成矽化物的鈦前驅物及矽前驅物在熱CVD製程期間可共同流動或以交替方式脈衝化。另一實施型態中,在矽化物形成製程期間,也共同流動、脈衝、或週期性脈衝SiCl 4、SiCl 3H、SiCl 2H 2、SiCl 2、SiClH 4、HCl、Cl 2、GeCl 4、GeCl 2及上述物質之組合與混合物。相信補充H減少S/D區域中矽的蝕刻,且額外供應Cl可用於在矽化物沉積期間維持期望的選擇性(即,自對準的矽化物形成主要是發生在S/D區域上,優先於其他結構及材料)。
也相信,利用額外前驅物及該前驅物關聯的相對應的材料減少針孔密度或完全消除針孔,而遍及S/D區域界面生成有均勻生長特性的矽化物。考量利用含鍺前驅物的具有多達約10%的Ge的矽化物合金(Ti(Si 0.9Ge 0.1) 2)也提供期望的矽化物特性,諸如膜與接觸件的電阻率以及熱穩定性。在此實施型態中,膜電阻率可為約15µΩ/cm且接觸件電阻率可為約2E -9Ω/cm 2
也可一併與捲纏式(wrap around)接觸件結構或「圓鑿(gouged)」S/D結構(用於增加接觸件面積的整合方案)使用該矽化物形成製程。考量矽化物沉積製程的選擇性及正形本質可有利地用在「高」鰭式場效電晶體(FinFET)及水平閘極環繞式(hGAA)電晶體構造中。
第2圖說明用於執行選擇性矽化物形成製程的方法200的操作。在操作205,執行接觸件溝槽蝕刻製程,且在操作210於S/D區域上執行清潔製程。某些實施型態中,操作205及210分別類似操作110及120。在操作215,pMOS S/D區域受到遮蔽。在操作220,適合的遮罩(諸如氮化物材料及含碳材料)選擇性形成於pMOS S/D區域上方,以防止nMOS S/D區域上磊晶沉積期間在pMOS S/D區域上面有沉積產生。在nMOS S/D區域上的沉積可包括高度摻雜的SiP材料、磷化物材料、矽材料、矽鍺材料、及磷摻雜的矽鍺材料。
在操作225,從pMOS S/D區域移除遮罩,且在操作230中遮蔽nMOS S/D區域。用於nMOS S/D區域的遮罩類似用於pMOS S/D區域的遮罩,且於操作235,在pMOS S/D區域上執行磊晶沉積製程。在pMOS S/D區域上的沉積可包括高度摻雜的GeB、硼材料、鍺材料、矽鍺材料、及硼摻雜的矽鍺材料。在操作240,從nMOS S/D區域移除遮罩。
在操作245,於S/D區域上執行清潔製程(諸如關於操作140描述的清潔製程)。在操作250,執行選擇性矽化物形成製程,該製程類似關於操作150所描述的製程。考量操作150及250之各者可利用經調整的製程參數以回應元件結構類型及S/D區域中存在的材料而改善矽化物形成。
方法100及方法200之各者中,選擇性矽化物形成製程提供有期望電阻率性質的選擇性矽化物材料形成。方法100、200實現無須利用前無晶化佈植、後轉型快速熱退火、或未反應鈦的移除而形成矽化物,從而簡化先進接觸件整合方案且增加了該方案的效能。
雖然前述內容涉及本案揭露內容之實施型態,但可不可背離本案揭露內容之基本範疇而設計本案揭露內容之其他與進一步之實施型態,本案揭露內容之範疇是由隨後的申請專利範圍所決定。
100:方法 110~150:操作 200:方法 205~250:操作
藉由參考實施型態(一些實施型態於附圖中說明),可獲得於上文中簡要總結的本案揭露內容之更特定的敘述,而能詳細瞭解上文記載的本案揭露內容之特徵。然而應注意,附圖僅說明示範性實施型態,因而不應將該等附圖視為限制本案揭露內容之範疇,可容許其他等效實施型態。
第1圖說明根據本文所述之一個實施型態的方法的操作。
第2圖說明根據本文所述之一個實施型態的方法的操作。
為了助於瞭解,如可能則已使用相同的元件符號指定各圖共通的相同元件。考量一個實施型態的元件與特徵可有利地併入其他實施型態而無需進一步記敘。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:方法
205~250:操作

Claims (20)

  1. 一種矽化物形成方法,包括:執行一蝕刻製程,以暴露源極/汲極(S/D)區域;在該S/D區域上沉積一鎵摻雜的鍺材料;及透過將該鎵摻雜的鍺材料暴露至一含鈦前驅物及一含矽前驅物,而在該S/D區域上形成一矽化物材料。
  2. 如請求項1所述之方法,進一步包括:在執行該蝕刻製程之後且在該鎵摻雜的鍺材料之沉積之前,在暴露的該S/D區域上執行一清潔製程。
  3. 如請求項1所述之方法,其中該含鈦前驅物選自由TiCl4、TiBr4、及Til4所組成的群組。
  4. 如請求項3所述之方法,其中該含鈦前驅物是TiCl4
  5. 如請求項1所述之方法,其中該含矽前驅物選自由SiH4、Si2H6、Si3H8、及Si4H10所組成的群組。
  6. 如請求項5所述之方法,其中該含矽前驅物是SiH4
  7. 如請求項1所述之方法,其中在形成該矽化物材料期間該含鈦前驅物及該含矽前驅物共同流動。
  8. 如請求項1所述之方法,其中在形成該矽化物材料期間該含鈦前驅物及該含矽前驅物是以交替方式脈衝化。
  9. 如請求項1所述之方法,進一步包括: 在形成該矽化物材料期間將該S/D區域暴露至一鍺氫化物材料。
  10. 如請求項9所述之方法,其中該鍺氫化物材料是GeH3
  11. 如請求項1所述之方法,其中形成該矽化物是透過在低於約550℃的熱化學氣相沉積而執行。
  12. 如請求項1所述之方法,進一步包括:在形成該矽化物材料期間將該S/D區域暴露至一含氯前驅物。
  13. 如請求項12所述之方法,其中該含氯前驅物選自由SiCl4、SiCl3H、SiCl2H2、SiCl2、SiClH4、HCl、Cl2、GeCl4、GeCl2、及上述物質之組合、及上述物質之混合物所組成之群組。
  14. 如請求項1所述之方法,其中該矽化物材料是一c54相的矽化鈦材料。
  15. 如請求項14所述之方法,其中該矽化鈦材料具有介於約13μΩ/cm2至約16μΩ/cm2之間的電阻率。
  16. 一種矽化物形成方法,包括:蝕刻一基材,以暴露源極/汲極(S/D)區域;在該S/D區域上執行一鍺沉積,而形成沉積的鍺;及在該S/D區域上執行一矽化物形成製程,其藉由將沉積的該鍺在低於約500℃的溫度暴露至一含鈦前驅物 與一含矽前驅物,以形成一矽化物材料。
  17. 如請求項16所述之方法,其中該S/D區域包括四族材料,且該矽化物材料是包括鈦、矽、及鍺的一合金。
  18. 如請求項16所述之方法,進一步包括:在暴露的該S/D區域上執行一氧化物或氮化物移除製程。
  19. 如請求項16所述之方法,進一步包括:在該矽化物形成製程期間,將該S/D區域暴露至氫與氯。
  20. 一種矽化物形成方法,包括:執行一蝕刻製程,以暴露pMOS型及nMOS型的源極/汲極(S/D)區域;在該pMOS型的S/D區域上沉積鍺;在該nMOS型的S/D區域上沉積鍺;及在該S/D區域上執行一矽化物形成製程,其藉由將沉積的該鍺在低於約550℃的溫度暴露至一含鈦前驅物與一含矽前驅物,而形成包括鈦、矽、及鍺的一合金。
TW110142488A 2016-09-15 2017-08-30 接觸件整合及選擇性矽化物形成方法 TWI773584B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662395277P 2016-09-15 2016-09-15
US62/395,277 2016-09-15
WOPCT/US17/15121 2017-01-26
PCT/US2017/015121 WO2018052473A2 (en) 2016-09-15 2017-01-26 Contact integration and selective silicide formation methods
US15/417,638 2017-01-27
US15/417,638 US10103028B2 (en) 2016-09-15 2017-01-27 Contact integration and selective silicide formation methods

Publications (2)

Publication Number Publication Date
TW202213449A TW202213449A (zh) 2022-04-01
TWI773584B true TWI773584B (zh) 2022-08-01

Family

ID=61560770

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106129484A TWI749054B (zh) 2016-09-15 2017-08-30 接觸件整合及選擇性矽化物形成方法
TW110142488A TWI773584B (zh) 2016-09-15 2017-08-30 接觸件整合及選擇性矽化物形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106129484A TWI749054B (zh) 2016-09-15 2017-08-30 接觸件整合及選擇性矽化物形成方法

Country Status (5)

Country Link
US (2) US10103028B2 (zh)
EP (1) EP3513435A4 (zh)
KR (2) KR102302000B1 (zh)
TW (2) TWI749054B (zh)
WO (1) WO2018052473A2 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018052473A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. Contact integration and selective silicide formation methods

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100264470A1 (en) * 2009-04-21 2010-10-21 Applied Materials, Inc. Nmos transistor devices and methods for fabricating same
US20150236117A1 (en) * 2014-02-14 2015-08-20 Semi Solutions Llc Reduced Variation MOSFET Using a Drain-Extension-Last Process
TW201603187A (zh) * 2014-07-01 2016-01-16 台灣積體電路製造股份有限公司 半導體裝置及接觸結構的形成方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8402223A (nl) 1984-07-13 1986-02-03 Philips Nv Werkwijze ter vervaardiging van een halfgeleiderinrichting en inrichting, vervaardigd door toepassing daarvan.
US4619038A (en) 1985-08-15 1986-10-28 Motorola, Inc. Selective titanium silicide formation
US5240739A (en) * 1992-08-07 1993-08-31 Micron Technology Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
US5401674A (en) 1994-06-10 1995-03-28 Advanced Micro Devices Germanium implant for use with ultra-shallow junctions
KR0172559B1 (ko) * 1995-12-29 1999-03-30 김주용 반도체소자의 제조방법
KR100248145B1 (ko) * 1997-06-30 2000-03-15 김영환 반도체 소자의 금속배선 형성방법
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6399487B1 (en) 1998-12-28 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of reducing phase transition temperature by using silicon-germanium alloys
US6218711B1 (en) 1999-02-19 2001-04-17 Advanced Micro Devices, Inc. Raised source/drain process by selective sige epitaxy
KR100477816B1 (ko) 2002-12-30 2005-03-22 주식회사 하이닉스반도체 반도체 소자의 티타늄 실리사이드 콘택 형성 방법
KR100629266B1 (ko) * 2004-08-09 2006-09-29 삼성전자주식회사 샐리사이드 공정 및 이를 사용한 반도체 소자의 제조방법
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US9012964B2 (en) * 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Modulating germanium percentage in MOS devices
WO2018052473A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. Contact integration and selective silicide formation methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100264470A1 (en) * 2009-04-21 2010-10-21 Applied Materials, Inc. Nmos transistor devices and methods for fabricating same
US20150236117A1 (en) * 2014-02-14 2015-08-20 Semi Solutions Llc Reduced Variation MOSFET Using a Drain-Extension-Last Process
TW201603187A (zh) * 2014-07-01 2016-01-16 台灣積體電路製造股份有限公司 半導體裝置及接觸結構的形成方法

Also Published As

Publication number Publication date
TWI749054B (zh) 2021-12-11
KR20190042108A (ko) 2019-04-23
TW202213449A (zh) 2022-04-01
TW201824338A (zh) 2018-07-01
EP3513435A2 (en) 2019-07-24
KR102302000B1 (ko) 2021-09-14
US20180076041A1 (en) 2018-03-15
WO2018052473A2 (en) 2018-03-22
KR20210064429A (ko) 2021-06-02
US10964544B2 (en) 2021-03-30
WO2018052473A3 (en) 2018-07-26
KR102259187B1 (ko) 2021-06-01
US20190051531A1 (en) 2019-02-14
US10103028B2 (en) 2018-10-16
EP3513435A4 (en) 2020-04-22

Similar Documents

Publication Publication Date Title
TWI689971B (zh) 使用n型摻雜的選擇性磊晶生長以在n型金氧半導體鰭式電晶體中形成非直視性的源極汲極延伸部分
CN109427547A (zh) 用于沉积经掺杂锗锡半导体的方法和相关半导体装置结构
KR101237664B1 (ko) 자기 정렬된 소스 확장부 및 드레인 확장부를 갖는 트랜지스터
TWI298948B (en) Pmos transistor strain optimization with raised junction regions
TW200425411A (en) Integrating n-type and p-type metal gate transistors
KR20090097159A (ko) 반도체 장치의 제조 방법 및 반도체 장치
JP5497269B2 (ja) 安定したシリサイド膜及びその製造方法
TW200416837A (en) Semiconductor device with epitaxial C49-titanium silicide (TiSi2) layer and method for fabricating the same
JP5280843B2 (ja) 金属化合物層の形成方法、及び金属化合物層の形成装置
KR100637101B1 (ko) 에피택셜 스택과 금속층의 이중 구조로 된 콘택플러그를구비하는 반도체소자 및 그의 제조 방법
JPWO2008047564A1 (ja) 半導体装置の製造方法及び半導体装置
JP2012104735A (ja) 半導体装置及びその製造方法
KR100603588B1 (ko) 낮은 콘택 저항을 갖는 반도체 소자 및 그 제조 방법
US10312096B2 (en) Methods for titanium silicide formation using TiCl4 precursor and silicon-containing precursor
TWI773584B (zh) 接觸件整合及選擇性矽化物形成方法
JP2006228859A (ja) 半導体装置およびその製造方法
JP5206427B2 (ja) 半導体装置の製造方法
KR100593752B1 (ko) 불순물들이 제거된 실리콘 질화막을 구비하는 반도체소자의 제조방법
TWI839298B (zh) 半導體元件結構
JP2010278083A (ja) 半導体装置及びその製造方法
KR100359863B1 (ko) Seg를 이용한 반도체소자의 저저항 금속 실리사이드막형성방법
TW202012697A (zh) 矽化物沉積之方法
JP5387700B2 (ja) 半導体装置の製造方法
TW201137953A (en) Semiconductor device and method of making the same