JPH04108531A - 真空処理装置及びその運転方法 - Google Patents

真空処理装置及びその運転方法

Info

Publication number
JPH04108531A
JPH04108531A JP2225321A JP22532190A JPH04108531A JP H04108531 A JPH04108531 A JP H04108531A JP 2225321 A JP2225321 A JP 2225321A JP 22532190 A JP22532190 A JP 22532190A JP H04108531 A JPH04108531 A JP H04108531A
Authority
JP
Japan
Prior art keywords
substrate
cassette
dummy
vacuum
vacuum processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2225321A
Other languages
English (en)
Other versions
JP2644912B2 (ja
Inventor
Shigekazu Kato
加藤 重和
Koji Nishihata
西畑 廣治
Tsunehiko Tsubone
恒彦 坪根
Atsushi Ito
温司 伊藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=16827524&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH04108531(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2225321A priority Critical patent/JP2644912B2/ja
Priority to DE69133564T priority patent/DE69133564T2/de
Priority to DE69133254T priority patent/DE69133254T2/de
Priority to EP00121401A priority patent/EP1079418B1/en
Priority to DE69133535T priority patent/DE69133535T2/de
Priority to EP98106162A priority patent/EP0856875B1/en
Priority to DE69133567T priority patent/DE69133567T2/de
Priority to EP97111628A priority patent/EP0805481B1/en
Priority to DE69128861T priority patent/DE69128861T3/de
Priority to EP91307625A priority patent/EP0475604B2/en
Priority to EP00121402A priority patent/EP1076354B1/en
Priority to KR1019910014984A priority patent/KR0184682B1/ko
Priority to US07/751,951 priority patent/US5314509A/en
Publication of JPH04108531A publication Critical patent/JPH04108531A/ja
Priority to US08/096,256 priority patent/US5349762A/en
Priority to US08/302,443 priority patent/US5457896A/en
Priority to US08/443,039 priority patent/US5553396A/en
Priority to US08/593,870 priority patent/US5661913A/en
Priority to US08/882,731 priority patent/US5784799A/en
Application granted granted Critical
Publication of JP2644912B2 publication Critical patent/JP2644912B2/ja
Priority to US09/061,062 priority patent/US5950330A/en
Priority to US09/177,495 priority patent/US6012235A/en
Priority to KR1019980046756A priority patent/KR100212874B1/ko
Priority to KR1019980046757A priority patent/KR100212819B1/ko
Priority to US09/231,451 priority patent/US6055740A/en
Priority to US09/389,461 priority patent/US6112431A/en
Priority to US09/390,681 priority patent/US6070341A/en
Priority to US09/390,684 priority patent/US6044576A/en
Priority to US09/461,432 priority patent/US6330755B1/en
Priority to US09/461,433 priority patent/US6108929A/en
Priority to US09/552,572 priority patent/US6301801B1/en
Priority to US09/614,770 priority patent/US6263588B1/en
Priority to US09/614,764 priority patent/US6330756B1/en
Priority to US09/725,257 priority patent/US6314658B2/en
Priority to US09/765,379 priority patent/US6301802B1/en
Priority to US09/766,587 priority patent/US6487793B2/en
Priority to US09/766,597 priority patent/US6625899B2/en
Priority to US09/766,975 priority patent/US6655044B2/en
Priority to US09/766,596 priority patent/US7089680B1/en
Priority to US09/766,976 priority patent/US6467186B2/en
Priority to US09/767,834 priority patent/US6332280B2/en
Priority to US09/767,837 priority patent/US6470596B2/en
Priority to US09/780,444 priority patent/US6588121B2/en
Priority to US09/780,427 priority patent/US6463676B1/en
Priority to US09/780,394 priority patent/US6460270B2/en
Priority to US09/781,293 priority patent/US6499229B2/en
Priority to US09/781,270 priority patent/US6446353B2/en
Priority to US09/781,298 priority patent/US6484414B2/en
Priority to US09/781,297 priority patent/US6473989B2/en
Priority to US09/781,296 priority patent/US6505415B2/en
Priority to US09/781,295 priority patent/US6662465B2/en
Priority to US09/781,317 priority patent/US6457253B2/en
Priority to US09/781,452 priority patent/US6634116B2/en
Priority to US09/782,192 priority patent/US6467187B2/en
Priority to US09/782,196 priority patent/US6487791B2/en
Priority to US09/782,195 priority patent/US6487794B2/en
Priority to US09/782,193 priority patent/US6484415B2/en
Priority to US09/782,194 priority patent/US6463678B2/en
Priority to US09/782,197 priority patent/US6490810B2/en
Priority to US10/060,304 priority patent/USRE39823E1/en
Priority to US10/062,088 priority patent/USRE39824E1/en
Priority to US10/062,087 priority patent/USRE39756E1/en
Priority to US10/062,618 priority patent/USRE39776E1/en
Priority to US10/066,747 priority patent/USRE39775E1/en
Priority to US10/683,067 priority patent/US6886272B2/en
Priority to US10/682,901 priority patent/US6880264B2/en
Priority to US10/796,195 priority patent/US6968630B2/en
Priority to US10/796,207 priority patent/US6904699B2/en
Priority to US11/204,171 priority patent/US7367135B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J3/00Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
    • B01J3/006Processes utilising sub-atmospheric pressure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/315Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material
    • B41J2/32Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads
    • B41J2/35Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads providing current or voltage to the thermal head
    • B41J2/355Control circuits for heating-element selection
    • B41J2/36Print density control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/315Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material
    • B41J2/32Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads
    • B41J2/35Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of heat to a heat sensitive printing or impression-transfer material using thermal heads providing current or voltage to the thermal head
    • B41J2/355Control circuits for heating-element selection
    • B41J2/36Print density control
    • B41J2/365Print density control by compensation for variation in temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [産業上の利用分野1 本発明は、真空処理装置に係り、特に内部のクリーニン
グ処理が必要な真空処理室を有する真空処理装置に関す
るものである。
[従来の技術] ドライエツチング装置、CVD装置あるいはスパッタリ
ング装置などの真空処理装置においては、定められた複
数枚の被処理基板を一つの単位(一般にロットとよばれ
る)として基板カセットに収納して装置に投入し、処理
済みの基板も同一の単位毎に基板カセットに収容して回
収することにより、生産の効率化を図るのが一般的な運
転方法である。
しかしながら、上記のような真空処理装置、特にドライ
エツチング装置、CVD装置など活性ガスによる反応を
利用する装置においては、処理を行うに従って反応生成
物が処理容器内に付着、堆積するために、真空性能の劣
化、ゴミの増加、光学モニタ信号のレベル低下などの問
題が生じることかしばしはあり、これを避けるために定
期的に処理容器内をクリーニングする作業が行われてい
る。クリーニング作業には、有機溶剤等によって付着物
を拭き取る、所謂ウェットクリーニングと、付着物を分
解する活性ガスやプラズマを利用するドライクリーニン
グとがあるが、作業性や効率面からはドライクリーニン
グが優れており、こうした機能は生産ラインの自動化が
進むにつれて不可欠なものとなりつつある。
このような機能を備えた真空処理装置の一例として、実
開昭63−127125号公報に開示された装置などが
あげられる。
[発明が解決しようとする課題] 例えば、実開昭63−127125号公報に開示された
装置においては、処理室をプラズマクリニングするにあ
たってあらかじめ真空予備室に収容されたダミーウェー
ハを処理室内に搬入し、プラズマクリーニングが終了し
たら搬送手段によってダミーウェーハを真空予備室に戻
すようになされている。このため、ダミーウェーハを収
容する真空予備室は、大きな容積を必要とするとともに
ダミーウェーハ専用の搬送機構を必要とし、装置が複雑
化するという問題があった。
また、−旦、プラズマクリーニングに使用されたダミー
ウェーハが、再び真空予備室に戻された後に正規の処理
を続行するため、真空予備室内では使用済みのダミーウ
ェーハとこれから正規の処理を受けようとする未処理の
ウェーハとが混在することとなり、製品汚染の観点から
好ましくない。
本発明の目的は、上記の問題点を解決し、ドライクリー
ニングが効率的に行え、かつゴミの発生や残留ガスなど
による製品の汚染をなくし、高い生産効率と高い製品歩
留まりを実現する真空処理装置を提供することにある。
〔課題を解決するための手段1 本発明は、上記目的を達成するために、真空下で被処理
基板が処理されると共に内部がドライクリーニング処理
される真空処理室を有する真空処理装置を、大気設置さ
れ真空処理室のドライクリーング処理で使用されるダミ
ー基板を収納する手段と、該ダミー基板の収納手段と真
空処理室との間でダミー基板を搬送する手段とを備えた
ものとしたものである。
[作   用] 真空処理室内では、被処理基板が真空下で処理される。
該処理を行うに従って反応生成物が真空処理室内に付着
、堆積し、これにより、真空性能の劣化、ゴミの増加、
光学モニタ信号のレベル低下などの問題が生じる、そこ
で、真空容器の内部はドライクリーニング処理される。
つまり、大気設置されダミー基板を収納する手段からダ
ミー基板がダミー基板を搬送する手段により搬出され、
該搬出されたダミー基板は、上記搬送手段により真空処
理室に搬送される。真空処理室のドライクリーニング処
理後、ダミー基板は、上記と逆操作によって真空処理室
からダミー基板の収納手段へ戻される。
即ち、従来のようなダミー基板を収容するための真空予
備室及び専用の搬送機構が不用となり、また、これと共
に、ドライクリーニング処理に使用されたダミー基板と
被処理基板とが混在することもなくなる。
[実 施 例] 以下、本発明の一実施例を第1図により説明する。
第1図は、本発明による真空処理装置の、半導体ウェー
ハに対するドライエツチング処理を行う装置への応用を
示す図である。
装置は、未処理のウェーハを収納した状態で、装置に処
理対象を供給し、かつ処理済みのウェーハを再度元の位
置に収納して回収するための、複数(通常25枚)のウ
ェーハを収納できる複数のカセット1a、1bj5よび
IC1該カセットla、lb、1cを載置し、装置への
導入/払出しの位置を決定するための、位置及び姿勢を
変えることがなく、水平又は水平に近い平面の上に常に
一定位置に固定されたカセット台2a、2b、2c1図
示しない真空排気装置及びガス導入装置を装備し、ウェ
ーハを真空雰囲気に導入するためのロードロック室5、
同じくウェーハを大気中に取りだすためのアンロードロ
ック室6、ウエーハニエッチング処理を施すためのエツ
チング11、それらをそれぞれ気密に分離可能な隔離弁
12、及びロードロック室5/アンロードロツク室6と
カセットla、lb、lcとの間に配置され、X、Y、
Z及びθ軸を有するロボットを備えた、ロードロック室
5/アンロードロツク室6とカセットla、1b、1c
との間でウェーハを授受するための搬送装置13から構
成されている。
装置の動作としては、まず、未処理のウェーハを収納し
たカセットla、1bがストッカ(図示省略)から装置
へとロボット又はオペレータにより供給され、カセット
台2a、2b4.:載置される。この時カセット台2a
、2bは水平な同一平面上にあるため、カセットの供給
動作を単純化することが可能であり、生産ラインの自動
化への対応が容易である。一方、カセット台2cには、
ダミーウェーハを収納したカセットlcが載置される。
装置は、カセットに付与された生産情報を自ら認識する
か、上位の制御装置から送られる情報に基づくか、ある
いはオペレータの入力する命令によるか、いずれかの方
法によりウェーハに処理を行うことができる。
カセットlaに収納された未処理のウェーハ20を搬送
装置13により抜き取り、搬送装置13に対してカセッ
トlaとは反対側に配置されたロードロック室5へ隔離
弁12aを通して搬入する。このときウェーハ20は、
カセットla内のいずれの場所に収納されたものでも良
い、ウェーハ20は、隔離弁12aからロードロック室
5に入った後、隔離弁12bからアンロートロツタ室6
を出るまで、装置外部の雰囲気とは完全に遮断された状
態にあるので、隔離弁12a、12bを境にして仕切り
を設け、カセット台2a、2bとそこに載置されたカセ
ット1a、lb及び搬送装置13のみを清浄度の高いク
リーンルーム側に置き、残りの部分は清浄度の低いメイ
ンテナンスルーム側に置くことができる。ロードロック
室5は、隔離弁12aを閉した後、排気装置によって所
定の圧力まで真空排気され、次いで隔離弁12bが開放
されてウェーハ20はエツチング室11へ搬送され、試
料台8上に載置される。
エツチング室11に搬入されたウェーハ20は、所定の
条件によりエツチング処理を施される。この間に50−
ドロツタ室5は隔離弁12a、12bを閉じた状態で、
ガス導入装置4により大気狂に復帰され、開放された隔
離弁12aから1枚目のウェーハと同様に2枚目のウェ
ーハが搬送装置13によって搬入され、再び排気装置に
よって所定の圧力まで真空排気される。1枚目のウェー
ハ20のエツチング処理が終了すると、隔離弁12cが
開かれて処理済みのウェーハ20がアンロードロック室
6に搬出され、続いて隔離弁12cが閉じられ、隔離弁
12bが開かれて2枚目のウェーハがロードロック室5
から搬入され、隔離弁12bを閉じた後エツチング処理
が開始される。
アンロードロック室6に搬出された処理済みつニーム2
0は、アンロードロック室6を大気圧に復帰した後、隔
離弁12dを通して搬送装置13によって大気中に取り
だされ、当初収納されていたカセットla内の元の位置
へ戻される。
以上の動作を繰り返して、カセットlaに収納されてい
た未処理ウェーハの処理が完了し、元の位置に再収納し
終わるとカセットlaは回収可能となり、別の未処理の
ウェーハを収納したカセットと交換されるが、装置はそ
の間カセットlb内の未処理ウェーハの処理を続けてお
り、カセット1bの全てのウェーハの処理が完了する前
に別の未処理のウェーハを収納したカセットが供給され
れば、装置は常に連続的に稼働可能である。この時カセ
ット1a、カセットlbは水平な同一平面上にあるため
、カセット1aの回収作業及び別の未処理のウェーハを
収納したカセットの供給作業を、搬送装置13によるカ
セット1bへのアクセスに影響を与えることなく行うこ
とができる。
エツチング室11は、処理を重ねるにつれて反応生成物
が内壁面に付着、堆積してくるためにプラズマクリーニ
ングによって付着物を除去し、元の状態に復旧してやる
必要があるが、プラズマクリーニングの実施に当っては
、カセット1cに収納されたダミーウェーハ30を搬送
装置13によって抜取り、以降は前記被処理ウェーハ2
0の場合と全く同様にして処理を行った後、ダミーウェ
ーハ30をカセットlc内の元の位置に戻すことができ
、ダミーウェーハ30は常にカセットlc内にストック
されていることになる。尚、カセット1cのダミーウェ
ーハ30が全てプラズマクリニングで使用された場合や
、数回の使用により使用不良となった場合、ダミーウェ
ーハ30はカセットlcごと全て交換される。
従って、プラズマクリーニングを特別な処理シーケンス
として扱う必要は無く、通常のエツチング処理の中に組
み込んで一連の作業として行うことができ、クリーニン
グを実施する周期も任意に設定することが可能である。
装置のハードウェア上からもプラズマクリーニングの為
の専用の機構は必要が無く、複数のカセ・ント台の一つ
(本例の場合2c)にタミーウェーハ30を収納したカ
セット(本例の場合1c)を設置するだけで良く、プラ
ズマクリーニングの必要が無い用途の場合には、ダミー
ウェーハ30を収納したカセットの代わりに、被処理ウ
ェーハ20を収納したカセットを設置することにより、
より効率良く生産を行うことができることは説明するま
でもない。
また、−旦プラズマクリーニングに使用されたダミーウ
ェーハは、再び大気中の元のカセットに戻るようになさ
れているので、真空室内では使用済みのダミーウェーハ
とこれから正規の処理を受けようとする未処理のウェー
ハとが混在することがなく、製品の汚染の心配も無い、
更に、使用済みのダミーウェーハは、カセットの元の位
置に戻されるので、使用済みのダミーウェーハと未使用
のダミーウェーハまたは使用頻度の少ないダミーウェー
ハと高いダミーウェーハとの混同を防止でき、プラズマ
クリーニングにダミーウェーハを有効に、かつ、不都合
なく使用し得る。
〔発明の効果〕
以上説明したように、本発明によれば、ドライクリーニ
ング処理が効率的に行え、かつゴミの発生や残留ガスな
どによる製品の汚染をなくし、高い生産効率と高い製品
歩留まりを実現する真空処理装置を提供することができ
るという効果が有る。
【図面の簡単な説明】
第1図は、本発明の一実施例のドライエツチング装置の
平面図である。

Claims (1)

  1. 【特許請求の範囲】 1、真空下で被処理基板が処理されると共に内部がドラ
    イクリーニング処理される真空処理室を有する真空処理
    装置において、大気設置され前記真空処理室のドライク
    リーニング処理で使用されるダミー基板を収納する手段
    と、該ダミー基板の収納手段と前記真空処理室との間で
    前記ダミー基板を搬送する手段とを備えたことを特徴と
    する真空処理装置。 2、基板カセットを複数個大気設置し、該基板カセット
    に前記被処理基板を収納し、前記基板カセットの少なく
    とも一つに前記ダミー基板を収納するようにした第1請
    求項に記載の真空処理装置。 3、前記ダミー基板を収納する基板カセットと前記被処
    理基板を収納する基板カセットとを別設置した第2請求
    項に記載の真空処理装置。 4、前記ダミー基板を搬送する手段として、前記被処理
    基板を搬送する手段を用いた第1ないし第3請求項に記
    載の真空処理装置。 5、大気設置され被処理基板を収納した基板カセットと
    、前記被処理基板に真空下で処理を行うための少なくと
    も一つの真空処理室と、前記基板カセットと前記真空処
    理室との間で前記被処理基板を真空雰囲気に搬出入する
    少なくとも一つのロードロック室と、該ロードロック室
    と前記基板カセットとの間で前記被処理基板を搬送する
    基板搬送手段とを有する真空処理装置において、前記基
    板カセットは略水平面上に複数個設置され、前記基板カ
    セットの少なくとも一つにダミー基板が収納され、前記
    基板搬送手段により前記ダミー基板を収納した基板カセ
    ットから該基板カセットの位置及び姿勢を変えることな
    く前記ダミー基板を搬出し、かつ、使用済みのダミー基
    板を使用前に収納されていた元の位置に搬入するように
    したことを特徴とする真空処理装置。
JP2225321A 1990-08-09 1990-08-29 真空処理装置及びその運転方法 Expired - Lifetime JP2644912B2 (ja)

Priority Applications (67)

Application Number Priority Date Filing Date Title
JP2225321A JP2644912B2 (ja) 1990-08-29 1990-08-29 真空処理装置及びその運転方法
DE69133564T DE69133564T2 (de) 1990-08-29 1991-08-19 Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür
DE69133254T DE69133254T2 (de) 1990-08-29 1991-08-19 Arbeitsverfahren für Vakuumbehandlungsvorrichtung
EP00121401A EP1079418B1 (en) 1990-08-29 1991-08-19 Vacuum processing apparatus and operating method therefor
DE69133535T DE69133535T2 (de) 1990-08-29 1991-08-19 Arbeitsverfahren für Vakuumbehandlungsvorrichtung
EP98106162A EP0856875B1 (en) 1990-08-29 1991-08-19 Operating method for vacuum processing apparatus
DE69133567T DE69133567T2 (de) 1990-08-29 1991-08-19 Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür
EP97111628A EP0805481B1 (en) 1990-08-29 1991-08-19 Operating method for vacuum processing apparatus
DE69128861T DE69128861T3 (de) 1990-08-29 1991-08-19 Vakuumsbehandlungsvorrichtung und Reinigungsverfahren dafür
EP91307625A EP0475604B2 (en) 1990-08-29 1991-08-19 Vacuum processing apparatus and cleaning method therefor
EP00121402A EP1076354B1 (en) 1990-08-29 1991-08-19 Vacuum processing apparatus and operating method therefor
KR1019910014984A KR0184682B1 (ko) 1990-08-29 1991-08-29 진공처리장치 및 그 운전방법
US07/751,951 US5314509A (en) 1990-08-29 1991-08-29 Vacuum processing apparatus and operating method therefor
US08/096,256 US5349762A (en) 1990-08-29 1993-07-26 Vacuum processing apparatus and operating method therefor
US08/302,443 US5457896A (en) 1990-08-29 1994-09-09 Vacuum processing apparatus and operating method therefor
US08/443,039 US5553396A (en) 1990-08-29 1995-05-17 Vacuum processing apparatus and operating method therefor
US08/593,870 US5661913A (en) 1990-08-29 1996-01-30 Vacuum processing apparatus and operating method therefor
US08/882,731 US5784799A (en) 1990-08-29 1997-06-26 Vacuum processing apparatus for substate wafers
US09/061,062 US5950330A (en) 1990-08-29 1998-04-16 Vacuum processing apparatus and operating method therefor
US09/177,495 US6012235A (en) 1990-08-29 1998-10-23 Vacuum processing apparatus and operating method therefor
KR1019980046756A KR100212874B1 (en) 1990-08-29 1998-11-02 Transferring system and vacuum treating apparatus thereby
KR1019980046757A KR100212819B1 (en) 1990-08-29 1998-11-02 Transferring system and vacuum treating apparatus and method thereby
US09/231,451 US6055740A (en) 1990-08-29 1999-01-15 Vacuum processing apparatus and operating method therefor
US09/389,461 US6112431A (en) 1990-08-29 1999-09-03 Vacuum processing and operating method
US09/390,681 US6070341A (en) 1990-08-29 1999-09-07 Vacuum processing and operating method with wafers, substrates and/or semiconductors
US09/390,684 US6044576A (en) 1990-08-29 1999-09-07 Vacuum processing and operating method using a vacuum chamber
US09/461,432 US6330755B1 (en) 1990-08-29 1999-12-16 Vacuum processing and operating method
US09/461,433 US6108929A (en) 1990-08-29 1999-12-16 Vacuum processing apparatus
US09/552,572 US6301801B1 (en) 1990-08-29 2000-04-19 Vacuum processing apparatus and operating method therefor
US09/614,770 US6263588B1 (en) 1990-08-29 2000-07-12 Vacuum processing apparatus and operating method therefor
US09/614,764 US6330756B1 (en) 1990-08-29 2000-07-12 Vacuum processing apparatus and operating method therefor
US09/725,257 US6314658B2 (en) 1990-08-29 2000-11-29 Vacuum processing apparatus and operating method therefor
US09/765,379 US6301802B1 (en) 1990-08-29 2001-01-22 Vacuum processing apparatus and operating method therefor
US09/766,587 US6487793B2 (en) 1990-08-29 2001-01-23 Vacuum processing apparatus and operating method therefor
US09/766,597 US6625899B2 (en) 1990-08-29 2001-01-23 Vacuum processing apparatus
US09/766,975 US6655044B2 (en) 1990-08-29 2001-01-23 Vacuum processing apparatus and operating method therefor
US09/766,596 US7089680B1 (en) 1990-08-29 2001-01-23 Vacuum processing apparatus and operating method therefor
US09/766,976 US6467186B2 (en) 1990-08-29 2001-01-23 Transferring device for a vacuum processing apparatus and operating method therefor
US09/767,834 US6332280B2 (en) 1990-08-29 2001-01-24 Vacuum processing apparatus
US09/767,837 US6470596B2 (en) 1990-08-29 2001-01-24 Vacuum processing apparatus and operating method therefor
US09/780,444 US6588121B2 (en) 1990-08-29 2001-02-12 Vacuum processing apparatus
US09/780,427 US6463676B1 (en) 1990-08-29 2001-02-12 Vacuum processing apparatus and operating method therefor
US09/780,394 US6460270B2 (en) 1990-08-29 2001-02-12 Vacuum processing apparatus
US09/781,293 US6499229B2 (en) 1990-08-29 2001-02-13 Vacuum processing apparatus
US09/781,270 US6446353B2 (en) 1990-08-29 2001-02-13 Vacuum processing apparatus
US09/781,298 US6484414B2 (en) 1990-08-29 2001-02-13 Vacuum processing apparatus
US09/781,297 US6473989B2 (en) 1990-08-29 2001-02-13 Conveying system for a vacuum processing apparatus
US09/781,296 US6505415B2 (en) 1990-08-29 2001-02-13 Vacuum processing apparatus
US09/781,295 US6662465B2 (en) 1990-08-29 2001-02-13 Vacuum processing apparatus
US09/781,317 US6457253B2 (en) 1990-08-29 2001-02-13 Vacuum processing apparatus
US09/781,452 US6634116B2 (en) 1990-08-09 2001-02-13 Vacuum processing apparatus
US09/782,192 US6467187B2 (en) 1990-08-29 2001-02-14 Vacuum processing apparatus and operating method therefor
US09/782,196 US6487791B2 (en) 1990-08-29 2001-02-14 Vacuum processing apparatus
US09/782,195 US6487794B2 (en) 1990-08-29 2001-02-14 Substrate changing-over mechanism in vacuum tank
US09/782,193 US6484415B2 (en) 1990-08-29 2001-02-14 Vacuum processing apparatus
US09/782,194 US6463678B2 (en) 1990-08-29 2001-02-14 Substrate changing-over mechanism in a vaccum tank
US09/782,197 US6490810B2 (en) 1990-08-29 2001-02-14 Vacuum processing apparatus
US10/060,304 USRE39823E1 (en) 1990-08-29 2002-02-01 Vacuum processing operating method with wafers, substrates and/or semiconductors
US10/062,088 USRE39824E1 (en) 1990-08-29 2002-02-01 Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors
US10/062,087 USRE39756E1 (en) 1990-08-29 2002-02-01 Vacuum processing operating method with wafers, substrates and/or semiconductors
US10/062,618 USRE39776E1 (en) 1990-08-29 2002-02-05 Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors
US10/066,747 USRE39775E1 (en) 1990-08-29 2002-09-24 Vacuum processing operating method with wafers, substrates and/or semiconductors
US10/683,067 US6886272B2 (en) 1990-08-29 2003-10-14 Vacuum processing apparatus and operating method therefor
US10/682,901 US6880264B2 (en) 1990-08-29 2003-10-14 Vacuum processing apparatus and operating method therefor
US10/796,195 US6968630B2 (en) 1990-08-29 2004-03-10 Vacuum processing apparatus and operating method therefor
US10/796,207 US6904699B2 (en) 1990-08-29 2004-03-10 Vacuum processing apparatus and operating method therefor
US11/204,171 US7367135B2 (en) 1990-08-29 2005-08-16 Vacuum processing apparatus and operating method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2225321A JP2644912B2 (ja) 1990-08-29 1990-08-29 真空処理装置及びその運転方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP8335329A Division JP2816139B2 (ja) 1996-12-16 1996-12-16 真空処理装置用搬送システム及び真空処理装置

Publications (2)

Publication Number Publication Date
JPH04108531A true JPH04108531A (ja) 1992-04-09
JP2644912B2 JP2644912B2 (ja) 1997-08-25

Family

ID=16827524

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2225321A Expired - Lifetime JP2644912B2 (ja) 1990-08-09 1990-08-29 真空処理装置及びその運転方法

Country Status (5)

Country Link
US (47) US5314509A (ja)
EP (5) EP0856875B1 (ja)
JP (1) JP2644912B2 (ja)
KR (1) KR0184682B1 (ja)
DE (5) DE69128861T3 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6672819B1 (en) 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
KR100440683B1 (ko) * 1995-07-19 2004-10-22 가부시끼가이샤 히다치 세이사꾸쇼 진공처리장치및이를사용한반도체생산라인
JP2008027937A (ja) * 2006-07-18 2008-02-07 Hitachi High-Technologies Corp 真空処理装置

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5702535A (en) * 1991-11-05 1997-12-30 Gebhard-Gray Associates Dry cleaning and degreasing system
US5240507A (en) * 1991-11-05 1993-08-31 Gray Donald J Cleaning method and system
US5630434A (en) * 1991-11-05 1997-05-20 Gray; Donald J. Filter regeneration system
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
DE69333576T2 (de) * 1992-08-14 2005-08-25 Hamamatsu Photonics K.K., Hamamatsu Verfahren und Vorrichtung zur Erzeugung von gasförmigen Ionen unter Verwendung von Röntgenstrahlen
WO1994014191A1 (fr) * 1992-12-14 1994-06-23 Ebara Corporation Dispositif de transfert de galette
JPH0712458A (ja) * 1993-06-23 1995-01-17 Murata Mfg Co Ltd 部品乾燥機
US6090706A (en) * 1993-06-28 2000-07-18 Applied Materials, Inc. Preconditioning process for treating deposition chamber prior to deposition of tungsten silicide coating on active substrates therein
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
JP2900788B2 (ja) * 1994-03-22 1999-06-02 信越半導体株式会社 枚葉式ウェーハ処理装置
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
JPH0817894A (ja) * 1994-06-27 1996-01-19 Dainippon Screen Mfg Co Ltd 基板表面処理装置
FR2733036B1 (fr) * 1995-04-14 1997-07-04 Unir Dispositif de protection anti-contamination rapprochee
US6363164B1 (en) 1996-05-13 2002-03-26 Cummins-Allison Corp. Automated document processing system using full image scanning
US6283130B1 (en) * 1995-05-30 2001-09-04 Anelva Corporation Plasma cleaning method and placement area protector used in the method
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US6481956B1 (en) * 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
KR100469931B1 (ko) * 1996-03-18 2005-04-06 로제 가부시키가이샤 공작물반송시스템의제어장치
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
JPH1022358A (ja) * 1996-06-28 1998-01-23 Dainippon Screen Mfg Co Ltd 基板処理装置
US5713138A (en) * 1996-08-23 1998-02-03 Research, Incorporated Coating dryer system
US6714832B1 (en) 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
KR100234060B1 (ko) * 1996-12-04 1999-12-15 구자홍 음극선관용 스프링 구조
US6009890A (en) * 1997-01-21 2000-01-04 Tokyo Electron Limited Substrate transporting and processing system
IT1290911B1 (it) * 1997-02-03 1998-12-14 Siv Soc Italiana Vetro Procedimento e dispositivo per l'alimentazione di impianti da vuoto atti al deposito di rivestimenti superficiali su substrati.
WO2004075285A1 (ja) * 1997-03-07 2004-09-02 Takuya Shibao 基板処理装置
US5922136A (en) * 1997-03-28 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Post-CMP cleaner apparatus and method
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP3850951B2 (ja) * 1997-05-15 2006-11-29 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
JP3850952B2 (ja) * 1997-05-15 2006-11-29 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
JP3737604B2 (ja) * 1997-06-03 2006-01-18 大日本スクリーン製造株式会社 基板処理装置
US6139245A (en) * 1997-07-11 2000-10-31 Brooks Automation Inc. Robot arm relocation system
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
KR19990010200A (ko) * 1997-07-15 1999-02-05 윤종용 감압식 건조 장치를 이용하는 반도체장치 건조방법
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US5974689A (en) * 1997-09-23 1999-11-02 Gary W. Farrell Chemical drying and cleaning system
DE19756830A1 (de) * 1997-12-19 1999-07-01 Wacker Chemie Gmbh Vakuumtechnisches Trocknen von Halbleiterbruch
US6026589A (en) * 1998-02-02 2000-02-22 Silicon Valley Group, Thermal Systems Llc Wafer carrier and semiconductor apparatus for processing a semiconductor substrate
WO1999052141A1 (fr) * 1998-04-02 1999-10-14 Nikon Corporation Procede et dispositif de traitements de plaquettes, et procede et appareil d'exposition
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6246473B1 (en) 1998-04-23 2001-06-12 Sandia Corporation Method and apparatus for monitoring plasma processing operations
NL1009171C2 (nl) * 1998-05-14 1999-12-10 Asm Int Waferrek voorzien van een gasverdeelinrichting.
KR20010043705A (ko) * 1998-05-18 2001-05-25 조셉 제이. 스위니 워크 스테이션간에 웨이퍼당 이송을 위한 웨이퍼 버퍼스테이션과 방법
US6151796A (en) * 1998-06-04 2000-11-28 Kem-Tec Japan Co., Ltd. Substrate drying device, drying method and substrate dried by the same
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
JP3959200B2 (ja) * 1999-03-19 2007-08-15 株式会社東芝 半導体装置の製造装置
US6251195B1 (en) * 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100537921B1 (ko) * 1999-08-24 2005-12-21 니시카와고무고교가부시키가이샤 리테이너가 없는 웨더스트립
JP2001093791A (ja) * 1999-09-20 2001-04-06 Hitachi Ltd 真空処理装置の運転方法及びウエハの処理方法
JP2001127044A (ja) 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
US6364592B1 (en) * 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2001308003A (ja) * 2000-02-15 2001-11-02 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
JP2002043229A (ja) * 2000-07-25 2002-02-08 Hitachi Kokusai Electric Inc 半導体製造装置
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6745783B2 (en) * 2000-08-01 2004-06-08 Tokyo Electron Limited Cleaning processing method and cleaning processing apparatus
EP1319243A2 (en) * 2000-09-15 2003-06-18 Applied Materials, Inc. Double dual slot load lock for process equipment
AU2002230793A1 (en) * 2000-10-31 2002-05-15 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
KR100408604B1 (ko) * 2000-12-07 2003-12-06 주식회사제4기한국 대기압 플라즈마를 이용한 정밀세정과 표면개질방법 및 그장치
GB2370411B (en) * 2000-12-20 2003-08-13 Hanmi Co Ltd Handler system for cutting a semiconductor package device
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6635144B2 (en) 2001-04-11 2003-10-21 Applied Materials, Inc Apparatus and method for detecting an end point of chamber cleaning in semiconductor equipment
JP4731755B2 (ja) * 2001-07-26 2011-07-27 東京エレクトロン株式会社 移載装置の制御方法および熱処理方法並びに熱処理装置
US6817823B2 (en) * 2001-09-11 2004-11-16 Marian Corporation Method, device and system for semiconductor wafer transfer
US20030053892A1 (en) * 2001-09-17 2003-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport equipped with automatic height adjustment means and method for operating
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US7260704B2 (en) * 2001-11-30 2007-08-21 Intel Corporation Method and apparatus for reinforcing a prefetch chain
JP4025069B2 (ja) * 2001-12-28 2007-12-19 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP3887570B2 (ja) * 2002-02-18 2007-02-28 協和化工株式会社 高速乾燥装置
JP3862596B2 (ja) * 2002-05-01 2006-12-27 東京エレクトロン株式会社 基板処理方法
EP1506570A1 (en) * 2002-05-21 2005-02-16 ASM America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool
JP2004071611A (ja) * 2002-08-01 2004-03-04 Matsushita Electric Ind Co Ltd 電子部品装着装置および電子部品装着方法
JP4219799B2 (ja) * 2003-02-26 2009-02-04 大日本スクリーン製造株式会社 基板処理装置
JP3674864B2 (ja) * 2003-03-25 2005-07-27 忠素 玉井 真空処理装置
US20060156627A1 (en) * 2003-06-27 2006-07-20 Ultracell Corporation Fuel processor for use with portable fuel cells
KR100500169B1 (ko) * 2003-07-02 2005-07-07 주식회사 디엠에스 도킹형 기판 이송 및 처리 시스템과, 그를 이용한 이송 및 처리 방법
US7313262B2 (en) * 2003-08-06 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for visualization of process chamber conditions
US7276210B2 (en) * 2003-08-20 2007-10-02 Petroleo Brasileiro S.A. -Petrobras Stripping apparatus and process
JP2005101584A (ja) * 2003-08-28 2005-04-14 Suss Microtec Test Systems Gmbh 基板を検査する装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP2005167083A (ja) * 2003-12-04 2005-06-23 Daifuku Co Ltd ガラス基板用の搬送設備
JP4435610B2 (ja) * 2004-03-23 2010-03-24 パナソニック株式会社 ダミー基板
JP4128973B2 (ja) * 2004-03-30 2008-07-30 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
EP1616661B1 (de) * 2004-07-15 2008-03-12 Maschinenfabrik Berthold Hermle Aktiengesellschaft Bearbeitungsmaschine mit Werkstückwechsler
EP1621284A1 (de) * 2004-07-15 2006-02-01 Maschinenfabrik Berthold Hermle Aktiengesellschaft Werkstückwechsler für Bearbeitungsmaschinen
US8000837B2 (en) 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7771563B2 (en) 2004-11-18 2010-08-10 Sumitomo Precision Products Co., Ltd. Systems and methods for achieving isothermal batch processing of substrates used for the production of micro-electro-mechanical-systems
JP3960332B2 (ja) * 2004-11-29 2007-08-15 セイコーエプソン株式会社 減圧乾燥装置
JP2006179528A (ja) * 2004-12-20 2006-07-06 Tokyo Electron Ltd 基板処理装置の検査方法及び検査プログラム
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
KR101255718B1 (ko) * 2005-11-07 2013-04-17 주성엔지니어링(주) 기판처리시스템 및 이를 이용한 기판처리방법
US8125610B2 (en) 2005-12-02 2012-02-28 ASML Metherlands B.V. Method for preventing or reducing contamination of an immersion type projection apparatus and an immersion type lithographic apparatus
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080003377A1 (en) * 2006-06-30 2008-01-03 The Board Of Regents Of The Nevada System Of Higher Ed. On Behalf Of The Unlv Transparent vacuum system
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7740437B2 (en) 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US7585142B2 (en) * 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
JP5065167B2 (ja) * 2007-09-20 2012-10-31 東京エレクトロン株式会社 基板の処理方法及び基板の処理システム
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
EP2313873A1 (en) * 2008-07-11 2011-04-27 MEI, Inc. Automated document handling system
DE102009018700B4 (de) * 2008-09-01 2020-02-13 Singulus Technologies Ag Beschichtungsanlage und Verfahren zum Beschichten
ES2498742T3 (es) * 2008-10-07 2014-09-25 Kawasaki Jukogyo Kabushiki Kaisha Robot y sistema de transferencia de sustrato
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
JP5423227B2 (ja) 2009-08-11 2014-02-19 富士ゼロックス株式会社 画像形成装置及びプログラム
WO2011041576A2 (en) * 2009-09-30 2011-04-07 Cummins Inc. Techniques for enhancing aftertreatment regeneration capability
US20110192993A1 (en) * 2010-02-09 2011-08-11 Intevac, Inc. Adjustable shadow mask assembly for use in solar cell fabrications
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
CN105702601B (zh) * 2011-06-23 2020-02-07 布鲁克斯Ccs有限公司 清洁系统和方法
US8728587B2 (en) * 2011-06-24 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Closed loop process control of plasma processed materials
SG10201508582WA (en) 2011-11-08 2015-11-27 Intevac Inc Substrate processing system and method
CN105931980B (zh) * 2011-11-23 2019-08-09 日本电产三协株式会社 工件搬运系统
JP5516610B2 (ja) * 2012-01-19 2014-06-11 株式会社安川電機 ロボット、ロボットハンドおよびロボットハンドの保持位置調整方法
DE102012100929A1 (de) 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage
WO2013162638A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Vapor dryer module with reduced particle generation
US9318332B2 (en) 2012-12-19 2016-04-19 Intevac, Inc. Grid for plasma ion implant
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
JP6105436B2 (ja) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 基板処理システム
CN103611703B (zh) * 2013-09-07 2016-03-30 国家电网公司 一种组合式超声波清洗装置的使用方法
CN103611702B (zh) * 2013-09-07 2016-03-30 国家电网公司 一种可拆式超声波清洗装置的使用方法
KR102316440B1 (ko) * 2013-10-18 2021-10-22 브룩스 오토메이션 인코퍼레이티드 공정 장치
CN104752152B (zh) * 2013-12-29 2018-07-06 北京北方华创微电子装备有限公司 一种沟槽刻蚀方法及刻蚀装置
KR101575129B1 (ko) * 2014-01-13 2015-12-08 피에스케이 주식회사 기판 이송 장치 및 방법, 그리고 기판 처리 장치
CN103817470B (zh) * 2014-02-13 2016-08-17 潍柴重机股份有限公司 一种油底壳螺塞支座焊机
CN112575309B (zh) * 2017-04-28 2023-03-07 应用材料公司 清洁制造oled使用的真空系统的方法及制造oled的方法和设备
CN107102536B (zh) * 2017-05-12 2020-08-21 芜湖乐佳自动化机械有限公司 一种变电柜防尘自动控制系统
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11121014B2 (en) 2018-06-05 2021-09-14 Asm Ip Holding B.V. Dummy wafer storage cassette
US11183409B2 (en) * 2018-08-28 2021-11-23 Taiwan Semiconductor Manufacturing Company Ltd. System for a semiconductor fabrication facility and method for operating the same
CN113035749A (zh) * 2021-03-02 2021-06-25 北京北方华创微电子装备有限公司 半导体工艺腔室的清洗控制方法及半导体工艺腔室

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60246635A (ja) * 1984-05-22 1985-12-06 Anelva Corp 自動基板処理装置
JPH01298180A (ja) * 1988-05-25 1989-12-01 Hitachi Ltd プラズマ処理装置

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US536897A (en) * 1895-04-02 Reversing-gear for steam-engines
US904153A (en) * 1907-09-27 1908-11-17 Ludwig Scheib Sr Central-buffer claw-coupling.
US3652444A (en) * 1969-10-24 1972-03-28 Ibm Continuous vacuum process apparatus
US3981791A (en) * 1975-03-10 1976-09-21 Signetics Corporation Vacuum sputtering apparatus
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4226897A (en) * 1977-12-05 1980-10-07 Plasma Physics Corporation Method of forming semiconducting materials and barriers
US4313815A (en) * 1978-04-07 1982-02-02 Varian Associates, Inc. Sputter-coating system, and vaccuum valve, transport, and sputter source array arrangements therefor
DE2940064A1 (de) * 1979-10-03 1981-04-16 Leybold-Heraeus GmbH, 5000 Köln Vakuumaufdampfanlage mir einer ventilkammer, einer bedampfungskammer und einer verdampferkammer
JPS5681533U (ja) * 1979-11-27 1981-07-01
US4311427A (en) * 1979-12-21 1982-01-19 Varian Associates, Inc. Wafer transfer system
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
FR2486006A1 (fr) 1980-07-07 1982-01-08 Jeumont Schneider Boucle induisant un courant dans les deux rails d'une voie ferree
JPS5729577A (en) * 1980-07-30 1982-02-17 Anelva Corp Automatic continuous sputtering apparatus
JPS5893321A (ja) 1981-11-30 1983-06-03 Semiconductor Energy Lab Co Ltd 半導体装置製造装置
JPS5892921A (ja) 1981-11-30 1983-06-02 Fujitsu Ltd 赤外線検知装置の組立方法
JPS5895636A (ja) 1981-11-30 1983-06-07 イビデン株式会社 耐熱弾性シ−ト状物とその製造方法
US4457661A (en) * 1981-12-07 1984-07-03 Applied Materials, Inc. Wafer loading apparatus
JPS58108641A (ja) 1981-12-21 1983-06-28 Hitachi Ltd ウエハ自動交換装置
US4634331A (en) * 1982-05-24 1987-01-06 Varian Associates, Inc. Wafer transfer system
US4449885A (en) * 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
JPS58220917A (ja) 1982-06-18 1983-12-22 ジヨ−ジ・ブラウン 液体冷却装置に使用するサ−モスタツト
JPS5994435A (ja) 1982-11-20 1984-05-31 Tokuda Seisakusho Ltd 真空処理装置
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
JPS6037129A (ja) * 1983-08-10 1985-02-26 Hitachi Ltd 半導体製造装置
JPS6052575A (ja) 1983-09-01 1985-03-25 Nitto Electric Ind Co Ltd フイルム類の連続真空処理装置
JPS6052574A (ja) 1983-09-02 1985-03-25 Hitachi Ltd 連続スパツタ装置
JPH06105742B2 (ja) * 1983-11-28 1994-12-21 株式会社日立製作所 真空処理方法及び装置
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS60203265A (ja) * 1984-03-28 1985-10-14 ダイセル化学工業株式会社 抗血液凝固性高分子材料
US4534314A (en) * 1984-05-10 1985-08-13 Varian Associates, Inc. Load lock pumping mechanism
JPS61105853A (ja) * 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4562240A (en) 1984-12-20 1985-12-31 Ashland Oil, Inc. Bicyclic amide acetal/polyol/polyisocyanate polymers
EP0189279B1 (en) * 1985-01-22 1991-10-09 Applied Materials, Inc. Semiconductor processing system
JPS61173445A (ja) 1985-01-28 1986-08-05 Tokyo Erekutoron Kk ウエハの真空処理装置
JPS61250185A (ja) * 1985-04-25 1986-11-07 Anelva Corp 真空処理装置のクリ−ニング方法
JPS628801A (ja) 1985-07-06 1987-01-16 Toyo Tire & Rubber Co Ltd 重荷重ラジアルタイヤ
US4649629A (en) * 1985-07-29 1987-03-17 Thomson Components - Mostek Corp. Method of late programming a read only memory
JPS6244571A (ja) * 1985-08-20 1987-02-26 Toshiba Mach Co Ltd イオン注入装置
JPS6250463A (ja) * 1985-08-30 1987-03-05 Hitachi Ltd 連続スパツタ装置
JPS6289881A (ja) * 1985-10-16 1987-04-24 Hitachi Ltd スパツタ装置
US5298393A (en) * 1985-11-21 1994-03-29 Teijin Limited Monoclonal antibody for human acid-glutathione S-transferase and process for preparation thereof
JPS62132321A (ja) 1985-12-04 1987-06-15 Anelva Corp ドライエツチング装置
JPH0613751B2 (ja) * 1986-03-07 1994-02-23 株式会社日立製作所 連続スパッタ装置
JPS62216315A (ja) 1986-03-18 1987-09-22 Toshiba Mach Co Ltd 半導体処理装置
US4909695A (en) * 1986-04-04 1990-03-20 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
EP0267233B1 (en) 1986-04-28 1993-01-07 Varian Associates, Inc. Modular semiconductor wafer transport and processing system
US4715764A (en) * 1986-04-28 1987-12-29 Varian Associates, Inc. Gate valve for wafer processing system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4924890A (en) * 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
US4866507A (en) 1986-05-19 1989-09-12 International Business Machines Corporation Module for packaging semiconductor integrated circuit chips on a base substrate
WO1987007309A1 (en) * 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus with automatic cleaning means and method of use
JPS636582A (ja) * 1986-06-26 1988-01-12 Mita Ind Co Ltd 現像装置
JPS6357734A (ja) 1986-08-28 1988-03-12 Mitsubishi Heavy Ind Ltd 繊維強化金属およびその製造法
US4904153A (en) * 1986-11-20 1990-02-27 Shimizu Construction Co., Ltd. Transporting robot for semiconductor wafers
JPS63131123A (ja) 1986-11-20 1988-06-03 Fujitsu Ltd 光学式読取装置
JPS63133521A (ja) 1986-11-25 1988-06-06 Kokusai Electric Co Ltd 半導体基板の熱処理装置
JPH0660397B2 (ja) * 1986-12-15 1994-08-10 日本真空技術株式会社 真空槽内における基板交換装置
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPS63127125U (ja) * 1987-02-12 1988-08-19
JPS63244619A (ja) * 1987-03-30 1988-10-12 Sumitomo Metal Ind Ltd プラズマ装置
US5169407A (en) * 1987-03-31 1992-12-08 Kabushiki Kaisha Toshiba Method of determining end of cleaning of semiconductor manufacturing apparatus
JPH0691952B2 (ja) * 1987-04-17 1994-11-16 株式会社日立製作所 真空装置
JPS646582A (en) * 1987-06-30 1989-01-11 Tokyo Gas Co Ltd Shutoff valve unit with nozzle
JP2513588B2 (ja) * 1987-07-01 1996-07-03 本田技研工業株式会社 内燃エンジンの燃料供給制御装置
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4835453A (en) * 1987-07-07 1989-05-30 U.S. Philips Corp. Battery-powered device
JPH0636582Y2 (ja) 1987-07-10 1994-09-21 株式会社日立製作所 エッチング装置
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
JPS6431970A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment equipment
JPS6431971A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment device
JPS6436042A (en) 1987-07-31 1989-02-07 Kokusai Electric Co Ltd Method and device for wafer handling in semiconductor manufacturing apparatus
DE3827343A1 (de) * 1988-08-12 1990-02-15 Leybold Ag Vorrichtung nach dem karussel-prinzip zum beschichten von substraten
JPH0217636Y2 (ja) 1987-08-27 1990-05-17
US4851101A (en) * 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
US4903937A (en) * 1987-09-24 1990-02-27 Varian Associates, Inc. Isolation valve for vacuum and non-vacuum application
JP2868767B2 (ja) 1987-11-04 1999-03-10 富士電機株式会社 半導体ウエハ処理装置
JPH0652721B2 (ja) * 1987-11-20 1994-07-06 富士電機株式会社 半導体ウエハ処理装置
JP2610918B2 (ja) 1987-12-25 1997-05-14 東京エレクトロン株式会社 被処理体の処理方法及び処理装置
US5225036A (en) * 1988-03-28 1993-07-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP2628335B2 (ja) * 1988-03-31 1997-07-09 テル・バリアン株式会社 マルチチャンバ型cvd装置
JPH01258438A (ja) 1988-04-08 1989-10-16 Fujitsu Ltd 物品情報管理方式
JP2615860B2 (ja) * 1988-06-09 1997-06-04 富士電機株式会社 半導体ウエハ処理装置
JPH01316957A (ja) 1988-06-15 1989-12-21 Nec Corp 枚葉式処理装置
JPH07118208B2 (ja) 1988-06-28 1995-12-18 株式会社小糸製作所 自動車用前照灯
US4857160A (en) * 1988-07-25 1989-08-15 Oerlikon-Buhrle U.S.A. Inc. High vacuum processing system and method
US4914556A (en) 1988-07-26 1990-04-03 Morpheus Lights, Inc. Spectral filter module
JPH0226229U (ja) * 1988-08-05 1990-02-21
JPH0744315Y2 (ja) * 1988-08-16 1995-10-11 シンガー日鋼株式会社 ミシンの後側ベルトガード
JPH0252449A (ja) 1988-08-16 1990-02-22 Teru Barian Kk 基板のロード・アンロード方法
JPH0265252A (ja) * 1988-08-31 1990-03-05 Nec Kyushu Ltd 半導体製造装置
JP2545591B2 (ja) * 1988-09-30 1996-10-23 国際電気株式会社 ウェーハ処理装置
JP2690971B2 (ja) * 1988-10-14 1997-12-17 東京エレクトロン株式会社 処理方法
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
EP0367423A3 (en) * 1988-10-31 1991-01-09 Eaton Corporation Vacuum deposition system
JPH02224242A (ja) 1988-11-21 1990-09-06 Oki Electric Ind Co Ltd 半導体基板処理装置
JPH02178946A (ja) 1988-12-29 1990-07-11 Tokyo Electron Ltd 半導体製造装置
JPH07105357B2 (ja) * 1989-01-28 1995-11-13 国際電気株式会社 縦型cvd拡散装置に於けるウェーハ移載方法及び装置
DE3903607A1 (de) * 1989-02-08 1990-08-09 Leybold Ag Vorrichtung zum reinigen, pruefen und einordnen von werkstuecken
US5014217A (en) * 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
JP2853143B2 (ja) 1989-02-25 1999-02-03 ソニー株式会社 半導体装置の製造方法
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0809283A3 (en) * 1989-08-28 1998-02-25 Hitachi, Ltd. Method of treating wafers
JP2862956B2 (ja) * 1990-05-28 1999-03-03 大日本スクリーン製造株式会社 基板搬送装置
JPH0482841A (ja) 1990-07-23 1992-03-16 Arakawa Chem Ind Co Ltd 低分子量芳香族炭化水素化合物の水素化方法
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
JP2579851B2 (ja) 1991-06-21 1997-02-12 太陽化学株式会社 食品用日持ち向上剤
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5351415A (en) * 1992-05-18 1994-10-04 Convey, Inc. Method and apparatus for maintaining clean articles
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JPH0636582A (ja) * 1992-07-21 1994-02-10 Oki Micro Design Miyazaki:Kk 読み出し回路
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
US5382541A (en) * 1992-08-26 1995-01-17 Harris Corporation Method for forming recessed oxide isolation containing deep and shallow trenches
CH686445A5 (de) * 1992-10-06 1996-03-29 Balzers Hochvakuum Kammer und Kammerkombination fuer eine Vakuumanlage und Verfahren zum Durchreichen mindestens eines Werkstueckes.
US6022458A (en) * 1992-12-07 2000-02-08 Canon Kabushiki Kaisha Method of production of a semiconductor substrate
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
US5295777A (en) * 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
JP3218488B2 (ja) * 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5452166A (en) * 1993-10-01 1995-09-19 Applied Magnetics Corporation Thin film magnetic recording head for minimizing undershoots and a method for manufacturing the same
ES2115837T3 (es) 1993-10-21 1998-07-01 Asea Brown Boveri Parrilla para una instalacion de combustion.
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3471916B2 (ja) 1994-09-28 2003-12-02 サッポロホールディングス株式会社 組換えβ−アミラーゼ
US5504347A (en) * 1994-10-17 1996-04-02 Texas Instruments Incorporated Lateral resonant tunneling device having gate electrode aligned with tunneling barriers
TW297919B (ja) * 1995-03-06 1997-02-11 Motorola Inc
JP2861885B2 (ja) 1995-09-19 1999-02-24 ヤマハ株式会社 効果付与アダプタ
DE19546826C1 (de) * 1995-12-15 1997-04-03 Fraunhofer Ges Forschung Verfahren und Einrichtung zur Vorbehandlung von Substraten
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US5970908A (en) * 1997-12-13 1999-10-26 Compuvac Systems, Inc. Apparatus and improved polymerization gun for coating objects by vacuum deposit
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6277235B1 (en) * 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60246635A (ja) * 1984-05-22 1985-12-06 Anelva Corp 自動基板処理装置
JPH01298180A (ja) * 1988-05-25 1989-12-01 Hitachi Ltd プラズマ処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6672819B1 (en) 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6705828B2 (en) 1995-07-19 2004-03-16 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
KR100440683B1 (ko) * 1995-07-19 2004-10-22 가부시끼가이샤 히다치 세이사꾸쇼 진공처리장치및이를사용한반도체생산라인
JP2008027937A (ja) * 2006-07-18 2008-02-07 Hitachi High-Technologies Corp 真空処理装置

Also Published As

Publication number Publication date
EP0805481A3 (en) 1998-05-20
US6330755B1 (en) 2001-12-18
US6880264B2 (en) 2005-04-19
JP2644912B2 (ja) 1997-08-25
EP0856875B1 (en) 2003-05-07
DE69128861T2 (de) 1998-10-08
US6055740A (en) 2000-05-02
US5457896A (en) 1995-10-17
US20010001901A1 (en) 2001-05-31
US20010009074A1 (en) 2001-07-26
US6655044B2 (en) 2003-12-02
US6634116B2 (en) 2003-10-21
US6108929A (en) 2000-08-29
US6467187B2 (en) 2002-10-22
US5950330A (en) 1999-09-14
EP0805481B1 (en) 2006-06-21
US20010009073A1 (en) 2001-07-26
DE69133567D1 (de) 2007-05-16
US20010009075A1 (en) 2001-07-26
US20040187338A1 (en) 2004-09-30
EP1076354B1 (en) 2007-02-28
US6301801B1 (en) 2001-10-16
US6112431A (en) 2000-09-05
US6301802B1 (en) 2001-10-16
US20010009076A1 (en) 2001-07-26
US20010003873A1 (en) 2001-06-21
US20010004807A1 (en) 2001-06-28
KR0184682B1 (ko) 1999-04-15
US6263588B1 (en) 2001-07-24
DE69133535D1 (de) 2006-08-03
DE69133567T2 (de) 2007-12-13
US6044576A (en) 2000-04-04
EP1076354A2 (en) 2001-02-14
US6012235A (en) 2000-01-11
US20010020339A1 (en) 2001-09-13
US20010010126A1 (en) 2001-08-02
US6487794B2 (en) 2002-12-03
EP0475604A1 (en) 1992-03-18
US6490810B2 (en) 2002-12-10
US7367135B2 (en) 2008-05-06
US6473989B2 (en) 2002-11-05
EP0805481A2 (en) 1997-11-05
EP1079418A3 (en) 2002-08-07
DE69128861T3 (de) 2004-05-19
US6467186B2 (en) 2002-10-22
US20010020340A1 (en) 2001-09-13
US6487793B2 (en) 2002-12-03
US6484415B2 (en) 2002-11-26
US20010007175A1 (en) 2001-07-12
US6457253B2 (en) 2002-10-01
US20010037585A1 (en) 2001-11-08
US20010008052A1 (en) 2001-07-19
US6330756B1 (en) 2001-12-18
US6484414B2 (en) 2002-11-26
US6499229B2 (en) 2002-12-31
DE69133564T2 (de) 2007-12-06
US20010001902A1 (en) 2001-05-31
US6314658B2 (en) 2001-11-13
US6662465B2 (en) 2003-12-16
US6625899B2 (en) 2003-09-30
US6505415B2 (en) 2003-01-14
US20010000048A1 (en) 2001-03-22
US6070341A (en) 2000-06-06
US6463678B2 (en) 2002-10-15
DE69133535T2 (de) 2007-03-08
US20010002517A1 (en) 2001-06-07
US20040074103A1 (en) 2004-04-22
US5314509A (en) 1994-05-24
US6968630B2 (en) 2005-11-29
US20010008050A1 (en) 2001-07-19
EP0475604B2 (en) 2003-09-17
US20010008051A1 (en) 2001-07-19
US6886272B2 (en) 2005-05-03
EP0856875A2 (en) 1998-08-05
US20010011422A1 (en) 2001-08-09
US6332280B2 (en) 2001-12-25
US5661913A (en) 1997-09-02
US6588121B2 (en) 2003-07-08
US20040074104A1 (en) 2004-04-22
EP0475604B1 (en) 1998-02-04
US20040187337A1 (en) 2004-09-30
EP0856875A3 (en) 1999-04-28
US20020032972A1 (en) 2002-03-21
DE69133254T2 (de) 2004-03-11
US20010004554A1 (en) 2001-06-21
US20010016990A1 (en) 2001-08-30
US6904699B2 (en) 2005-06-14
US6487791B2 (en) 2002-12-03
EP1076354A3 (en) 2002-08-07
US5553396A (en) 1996-09-10
EP1079418A2 (en) 2001-02-28
EP1079418B1 (en) 2007-04-04
DE69133564D1 (de) 2007-04-12
US6463676B1 (en) 2002-10-15
US6446353B2 (en) 2002-09-10
KR920005275A (ko) 1992-03-28
US20010011423A1 (en) 2001-08-09
US5349762A (en) 1994-09-27
US6470596B2 (en) 2002-10-29
US20060032073A1 (en) 2006-02-16
DE69128861D1 (de) 1998-03-12
US6460270B2 (en) 2002-10-08
DE69133254D1 (de) 2003-06-12
US5784799A (en) 1998-07-28

Similar Documents

Publication Publication Date Title
JPH04108531A (ja) 真空処理装置及びその運転方法
JPH0574739A (ja) 真空処理装置およびその運転方法
JP3145376B2 (ja) 真空処理装置用の基板搬送方法
JP3145375B2 (ja) 真空処理装置および真空処理方法
JP3147230B2 (ja) 真空処理装置及びそれを用いた基板の真空処理方法
JP3404391B2 (ja) 基板の真空処理方法及び真空処理装置
JP3404392B2 (ja) 真空処理装置及び真空処理方法
JP3443421B2 (ja) 真空処理装置及び真空処理方法
JP3424750B2 (ja) 真空処理装置及び基板の真空処理方法
JP3628683B2 (ja) 真空処理装置及び基板の搬送処理方法
JP3669998B2 (ja) 基板処理装置及び基板の処理方法
JP3145359B2 (ja) 真空処理装置及び基板の真空処理方法
JP3561715B2 (ja) 真空処理装置及び真空処理方法
JP3183043B2 (ja) 真空処理装置
JP2000216211A (ja) 真空処理装置用の基板搬送システム
JP2005260274A (ja) 真空処理装置及び基板の搬送処理方法
JP2942527B2 (ja) 真空処理装置及びその搬送システム
USRE39756E1 (en) Vacuum processing operating method with wafers, substrates and/or semiconductors
JP2816139B2 (ja) 真空処理装置用搬送システム及び真空処理装置
JP2005101625A (ja) 真空処理装置及び基板の搬送処理方法
JP2000216220A (ja) 真空処理装置の基板搬送方法
JP2008109157A (ja) 真空処理装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080502

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090502

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100502

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110502

Year of fee payment: 14

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110502

Year of fee payment: 14