KR100440683B1 - 진공처리장치및이를사용한반도체생산라인 - Google Patents

진공처리장치및이를사용한반도체생산라인 Download PDF

Info

Publication number
KR100440683B1
KR100440683B1 KR1019960029009A KR19960029009A KR100440683B1 KR 100440683 B1 KR100440683 B1 KR 100440683B1 KR 1019960029009 A KR1019960029009 A KR 1019960029009A KR 19960029009 A KR19960029009 A KR 19960029009A KR 100440683 B1 KR100440683 B1 KR 100440683B1
Authority
KR
South Korea
Prior art keywords
sample
vacuum processing
cassette
block
vacuum
Prior art date
Application number
KR1019960029009A
Other languages
English (en)
Other versions
KR970008334A (ko
Inventor
미노루 소라오까
겐 요시오까
요시나오 가와사끼
Original Assignee
가부시끼가이샤 히다치 세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 히다치 세이사꾸쇼 filed Critical 가부시끼가이샤 히다치 세이사꾸쇼
Publication of KR970008334A publication Critical patent/KR970008334A/ko
Priority to KR1020010042712A priority Critical patent/KR100453276B1/ko
Application granted granted Critical
Publication of KR100440683B1 publication Critical patent/KR100440683B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T70/00Locks
    • Y10T70/50Special application
    • Y10T70/5611For control and machine elements
    • Y10T70/5757Handle, handwheel or knob
    • Y10T70/5765Rotary or swinging
    • Y10T70/577Locked stationary
    • Y10T70/5792Handle-carried key lock
    • Y10T70/5796Coaxially mounted
    • Y10T70/5801Axially movable bolt
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T70/00Locks
    • Y10T70/50Special application
    • Y10T70/5611For control and machine elements
    • Y10T70/5757Handle, handwheel or knob
    • Y10T70/5765Rotary or swinging
    • Y10T70/5805Freely movable when locked

Abstract

본 발명은 진공처리장치에 관한 것으로서, 본 발명에 따른 진공처리장치는 카세트블록과 진공처리블록으로 구성되고, 카세트블록은 각각 시료를 수납하는 복수의 카세트를 탑재하는 카세트 테이블과 대기이송수단을 구비하고, 진공처리블록은 시료를 처리하는 복수의 처리실 및 시료를 이송하는 진공이송수단을 구비하고, 카세트블록과 진공처리블록의 두 평면 형상이 거의 직사각형이고, 카세트블록의 폭이 진공처리블록의 폭 보다 크게 설계되고, 진공처리장치의 평면도가 L자형상 또는 T자형상으로 형성된다.
이러한 구성에 의해, 생산비용의 증가를 억제하는 동시에 더욱 양호한 유지보수성을 갖는 것이 가능한 진공처리장치를 제공할 수 있다.

Description

진공처리장치 및 이를 사용한 반도체 생산라인
본 발명은 진공처리장치에 관한 것으로서, 특히 Si기판 등의 반도체 시료기판에 대하여 에칭, 화학 기상 성장(CVD), 스퍼터링, 애싱(ashing), 린싱(rinsing) 등의 처리를 실행하기에 적합한 진공처리장치 및 상기 진공처리장치를 사용하여 반도체 디바이스를 생산하는 반도체 생산라인에 관한 것이다.
진공처리장치는 대략 카세트블록과 진공처리블록으로 구성된다. 카세트블록은 반도체 생산라인의 베이통로에 마주하여 반도체 생산라인의 길이 방향으로 연장된 정면을 갖고, 시료용 카세트나 시료의 오리엔테이션을 정렬하는 정렬 유닛과 대기 압력 환경하에서 동작하는 로봇을 구비하고 있다. 진공블록은 로딩(loading)측에 있는 로드 록 실(load rock chamber), 언로딩(unloading)측에 있는 로드 록 실, 처리실, 후처리실, 진공펌프 및 진공의 환경하에서 동작하는 로봇을 포함한다.
상기 진공처리장치에 있어서, 카세트블록 내의 카세트로부터 추출된 시료는 대기(atmospheric)이송 로봇에 의해 진공처리블록의 로드 록 실에 이송된다. 또, 상기 시료는 대기 이송 로봇에 의해 로드 록 실로부터 처리실로 이송되고 플라즈마 처리등이 실행되도록 전극 구조체상에 놓인다. 그 후, 필요하다면 상기 시료는 후처리실로 이송된다. 처리된 시료는 진공 이송 로봇과 대기 이송 로봇에 의해 카세트블록 내의 카세트로 이송된다.
시료에 플라즈마 에칭을 실행하는 진공처리장치는, 예를 들어 일본국 특허공고 제 61-8153호, 일본국 특허공개 제 63-133532호, 일본국 특허공고 제 6-30369호, 일본국 특허공개 제 6-314729호, 일본국 특허공개 제 6-314730호 및 미합중국 특허 제 5,314,509호에 개시되어 있다.
상기 종래의 진공처리장치에 있어서, 처리실과 로드 록 실는 동심적으로 배치되거나 직사각형 형상으로 배치된다. 예를 들면, 미합중국 특허 제 5,314,509호에 개시된 장치에 있어서, 진공 이송 로봇은 진공처리블록의 중앙부근에 배치되고, 3개의 처리실은 진공 이송 로봇 주위에 동심적으로 배치되고, 로딩측의 로드 록 실과 언로딩측의 로드 록 실은 진공 이송 로봇과 카세트블록 사이에 제공된다. 이러한 장치에 있어서, 대기 이송 로봇과 진공 이송 로봇의 이송 팔의 회전각도가 크기 때문에 전체 장치의 필요한 설치영역이 크다는 문제점이 있다.
한편, 진공처리장치의 진공처리블록 내의 처리실과 진공펌프 및 다른 여러종류의 배관 부품은 정기 및 비정기적 감시 또는 보수 등의 메인터넌스를 필요로한다. 그러므로, 일반적으로 로드 록 실, 언로드 록 실, 처리실, 진공 이송 로봇 및 여러 종류의 배관 부품의 검사 및 보수가 문을 열어서 행해질 수 있도록 진공처리블록 주변에 문이 마련된다.
종래의 진공처리장치에 있어서, 비록 처리될 시료가 8인치(약 200 mm) 이하의 직경(d)을 갖고 카세트(Cw)의 외부 크기가 약 250 mm일지라도 설치 영역이 크다는 문제점이 있다. 또, 12인치(약 300 mm) 이상의 직경(d)을 갖는 큰 직경 시료를 처리하는 경우에 있어서, 카세트(Cw)의 크기는 약 350 mm가 된다. 따라서, 복수의 카세트를 포함하는 카세트블록의 폭은 크게 된다. 만일 진공처리블록의 폭이 카세트블록의 폭에 의거하여 결정된다면, 전체의 진공처리장치는 큰 설치 영역을 필요로 한다. 일예로서 4개의 카세트를 포함하는 카세트블록을 고려하면, 시료의 직경(d)이 8인치에서 12인치로 증가할 때 카세트블록의 폭은 적어도 약 40 cm까지 증가하게 된다.
한편, 일반적인 반도체 생산라인에 있어서, 많은 양의 시료와 여러 종류의 처리를 실행하기 위해, 동일한 처리를 행하는 복수의 진공처리장치는 동일한 베이에 모여있고, 베이 사이의 이송은 자동 또는 수동으로 행해진다. 이러한 반도체 생산라인은 높은 청결성을 요구하기 때문에, 전체 반도체 생산라인은 큰 클린룸(clean room)에 설치된다. 처리될 시료의 직경 증가에 기인한 진공처리장치의 대형화로 인해 클린룸의 설치 영역이 증가하고, 이것에 의해 또 그 특성상 고가의 건설 비용을 갖는 클린룸의 건설 비용이 증가한다. 만일 큰 설치 점유 영역을 필요로 하는 진공처리장치가 동일 영역을 갖는 클린룸에 설치된다면, 진공처리장치의 총 수를 감소시키거나 진공처리장치간의 공간을 감소시켜야 한다. 동일한 영역을 갖는 클린룸 내의 진공처리장치의 감소한 총 수는 필연적인 결과로서 반도체 생산라인의 생산성을 저하시키고 반도체의 생산비용을 증가시킨다. 한편, 진공처리장치간의 공간 감소는 감시 및 보수용 메인터넌스 공간의 부족으로 인하여 진공처리장치의 유지보수성을 저하시킨다.
본 발명의 목적은 생산비용을 최소로 유지하는 상태에서 큰 직경 시료에 대처가능한 진공처리장치를 제공하는 것이다.
본 발명의 다른 목적은 양호한 유지보수성을 갖는 동시에 큰 직경 시료에 대처가능한 진공처리장치를 제공하는 것이다.
본 발명의 또 다른 목적은 공간의 더욱 경제적인 사용과 동시에 유지보수성의 비저하로 인해 진공처리장치의 필요한 대수를 유지함으로써, 생산비용을 최소로 유지하는 상태에서 큰 직경 시료에 대처가능한 반도체 생산라인을 제공하는 것이다.
도 1은 본 발명에 따른 진공처리장치의 일실시예를 나타낸 외부 사시도,
도 2는 도 1의 장치의 주요부를 나타낸 종단면도,
도 3은 도 2의 선 Ⅲ-Ⅲ의 평면에 따른 진공처리장치의 평면도,
도 4는 도 2의 선 Ⅳ-Ⅳ의 평면에 따른 상기 장치의 단면도,
도 5는 본 발명에 따른 진공처리장치를 갖는 반도체 생산라인의 베이영역의 일실시예를 나타낸 평면도,
도 6은 본 발명에 따른 반도체 생산라인의 일실시예에 있어서의 시료 플로우의 일부를 나타낸 도면,
도 7은 진공처리블록의 크기와 카세트블록의 크기 사이의 관계를 나타낸 도면,
도 8은 본 발명에 따른 진공처리장치의 진공블록의 메인터넌스를 설명하는 도면,
도 9는 종래의 진공처리장치의 1예의 구성을 나타낸 평면도,
도 10은 본 발명에 따른 진공처리장치 내부의 여러 종류의 요소의 상대적 관계의 1예를 나타낸 도면,
도 11은 본 발명에 따른 진공처리장치의 다른 실시예의 평면 구성을 나타낸도면,
도 12는 도 11의 진공처리장치를 나타낸 사시도,
도 13은 본 발명에 따른 진공처리장치의 다른 실시예의 평면도,
도 14는 본 발명에 따른 진공처리장치의 다른 실시예의 평면도,
도 15는 본 발명에 따른 진공처리장치의 다른 실시예의 평면도,
도 16은 본 발명에 따른 베이 영역의 다른 실시예를 나타낸 평면도,
도 17은 본 발명에 따른 베이 영역의 다른 실시예를 나타낸 평면도,
도 18은 본 발명에 따른 반도체 생산라인의 일실시예의 구성을 나타낸 평면도,
도 19는 본 발명에 따른 반도체 생산라인의 일실시예의 구성을 나타낸 평면도,
도 20은 본 발명에 따른 반도체 생산라인의 일실시예의 구성을 나타낸 평면도,
도 21은 본 발명에 따른 진공처리장치의 다른 실시예의 평면도,
도 22는 본 발명에 따른 진공처리장치의 다른 실시예의 평면도이다.
* 도면의 주요부에 대한 부호의 설명 *
1 : 카세트블록 2 : 진공처리블록
4 : 로드측 로드 록 실 5 : 언로드측 로드 록 실
6 : 처리실 7 : 후처리실
8 : 진공 펌프 9 : 대기 이송 로봇
10 : 진공 이송 로봇 12 : 카세트
13 : 에칭 방전 수단 14 : 운전 패널
16 : 카세트 테이블 91,101 : 로봇 팔
92 : 레일 100 : 진공처리장치
120 : 격막 200 : 베이영역
201A : 고청결 수준 룸 201B : 저청결 수준 룸
202 : 베이내 자동이송머신 204 : 라인 자동이송머신
208 : 베이 스토커 210 : 메인터넌스 패스
214, 216 : 문
상기 목적을 달성하기 위하여, 본 발명의 진공처리장치는 카세트블록과 진공처리블록으로 구성되고, 상기 카세트블록은 각각 시료를 수납하는 복수의 카세트를 탑재하는 카세트 테이블을 구비하고, 상기 진공처리블록은 상기 시료를 처리하는복수의 처리실 및 상기 시료를 이송하는 진공 이송수단을 구비하고, 상기 카세트블록과 상기 진공처리블록의 두 평면 형상은 거의 직사각형이고 관계식 W1-W2≥Cw을 만족시키고, 여기서 W1은 상기 카세트블록의 폭이고 W2는 상기 진공처리블록의 폭이고 Cw는 상기 카세트의 폭이다.
본 발명의 다른 특징은 상기 진공처리블록의 폭이 상기 카세트블록의 폭 보다 작게 설계되고, 상기 진공처리장치의 평면도가 L자 형상 및 T자 형상 중 하나로 형성된 것이다.
본 발명의 또 다른 특징은 카세트블록과 진공처리블록으로 구성된 복수의 진공처리장치가 생산공정의 순서로 배치된 복수의 베이영역을 포함하고, 상기 카세트블록은 시료를 수납하는 카세트를 탑재하는 카세트 테이블을 구비하고, 상기 진공처리블록은 상기 시료에 진공처리를 행하는 복수의 처리실 및 상기 시료를 이송하는 진공이송수단를 구비하고, 상기 진공처리장치의 적어도 하나가 상기 카세트블록이 300 mm 보다 작지 않은 직경을 갖는 시료를 수납할 수 있도록 설계되고, 관계식 W1-W2≥Cw이 만족되고, 여기서 W1은 상기 카세트블록의 폭이고 W2는 상기 진공처리블록의 폭이고, Cw는 1개의 카세트의 폭이다.
본 발명의 또 다른 특징은 직경이 300 mm 보다 적지 않은 시료를 수납할 수 있는 카세트블록 및 상기 시료에 진공처리를 행하는 진공처리블록을 포함하고, 상기 진공처리장치의 적어도 하나가 카세트블록의 폭이 진공처리블록의 폭보다 크고상기 진공처리장치의 평면도가 L자형상 및 T자형상 중 어느 하나로 형성되도록 설계되고, 메인터넌스 공간이 상기 L자형상 및 T자형상 진공처리장치 중 어느 하나와 인접한 진공처리장치 사이에 제공되는 것이다.
본 발명에 따르면, 카세트블록과 진공처리블록의 평면 형상은 직사각형이고, 관계식 W1>W2이 만족되도록 카세트블록과 진공처리블록이 설계되고, 여기서 W1은 상기 카세트블록의 폭이고 W2는 진공처리블록의 폭이다. 이것에 의해, 전체 진공처리장치의 평면도는 L자형상 또는 T자형상이 된다. 이러한 많은 진공처리장치의 배치에 있어서, 비록 서로 인접한 진공처리블록간의 간격이 작을지라도 서로 인접한 진공처리블록 사이에는 충분한 공간이 제공될 수 있다. 예를 들면, W1이 1.5 m이고 W2가 0.8 m일 때, 서로 인접한 진공처리장치간에는 0.7 m의 메인터넌스 공간이 제공될 수 있다.
그러므로, 큰 직경 시료에도 불구하고, 종래의 클린룸과 동일한 영역을 갖는 클린룸 내에 설치된 진공처리장치의 수는 감소될 필요가 없다. 따라서, 반도체 생산라인의 생산성은 저하하지 않는다. 따라서, 큰 직경 시료에 대처할 수 있는 동시에 생산비용의 증가를 저지하고 더욱 양호한 유지보수성을 갖는 진공처리장치를 제공할 수 있다.
또, 반도체 생산라인에 있어서 본 발명의 실시예의 진공처리장치에 의해, 공간의 더욱 경제적인 사용과 동시에 유지보수성의 비저하로 인해 진공처리장치의 필요한 대수를 유지함으로써, 생산비용을 최소로 유지하는 상태에서 큰 직경 시료에대처가능한 반도체 생산라인을 제공하는 것이다.
본 발명에 따른 진공처리장치의 일실시예가 도 1 내지 도 4를 참조로 하여 다음에 상세히 설명된다. 도 1에 도시된 바와 같이, 각각의 진공처리장치(100)는 직사각형 블록으로 형성된 카세트블록(1)과 직사각형 블록으로 형성된 진공처리블록(2)으로 구성된다. 카세트블록(1)과 진공처리블록(2)의 각각의 평면 형상은 직사각형이고, 이 둘에 의해 형성된 전체의 평면 형상은 L자형상이다. 카세트블록(1)은 반도체 생산라인의 베이통로를 마주보고 베이통로의 측면 방향으로 연장되고, 카세트블록의 정면에는 베이통로로부터 시료를 받거나 베이통로로 시료를 보내는 카세트 테이블(16)과 운전 패널(operation panel)(14)이 구비되어 있다. 카세트블록(1)의 후면에 설치된 진공처리블록(2)은 카세트블록(1)에 수직방향으로 연장되고 여러 종류의 진공처리를 행하는 장치와 이송장치를 포함한다.
도 2 내지 도 4에 도시된 바와 같이, 카세트블록(1)에는 시료를 이송하는 대기로봇(9)과 시료를 보유하는 카세트(12)가 제공된다. 시료용 카세트(12)는 제품 시료용 카세트(12A, 12B, 12C)와 더미(dummy) 시료용 카세트(12D)이다. 필요하다면, 오리엔테이션(orientation) 조정기가 카세트(12) 부근에 제공될 수 있다. 카세트(12)는 제품용 시료만을 수납하거나 제품과 더미용 시료를 수납한다. 외부물질 검사용 시료나 클리닝용 시료는 카세트의 최상단이나 최하단에 수납된다.
진공처리블록(2)에는 로드측 로드 록 실(4), 언로드측 로드 록 실(5), 처리실(6), 후처리실(7), 진공 펌프(8) 및 진공 이송 로봇(10)이 제공된다. 참조 번호(13)은 에칭용 방출수단이고 참조번호(14)는 후처리(ashing)용 방출수단이다.
대기 이송 로봇(9)은 카세트블록(1) 내부에 있는 카세트 테이블(16)에 평행으로 설치된 레일(92)상에 이동가능하게 설치되고, 카세트(12)와 로드측 로드 록 실(4) 및 언로드측 로드 록 실(5) 사이에 시료(3)를 이송한다. 진공 이송 로봇(10)은 로드 측 로드 록 실(4)로부터 처리실(6)로 시료(3)를 이송하고, 또한 처리실(6), 언로드측 로드 록 실(5) 및 후처리실(7) 사이에서 시료(3)를 이송한다. 본 발명은 직경(d)이 12인치(약 300 mm) 이상인 대 직경 시료의 취급에 기초한다. 시료의 직경이 12인치일 때, 카세트의 외부 사이즈(Cw)는 약 350 mm 내지 360 mm 이다.
처리실(6)은 시료(3)를 1개씩 처리하는, 예를 들면 플라즈마 에칭을 실행하는 곳으로서 진공처리블록(2)의 좌상부에 설치된다. 로드측 로드 록 실(4)과 언로드측 로드 록 실(5)은 진공 이송 로봇(10)을 가로질러 처리실(6)의 반대측에 설치되고, 진공처리블록(2)의 저부에 마련된다. 후처리실(7)은 처리된 시료(3)에 1개씩 후처리를 행하는 실이고, 언로드측 로드 록 실(5)에 접하여 진공처리블록(2)의 중간부에 설치된다.
대기 이송 로봇(9)은 로봇이 레일(92)상을 이동하는 상태로 연장 및 수축하는 연장가능한 팔의 궤적이 로더(loader) 내의 카세트(12)와 로드측 로드 록 실(4) 및 언로드측 로드 록 실(5)을 포함하는 궤적이 되도록 설계된 연장가능한 팔(91)을 갖는다. 진공 이송 로봇(10)은 연장가능한 팔의 회전 궤적이 로드측 로드 록 실(4)과 처리실(6)을 포함하는 궤적이 되도록 설계된 연장가능한 팔(101)을 구비하고,진공처리블록(2) 내에 설치된다. 그러므로, 진공 이송 로봇의 연장가능한 팔(101)은 그 회전 궤적이 처리실(6), 언로드측 로드 록 실(5) 및 후처리실(7)을 포함하도록 설치된다. 대기 이송 로봇(9)의 설치된 위치는 카세트블록(1)의 우측부일 수 있다.
웨이퍼 서치 메커니즘(wafer search mechanism)은 카세트(12)가 설치됐을 때, 각각의 카세트 내의 시료를 식별하도록 각각의 카세트(12) 주위에 제공된다. 로드 록 실(4,5), 처리실(6) 및 후처리실(7) 내에는 시료 리프팅 메커니즘(sample lifting mechanism)(14A,14B)이 각각 마련되어 시료(3)가 각각의 로봇의 연장가능한 팔(91,101)로 이송될 수 있다. 또, 처리실(6)에는 에칭 방전 수단(13)의 전극과 시료 탑재 테이블(14C)이 제공된다. 에칭 방전 수단(13)의 내부에는 시료 리프팅 메커니즘(14B)이 제공된다. 참조 번호(15)는 링형상 게이트밸브이다.
진공처리장치(100) 내의 시료 처리 공정이 플라즈마 에칭 처리를 예를 들어 다음에 설명된다. 우선, 카세트블록(1) 내의 대기 이송 로봇(9)은 예를 들어 로드측 카세트(12A)에 접근하기 위해 레일(92)상에서 이동되고, 시료(3)를 포크(도시하지 않음)위에 탑재하도록 연장가능한 팔(91)을 카세트(12A)쪽으로 연장하는 것에 의해 포크가 로드측 카세트 내의 시료(3)아래에 삽입된다. 그 후, 시료(3)를 이송하기 위해 로드측 로드 록 실(4)의 커버가 열려있는 상태로 대기 이송 로봇(9)의 팔(91)이 로드측 로드 록 실(4)로 이동된다. 이 때, 필요하다면 대기 이송 로봇(9)은 연장가능한 팔(91)의 스트로크(stroke)가 로드측 로드 록 실(4)에 도달할 수 있도록 하는 방식으로 레일(92)상에서 이동된다.
그 후, 시료 리프팅 메커니즘(14A)이 로드측 로드 록 실(4)의 지지부재상에 시료(3)를 지지하기 위해 작동된다. 또, 로드측 로드 록 실(4)을 진공상태로 한 후, 지지부재는 하강되고, 시료를 진공 이송 로봇(10)의 팔(101)로 이송하고 시료를 진공처리블록(2) 내부의 이송 패스(path)를 따라, 즉 진공 환경하의 처리실(6)로 이송하기 위해 시료 리프팅 메커니즘(14A)이 또 작동된다. 역작동에 의해 시료는 카세트블록(1) 내의 언로드측의 카세트부로 이송된다.
후처리를 필요로하는 경우에 있어서, 시료는 진공 이송 로봇(10)의 팔(101)을 사용하여 후처리실(7)로 이송된다. 후처리실(7) 내에서, 에칭 처리가 행해진 시료는 애싱 등의 플라즈마 후처리가 실행된다.
도 3에서, 진공 이송 로봇(10)의 팔(101)의 궤적은 시료가 로드측 로드 록 실(4), 처리실(6) 및 후처리실(7) 내에 있고, 언로드측 로드 록 실(5) 내에는 없는 경우를 고려하면, 다음과 같이된다. 즉, 진공 이송 로봇(10)의 팔(101)은 후처리실(7) 내의 한 시료(3)를 언로드측 로드 록 실(5)로 이송하고, 처리실(6) 내의 시료(3)는 후처리실(7)로 이송된다. 다음에, 로드측 로드 록 실(4) 내의 시료(3)는 진공처리실(6)로 이송된다. 또, 처리실(6) 내의 시료(3)는 후처리실(7)로 이송된다. 팔(101)은 동일한 궤적의 추적을 반복한다.
진공 이송 로봇(10)이 진공처리블록(2)의 측단부 부근에 설치되기 때문에, 작업자는 용이한 자세로 진공 이송 로봇을 점검 및 수리할 수 있고, 따라서 메인터넌스가 용이하게 실행될 수 있다.
도 5는 본 발명에 따른 진공처리장치(100)를 갖는 반도체 생산라인의 베이영역의 일실시예를 나타낸 평면도이다. 상기 도면에서, 복수의 L형상 진공처리장치(100)는 갭(G1)을 갖는 메인터넌스 공간(203)을 두고 배치되고, 격막(120)은 룸(room)을 고청결 수준 룸(201A)과 저청결 수준 룸(201B)으로 분리한다. 시료(3)를 공급 및 이송하는 자동 이송 머신(202)은 고청결 수준 룸(201A) 내에 배치된 카세트블록(1)의 정면을 따라 설치된다. 한편, 복수의 진공처리블록(2)은 저청결 수준 룸(201B) 내에 배치되고, 그들 사이의 간격은 후술할 메인터넌스 공간이다.
도 6은 본 발명에 따른 일실시예의 반도체 생산라인 내의 시료(3)의 흐름의 일부를 나타낸 도면이다. 각각의 베이영역(200)의 입구부에는 감시장치(206)와 베이 스토커(stoker)(208)가 제공된다. 각각의 베이영역(200)의 후면부는 메인터넌스 패스(210)로 통하고, 메인터넌스 패스(210)의 입구에 에어 샤워(airshower)(212)가 제공된다. 외부로부터 베이 스토커(208)로 공급된 시료(3)는 화살표로 도시된 바와 같이 라인(line) 자동 이송 머신(204)을 사용하여 생산 공정에 대응하여 소정의 베이영역(200) 내의 베이내(內) 자동 이송 머신(202)으로 연속적으로 이송된다. 또, 시료(3)는 베이내 자동이송머신(202)으로부터 진공처리장치(100)의 카세트블록으로 이송된다. 진공처리장치(100) 내에서, 시료(3)는 대기 이송 로봇(9) 및 진공 이송 로봇(10)에 의해 카세트블록(1) 및 진공처리블록(2) 사이에 이송된다. 진공처리블록(2) 내에서 처리된 시료(3)는 베이내 자동이송머신(202)으로 이송되고, 또 라인 자동이송머신(204)으로 이송되고, 그 후 다음 베이영역(200)으로 이송된다.
베이내 자동이송머신을 구비한 반도체 생산라인에 있어서, 베이내 자동이송머신(202)은 각각의 베이(200) 내에 제공된 베이 스토커(208)로부터 각각의 진공처리장치(100) 내의 카세트블록(1)으로 새로운 시료(처리되지 않은 웨이퍼)를 공급하고, 카세트블록(1)으로부터 처리된 시료를 수납하는 카세트를 회수한다.
각각의 진공처리장치(100)로부터 출력된 요구신호에 대응하여, 베이내 자동이송머신(202)은 각각의 베이(200) 내에 제공된 베이 스토커(208)로부터 새로운 시료(처리되지 않은 웨이퍼)를 수납하는 카세트를 받고, 진공처리장치의 카세트블록(1)이 요구신호를 출력하는 카세트부까지 이동하여 카세트부에서 멈춘다.
베이내 자동이송머신(202) 내에 설치된 카세트 처리 로봇으로서는, 회전동작(θ축), 수직이동(Z축) 및 그립(grip)동작(φ축)의 3축 제어기능을 갖는 로봇이나 회전동작(θ축), 수직이동(Z축), 그립동작(φ축) 및 전후이동(Y축)의 4축 제어기능을 갖는 로봇이 사용된다.
처리된 카세트(12)가 카세트블록(1)의 지정된 위치에 존재하는 경우, 각각의 진공처리장치(100)로부터 출력된 요구 내용에 따라, 카세트 처리 로봇은 카세트블록(1)으로부터 베이내 자동이송머신(202)상의 공(空) 카세트 스토어(store)로 카세트(12)를 회수하고, 이어서 회수에 의해 비어진 위치에 베이 스토커(208)로부터 이송된 새로운 카세트(12)를 공급한다.
이러한 공정의 달성 후, 베이내 자동이송머신은 회수된 카세트(12)를 베이 스토커(208)로 이송하고, 그 작동을 중단하고 베이(200) 내의 진공처리장치(100)로부터 다음 요구신호가 출력될 때까지 대기상태로 된다.
요구신호가 짧은 시간 동안 베이(200) 내의 복수의 진공처리장치(100,100,…)로부터 출력될 때, 베이내 자동이송머신이 시료를 접수된 신호의 시간순으로 이송할지, 수신하는 시간의 차이와 신호출력장치의 위치 사이의 관계를 고려하여 베이내 자동이송머신(202)의 대기 위치로부터 이송효율이 높은 순으로 이송할지는 시스템설계에 달려있다.
받고 보내진 카세트상의 정보가 번호가 특정된 각각의 카세트와 전체 생산라인을 관리하는데 사용되는 여러 종류의 정보를 포함하고, 예를 들어 광통신을 통하여 진공처리장치(100) 및 베이내 자동이송머신(202) 사이에 전달되도록 카세트 관리가 행해진다.
베이영역(200) 내의 처리흐름이 각각의 카세트 내의 시료를 고려하여 다음에 기술된다.
카세트블록(1)에는 3 내지 4개의 카세트(11,12)가 동일한 높이의 평면상에 나란히 배치된다. 각각의 카세트 내에는 소정의 번호를 갖는 시료, 이 경우 직경이 300 mm (12")인 반도체소자 기판(웨이퍼)이 수납된다.
3 내지 4개의 카세트(12) 중에서 2 내지 3개의 카세트(12)에는 진공처리부에서 소정의 진공처리가 행해질 시료(처리되지 않은 웨이퍼)가 수납된다. 나머지 하나의 카세트에는 더미 웨이퍼가 수납된다.
더미 웨이퍼는 진공처리부 내의 이물질의 수를 검사하거나 진공처리영역을 구성하는 처리실의 클리닝처리를 위해 사용된다.
여기서, 처리전(前) 시료를 수납하는 카세트(12)를 12A, 12B, 12C라고 하자.이러한 상태에서, 예를 들어 카세트(12A)의 시료의 수납상태는 웨이퍼 검사 수단(도시하지 않음)에 의해 검사된다. 이 경우에 있어서, 카세트(12A)는 시료를 수직방향으로 하나씩 저장하는 기능을 갖는다.
사용되는 웨이퍼 검사 수단으로서는, 센서가 카세트(12A)의 시료 수납 위치에 대응하도록 연속적으로 이동하는 수단이 있고, 복수의 센서가 카세트(12A)의 각각의 시료 수납 위치에 대응하여 제공되는 수단이 있다. 이러한 수단에 있어서는, 카세트(12A)의 시료 수납 위치에 대응하도록 이동하는 수단을 제공할 필요가 없다. 웨이퍼 검사 수단을 위한 센서를 고정하고 대신에 카세트(12A)를 이동시킬 수도 있다.
웨이퍼 검사 수단을 사용하여, 카세트(12A)의 수직방향의 위치에 처리전 시료가 수납되어 있는지가 검사된다. 예를 들어, 센서가 카세트(12A)의 시료 수납 위치에 대응하도록 연속적으로 이동하는 형태의 웨이퍼 검사 수단인 경우에 있어서, 예를 들어 센서가 카세트(12A)의 저부로부터 상향으로 또는 카세트(12A)의 상부로부터 하향으로 이동하는 동안에 센서는 카세트(12A)의 시료 수납 위치 및 상기 수납 위치 내의 처리전 시료의 존재여부를 검출한다.
검사 결과는 웨이퍼 검사 수단으로부터 출력되어, 예를 들어 전체 진공처리장치를 관리하는 반도체 생산라인 제어용 호스트 컴퓨터(host computer)(도시하지 않음)에 입력되고 저장된다.
그렇지 않다면, 검사 결과는 카세트 탑재용 테이블상의 콘솔 박스(consol box) 내의 퍼스널 컴퓨터 또는 상기 퍼스널 컴퓨터를 통하여 상기 장치를 제어하는호스트 컴퓨터에 입력되고 저장될 수 있다.
그 후, 본 실시예의 대기 이송 로봇(9)이 작동하기 시작한다. 대기 이송 로봇(9)의 작동에 의해, 카세트(12A) 내의 하나의 처리전 시료가 카세트(12A)로부터 추출된다.
대기 이송 로봇(9)은 처리될 표면에 반대측(이면측) 시료 표면을 떠내고 유지하는 스쿠핑 업(scooping-up)장치를 갖는다. 사용된 상기 스쿠핑 업 장치는 시료의 이면측 표면에 흡착하여 유지하는 장치이고, 홈 또는 시료를 유지하는 부분을 갖고 시료의 주변부를 기계적으로 붙잡는 장치이다. 또, 시료의 이면측 표면을 흡착하여 유지하는 장치로서는 진공 흡착이나 정전 흡인의 기능을 갖는 장치가 있다.
직경이 300 mm (12")인 시료의 이면측 표면을 흡착하여 유지하는 장치를 사용하는 경우에 있어서, 시료의 구부러짐을 가능한한 작게 하기 위해 흡착부의 배치와 치수를 선택하는 것이 중요하다. 예를 들어, 시료의 직경을 d로 한 경우에 흡착부 사이의 간격은 d/3 내지 d/2로 설정된다.
시료의 구부러짐의 양과 구부러짐의 특징에 따라, 시료가 스쿠핑 업 장치와 때때로 시료의 오리엔테이션의 변위에 있어서 문제를 일으키는 다른 이송 수단 사이에 이송된 때 시료의 변위가 발생한다.
또, 시료의 이면측 표면을 흡착하여 유지하는 장치를 사용하는 경우에 있어서, 흡착력은 이동개시 및 정지를 포함하여 시료가 이송되고 있을 때 시료에 작용하는 관성력에 의해 시료가 떨어지지 않을 정도의 힘을 갖을 것을 요구한다. 만일 이러한 조건이 만족되지 않는다면, 스쿠핑 업 장치로부터의 시료의 하강 또는 시료의 오리엔테이션의 변위에 있어서 문제가 발생한다.
스쿠핑 업 장치는 카세트(12A)내에서 추출될 것이 요구되는 처리전 시료의 이면측 표면에 대응하는 위치에 삽입된다. 스쿠핑 업 장치가 삽입된 상태에서, 카세트(12A)가 소정의 양으로 하강하거나 스쿠핑 업 장치가 소정의 양으로 상승한다. 카세트(12A)의 하강 또는 스쿠핑 업 장치의 상승에 의해, 처리전 시료는 시료가 떠내진 상태를 유지하면서 스쿠핑 업 장치로 이송된다. 스쿠핑 업 장치는 상기 상태를 유지하면서 카세트(12A)로부터 시료를 추출한다. 따라서, 카세트(12A) 내의 하나의 처리전 시료는 카세트(12A)로부터 추출된다.
상술한 바와 같이, 예를 들어 호스트 컴퓨터는 대기 이송 로봇(9)으로 처리전 시료를 추출하는 것을 지시하고 제어한다.
처리전 시료가 카세트(12A)의 어느 위치로부터 추출되는가의 정보가 시료를 추출할 때마다 호스트 컴퓨터에 연속적으로 저장된다.
스쿠핑 업 장치에 하나의 처리전 시료를 갖는 대기 이송 로봇(9)은 시료가 로드 록 실(4)로 실려질 수 있는 위치로 이동하여 정지된다.
로드 록 실(4)은 진공처리부(2)의 진공 환경으로부터 차단되고 대기 압력 상태로 된다. 대기 이송 로봇(9)의 스쿠핑 업 장치에 의해 유지된 처리전 시료는 스쿠핑 업 장치로부터 로드 록 실(4)로 이송되는 상태로 로드 록 실(4)로 실려진다. 처리전 시료를 로드 록 실(4)로 이송한 대기 이송 로봇(9)은 다음 동작을 대기하는 상태의 소정의 위치로 되돌아 온다.
상술한 작동은, 예를 들어 호스트 컴퓨터에 의해 지시되고 제어된다.
로드 록 실(4) 내에 실린 처리전 시료가 카세트(12A) 내의 어느 위치로부터 추출되었는가의 정보는 시료가 추출될 때마다 호스트 컴퓨터에 연속적으로 저장된다. 처리전 시료를 받은 로드 록 실(4)은 대기로부터 차단되고 진공상태로 된다. 그 후, 처리실과의 차단이 해제되고 로드 록 실(4)은 처리전 시료를 이송할 수 있도록 처리실과 통한다. 그 후, 진공처리영역 내에서 소정의 진공처리가 행해진다. 진공처리가 행해진 시료(처리후 시료)는 언로드 록 실(5)에 실리도록 진공 이송 로봇에 의해 진공처리영역으로부터 언로드 록 실(5)로 이송된다.
진공 이송 로봇은 대기 이송 로봇(9)의 것과 유사한 스쿠핑 업 장치를 갖는다. 스쿠핑 업 장치로서는 진공흡착기능을 갖는 장치를 제외하고 대기 이송 로봇(9)의 것과 유사한 스쿠핑 업 장치가 사용될 수 있다.
처리된 시료를 실은 후, 언로드 록 실(5)은 진공처리부(2)로부터 차단되고 언로드 록 실(5) 내부의 압력은 대기압력으로 조정된다.
내부압력이 대기압력이된 언로드 록 실(5)은 대기에 개방된다. 이러한 상태하에서, 대기 이송 로봇(9)의 스쿠핑 업 장치는 언로드 록 실(5)로 삽입되고, 처리된 시료는 스쿠핑 업 장치로 이송된다.
처리된 시료를 받은 스쿠핑 업 장치는 언로드 록 실(5)로부터 시료를 이송한다. 그 후, 언로드 록 실(5)은 대기로부터 차단되고 다음의 처리된 시료를 로딩(loading)하기 위한 준비를 하도록 진공상태로 된다.
한편, 스쿠핑 업 장치 내에 처리된 시료를 갖는 대기 이송 로봇(9)은 처리된 시료가 카세트(12A)로 되돌려질 수 있는 위치로 이동하여 정지한다.
그 후, 처리된 시료를 갖는 스쿠핑 업 장치는 그 상태를 유지하면서 카세트(12A)로 삽입된다. 호스트 컴퓨터는 처리된 시료가 본래 수납되어 있던 위치로 되돌려지도록 삽입 위치를 제어한다.
처리된 시료를 갖는 스쿠핑 업 장치를 삽입한 후, 카세트(12A)가 상승하거나 스쿠핑 업 장치가 하강한다.
이렇게 하는 것에 의해, 처리된 시료는 본래 수납되어 있었던 위치로 되돌아가 상기 위치에 수납된다.
이러한 작동은 카세트(12A) 내의 잔류하는 처리전 시료와 카세트(12B,12C) 내의 처리전 시료에 대하여 유사하게 실행된다.
즉, 각각의 카세트로부터 연속적으로 추출된 처리전 시료는 예를 들어 하나씩 번호가 매겨지고, 호스트 컴퓨터는 어떤 카세트 내의 어느 위치로부터 추출된 처리전 시료가 어떤 번호를 갖는 가에 관한 정보를 저장한다.
상기 정보에 의거하여, 시료의 이동, 카세트로부터의 시료의 추출, 시료에 대한 진공처리의 실행 및 진공처리 후 카세트로의 시료의 되돌림이 관리 및 제어된다.
추출되기로부터 본래의 카세트로 되돌리기까지의 이동은 다음의 순서로 단계에 따라 실행된다.
(1) 카세트 내의 수납 위치의 검사.
(2) 대기 이송 로봇을 사용한 카세트 내의 시료의 추출.
(3) 대기 이송 로봇을 사용한 로드 록 실로의 시료의 로딩.
(4) 로드 록 실로부터 진공처리영역으로의 진공 이송 로봇을 사용한 시료 이송.
(5) 진공처리영역 내에서의 진공처리의 실행.
(6) 진공처리영역으로부터 언로드 록 실로의 진공 이송 로봇을 사용한 시료 이송.
(7) 언로드 록 실로부터의 대기 이송 로봇을 사용한 시료의 언로딩.
(8) 대기 이송 로봇을 사용한 카세트 내의 본래의 위치로의 시료의 수납.
상술한 바와 같은 (1)에서 (8)까지의 시료의 모든 이동에 있어서, 호스트 컴퓨터는 각각의 스테이션(station)이 어떤 지정된 번호의 시료를 가지는지에 관한 정보를 연속적으로 갱신한다. 상기 갱신 처리는 모든 시료에 대해 행해진다. 이것에 의해, 각각의 시료가 관리되고, 즉 어떤 지정된 번호의 시료가 어떤 스테이션에 존재하는가가 관리된다.
예를 들면, 호스트 컴퓨터에 의한 연속 갱신상태 처리는 진공처리 시스템 제어용 CRT 스크린상에 연속적으로 표시될 수 있다. 이 경우에 있어서, 각각의 스테이션 및 현재 어떤 지정된 번호의 시료가 존재하는가가 작업자에 의해 용이하게 식별하도록 표시된다.
처리전 시료의 오리엔테이션 조정이 실행되는 경우에 있어서, 이 단계는 상기 단계(2)와 (3)사이에 실행된다.
이러한 시료의 이동에 대한 관리 및 제어는 진공처리부(2)가 복수의 진공처리영역을 갖는 경우에 실행될 수 있다.
예를 들어, 진공처리부(2)가 2개의 진공처리영역을 갖는다고 가정하자. 이경우에 있어서, 시료는 처리정보에 따라 직렬 또는 병렬로 처리된다. 여기서, 직렬처리란 시료가 하나의 진공처리영역에서 진공처리되고 처리된 시료가 연속해서 나머지 진공처리영역에서 진공처리되는 것을 의미한다. 병렬처리란 시료가 하나의 진공처리영역 내에서 진공처리되고 다른 시료가 나머지 진공처리영역에서 진공처리되는 것을 의미한다.
직렬처리의 경우에 있어서, 호스트 컴퓨터에 의해 번호가 매겨진 시료는 소정의 순서에 따라 처리되고, 처리된 시료는 카세트 내의 본래의 위치로 되돌려진다.
병렬처리의 경우에 있어서, 어떤 진공처리영역 내에서 어떻게 번호가 매겨진 시료가 처리되는가를 호스트 컴퓨터가 관리 및 제어하기 때문에, 처리된 시료는 카세트 내의 본래의 위치로 되돌려진다.
병령처리의 경우에 있어서, 시료가 카세트 내의 어떤 위치로부터 추출되고 어떤 지정된 번호를 갖는가에 따라 호스트 컴퓨터는 어떤 진공처리영역이 사용되고 있는가를 관리하고 제어할 수 있다.
직렬처리와 병렬처리가 혼합되어 있는 경우에 있어서, 어떤 진공처리영역 내에서 어떻게 번호가 매겨진 시료가 처리되는가를 호스트 컴퓨터가 관리 및 제어하기 때문에, 처리된 시료는 카세트 내의 본래의 위치로 되돌려진다.
복수의 진공처리영역의 예로서는 동일한 플라즈마 발생법을 갖는 영역의 조합과, 상이한 플라즈마 에칭영역의 조합과, 플라즈마 에칭영역과 애싱 등의 후처리영역의 조합과, 에칭영역과 필름 형성영역의 조합 등이 있다.
카세트 내의 더미 시료는 처리전 시료에 대해 행해지는 진공처리를 행하는 것을 제외하고는 처리전 시료와 같은 방식으로 다루어진다.
각각의 카세트, 대기 이송 로봇의 스쿠핑 업 장치, 오리엔테이션 조정 스테이션, 로드 록 실 내의 스테이션, 진공 이송 로봇의 스쿠핑 업 장치, 진공처리영역 내의 스테이션 및 언로드 록 실 내의 스테이션에는 시료의 존재여부를 검출하는 검출수단이 제공되어 있다.
접촉형 또는 비접촉형 센서가 시료 검출 수단으로 사용되도록 적당하게 선택된다.
카세트, 스쿠핑 업 장치 및 각각의 스테이션은 시료의 이동과정에 대한 검사요소가 된다.
이러한 구성에 있어서, 예를 들어 진공 이송 로봇(10)의 스쿠핑 업 장치 내에서 시료의 존재가 검출되고 진공처리영역의 스테이션 내에서 시료의 존재가 검출되지 않을 때, 이것은 진공 이송 로봇의 스쿠핑 업 장치와 진공처리영역 내의 스테이션 사이에 있는 시료이송머신에 일정한 이유로 인해 문제가 발생한 것을 의미하고, 상기 문제의 복구는 적당하고 신속하게 행해질 수 있다. 그러므로, 전체 시스템의 처리량의 저하를 억제할 수 있다.
시료검출수단이 이송로봇(9)의 각각의 스쿠핑 업 장치에 제공되지 않는 구성에 있어서, 예를 들어 로드 록 실의 스테이션 내에서 시료의 존재가 검출되고 진공처리영역의 스테이션 내에서 시료의 존재가 검출되지 않을 때, 이것은 로드 록 실내의 스테이션과 진공 이송 로봇의 스쿠핑 업 장치 사이의 시료이송로봇 또는 진공 이송 로봇 또는 진공 이송 로봇의 스쿠핑 업 장치와 진공처리영역 내의 스테이션 사이에 있는 시료이송머신내에 일정한 이유로 인해 문제가 발생한 것을 의미하고, 상기 문제의 복구는 적당하고 신속하게 행해질 수 있다. 그러므로, 전체 시스템의 처리량의 저하를 억제할 수 있다.
이러한 실시예는 다음의 유용성을 갖는다.
(1) 처리전 시료가 카세트 내의 어느 위치에 수납되어 있는가가 검사되고 검사된 처리전 시료의 이동이 상기 처리전 시료에 번호를 매기는 것에 의해 연속적으로 관리 및 제어되기 때문에, 처리된 시료는 카세트의 본래의 위치로 확실하게 되돌려질 수 있다.
(2) 처리전 시료가 카세트 내의 어느 위치에 수납되어 있는가가 검사되고 검사된 처리전 시료의 이동이 직렬처리, 병렬처리 또는 그 둘의 혼합된 처리의 경우에 있어서도 상기 처리전 시료에 번호를 매기는 것에 의해 연속적으로 관리 및 제어되기 때문에, 처리된 시료는 카세트의 본래의 위치로 확실하게 되돌려질 수 있다.
(3) 처리전 시료가 카세트 내의 어느 위치에 수납되어 있는가가 검사되고 검사된 처리전 시료의 이동이 상기 처리전 시료에 번호를 매기는 것에 의해 연속적으로 관리 및 제어되기 때문에, 진공처리부에서 하나씩 처리된 시료의 처리상태는 상세하게 검사되고 관리될 수 있다.
예를 들어, 시료의 처리에 있어서 결함이 발생한 경우에 있어서, 처리조건을포함하여 각각의 시료의 처리상태가 관리되기 때문에, 상기 처리상태는 결함있는 시료가 어떤 카세트 내의 어느 위치에 수납되어 있는가의 정보에 의해 식별될 수 있다. 그러므로, 결함 발생의 원인을 단시간 내에 알 수 있고, 따라서 대책마련에 필요한 시간이 처리상태의 식별에 있어서 짧아진 시간 만큼 단축될 수 있다.
비록 상기 실시예의 설명이 시료의 직경이 300 mm (12")인 경우에 대한 것이지만, 상기의 유용성은 시료의 직경에 의해 제한을 받지 않는다.
메인터넌스가 다음에 기술된다.
본 발명에 따른 진공처리장치(100)의 메인터넌스에 관해서는, 카세트블록(1)이 베이내 자동이송머신(202)의 라인과 마주하고 있기 때문에 카세트블록(1)의 대부분의 메인터넌스는 카세트블록의 정면으로부터 실행될 수 있다.
한편, 진공처리블록(2)의 메인터넌스에 관해서는, 작업자가 메인터넌스 패스(203) 또는 메인터넌스 패스(210)을 통하여 각각의 베이영역의 후면측으로부터 진공처리블록(2)이 설치되어 있는 영역으로 들어가는 것을 필요로 한다.
도 7은 진공처리블록(2)의 크기와 카세트블록(1)의 크기 사이의 관계를 나타낸 도면이다. 카세트블록(1)의 긴 변(폭)이 W1으로 표시되고 짧은 변이 B1으로 표시되며, 진공처리블록(2)의 짧은 변(폭)이 W2로 표시되고 긴 변이 B2로 표시될 때, 관계식 W1>B1, W2<B2가 만족된다. 시료의 직경이 d일 때 관계식 W1-W2≒d이 만족되는 것이 바람직하다.
서로 인접한 진공처리장치의 카세트블록 사이의 갭이 G1으로 표시되고 서로 인접한 진공처리블록 사이의 갭을 G2로 표시할 때(도 5 참고), 관계식 G1<G2 가 만족된다. 서로 인접한 진공처리장치(100) 사이의 메인터넌스 공간은 (W1+G1)-W2=MS 로 표현된다. MS는 작업자의 메인터넌스 작업을 위해 요구되는 치수이다. 이 경우에 있어서, 관계식 (W1+G1)-W2≒d 가 만족되는 것이 바람직하다. 비록 메인터넌스 공간(203)은 작업자를 위한 출입구이지만, 베이영역(200)의 레이아웃(layout)에 따라 상기 공간이 제공되지 않는 경우도 있다. 이러한 경우에 있어서도, 서로 인접한 진공처리장치 사이의 설치 여유도(G1)는 최소인 것이 필요하지만, 상기 설치 여유도는 실제로는 거의 영인 값이 된다. 이 경우에 있어서, W1-W2=MS 는 메인터넌스 공간이 된다.
본 발명에 따른 진공처리장치(100)의 진공처리블록(2)의 측면은 개방형 문 구조이다. 즉, 경첩으로 고정된 두쌍의 문(214,216)이 진공처리블록(2)의 측면과 후면에 제공된다.
메인터넌스를 행하기 위하여는, (1)작업자가 후면과 정면으로부터 장치 및 배관을 검사할 수 있는 공간이 존재할 것, (2)여러 종류의 장치 및 배관, 예를 들면 처리실의 메인(main)실이 추출될 수 있는 공간이 존재할 것 및 (3)문이 열릴 수 있는 공간이 존재할 것이 요구된다. 그러므로, 메인터넌스 공간(MS)은 90 내지 120 cm 가 바람직하다.
본 발명에 따른 진공처리장치(100)에 따르면, 작업자는 진공처리블록(2)의 측면 및 후면으로 용이하게 접근할 수 있다. 또, 문(214)을 열어서, 로드 록 실(4), 언로드 록 실(5), 후처리실(7), 진공 이송 로봇(10) 및 여러 종류의 배관과 장치를 점검 및 수리할 수 있다. 또, 문(216)을 열어서, 처리실(6)과 진공펌프 및여러 종류의 배관과 장치를 점검 및 수리할 수 있다.
진공처리블록(2) 사이에 메인터넌스 공간(MS)이 존재하기 때문에, 작업자가 메인터넌스 작업을 행하는 데 있어서 장애가 없다. 또, 문(216)을 열어 메인터넌스 작업을 행하기 위해 진공처리블록(2)의 후면측에 충분한 공간이 제공된다.
진공처리장치(100)의 평면 형상은 상술한 바와 같이 L자 형상이다. 한편, 종래의 진공처리장치(800)에 있어서, 진공처리블록과 카세트블록은, 도 9에 도시된 바와 같이 일반적으로 전체로서 직사각형 형상을 형성하도록 함께 구성된다. 진공처리장치에 설치된 여러 종류의 요소의 형상 및 상기 여러 종류의 요소 중의 상호 작동관계에 의거하여 직사각형 형상이 선택된다. 일반적인 종래의 진공처리장치에 있어서, 서로 인접한 카세트블록 사이의 갭이 G1으로 표시되고 서로 인접한 진공처리블록 사이의 갭이 G2로 표시될 때, 관계식 G1≥G2가 존재한다.
종래의 진공처리장치(800)가 8인치보다 크지 않은 직경(d)을 갖는 시료를 다루기 때문에, 상술한 바와 같은 구성이 사용될 수 있다. 그러나, 12인치 정도의 직경(d)을 갖는 시료를 다루는 장치에 있어서는, 카세트(12)의 외부 치수는 더욱 커지고, 결과적으로 복수의 카세트(12)를 포함하는 카세트블록의 폭(W1)이 더욱 커진다. 진공처리블록의 폭(W2≒W1)이 폭(W1)에 대응하여 결정되기 때문에, 전체의 진공처리장치(800)는 더욱 큰 공간을 요구한다. 또, 카세트블록과 진공처리블록의 폭(W1,W2)이 더욱 커짐에 따라, 문(214,216)이 더욱 커져야하고 문(214,216)이 열리는 공간을 유지하기 위해 큰 메인터넌스 공간이 요구된다. 예를 들어, 만일 12인치 시료가 종래의 장치에서 다루어진다면, W1=W2=150 cm, G1=G2=90 cm 및 서로 인접한 진공처리장치(100) 사이의 메인터넌스 공간이 MS=90 cm 가 된다. 이것은 각각의 베이영역 내의 진공처리장치(800)의 유효 점유 영역에 있어서의 증가를 발생시킨다. 이것은 바람직하지 않다.
본 발명에 따른 진공처리장치 내의 여러 종류의 요소의 상호관계의 일실시예를 도 10을 참고로 하여 설명한다. 상기 도면에 도시된 바와 같이, 진공 이송 로봇(10)의 팔의 회전중심(01)은 로드 록 실(4)과 언로드 록 실(5)의 중간 위치와 처리실(6)의 중심을 연결하는 선 L-L의 우측 또는 좌측에, 즉 진공처리부의 측단측을 향하여 회전중심(01)이 이동된다. 후처리실(7)은 선 L-L의 반대측에 배치된다. 그러므로, 진공 이송 로봇(10)의 팔의 회전범위는 좁고, 진공처리장치(100)의 전체의 평면 형상은 진공처리부의 측단 부근에 진공 이송 로봇(10)을 배치함으로써 L자형상을 이룰 수 있다. 이러한 구성에 의해, 진공 이송 로봇(10)의 팔의 회전범위는 거의 원주의 반(半)이된다. 웨이퍼를 이송하는 진공 이송 로봇(10)의 팔의 회전범위를 거의 반원 내로 제한하는 것에 의해, 팔의 거의 반원이동 내에서 하나의 시료(3)가 로드 록 실(4), 언로드 록 실(5), 처리실(6) 및 후처리실(7)로 이송될 수 있다. 상술한 바와 같이, 진공 이송 로봇(10)의 팔의 회전범위가 거의 반원 내로 설계되기 때문에, 진공처리블록(2)의 폭(W2)이 좁아질 수 있다.
상술한 바와 같이, 본 발명에 따른 진공처리장치(100)는 카세트블록(1)의 폭(W1)이 대 직경 시료에 대처하는 상태로, 진공처리장치 내에 배치된 여러 종류의 요소의 형상 및 여러 요소의 상호 관계를 고려하여 진공처리블록(2)의 폭(W2)를 가능한한 작게 함으로써 상술한 메인터넌스 공간을 유지한다. 이렇게 함으로써, 진공처리장치(100)의 유효 점유 영역이 증가 될 수 있다.
진공처리블록(2) 사이에 메인터넌스 공간(MS)이 있기 때문에, 작업자가 메인터넌스 작업을 행하기 위해 측면에서 문(214)를 여는 데 있어서 장애가 없다. 또, 문(216)을 열어서 메인터넌스 작업을 행하기 위해 진공처리블록(2)의 후면측에 충분한 공간이 제공된다.
본 발명에 따른 진공처리장치(100)에 있어서, 진공처리블록(2)과 카세트블록(1) 사이의 위치관계는 카세트블록의 측방향을 따라 변화된다. 예를 들어, 도 11 및 도 12에 도시한 바와 같이, 진공처리블록(2)의 중앙선이 측면방향으로의 카세트블록(1)의 중심과 교차하도록, 즉 진공처리블록(2) 및 카세트블록(1)이 전체 평면 형상에 있어서 T자형상을 형성하도록 배치될 수 있다. T자형상 배치에 있어서, 진공처리블록(2) 사이에 메인터넌스 공간(MS)이 존재하기 때문에, 작업자가 측면에서 문(214)을 열어서 메인터넌스 작업을 행하는데 있어서 장애가 없다.
관계식 (W1+G1)-W2=MS가 실제적으로 유지되는 한, 본 발명에 따른 카세트블록(1) 및 진공처리블록(2)의 평면형상은 엄격한 직사각형이어도 좋고, 즉 거의 직사각형이어도 좋다. 카세트블록(1) 및 진공처리블록(2) 내에 포함된 구성요소와 상기 구성요소의 배치관계는 상술한 실시예와 다른 것이어도 좋다. 예를 들어, 도 13에 도시된 실시예에 있어서, 카세트블록(1)의 대기 이송 로봇(9)은 진공처리장치의 로드 록 실(4)과 언로드 록 실(5) 사이에 배치된다. 이 경우에 있어서, 카세트블록(1)의 평면형상은 엄밀하게는 돌출형상으로 되어 있고 진공처리블록(2)의 평면형상은 오목형상으로 되어 있고, 전체 진공처리장치(100)는 거의 직사각형의 두 블록의 조합으로서 T자형상을 이루고 있다. 상기 실시예에 있어서, 진공처리블록의 로드 록 실(4)과 언로드 록 실(5) 사이에 카세트블록(1)의 대기 이송 로봇(9)을 배치하고 레일(94)상에 카세트(12)를 이동가능하게 배치하는 것에 의해 상기 레일상에서 대기 이송 로봇(9)의 이동이 없이, 연장가능한 팔(91)의 궤적이 카세트(12)와, 로드측 로드 록 실(4) 및 언로드측 로드 록 실(5)을 포함하는 궤적을 추적하도록 구성된다. 상기 실시예에 있어서, 진공처리블록(2) 사이의 상술한 메인터넌스 공간(MS)이 유지될 수 있다.
도 14는 본 발명에 따른 진공처리장치(100)의 다른 실시예를 나타낸다. 진공처리장치는 카세트블록(1), 대기 이송 로봇(9) 및 시료 카세트(12) 뿐아니라, 카세트 탑재 테이블(130)과 시료 평가 및 감시용 콘솔 박스(132)를 갖는다.
도 15는 본 발명에 따른 진공처리장치(100)의 또 다른 실시예를 나타낸다. 상기 진공처리장치는 카세트블록(1), 대기 이송 로봇(9) 및 시료 오리엔테이션 조정기(11)를 갖는 T자형상 진공처리장치이다.
도 16은 본 발명에 따른 베이영역(200)의 다른 실시예를 나타낸 평면도이다. 한쌍의 L자형상 진공처리장치(100A,100B)는 한 세트를 이루도록 서로 마주하여 배치되고, 콘솔 박스(132)는 상기 세트사이에 배치된다. 상술한 갭(G1)이 존재하지 않지만, 콘솔 박스(132)의 폭이 W3일 때 (W1+W3)-W2=MS 가 메인터넌스 공간이 된다. 갭(G1)이 존재하지 않기 때문에 작업자는 진공처리블록(2)의 메인터넌스를 행하기 위해 메인터넌스 통로(210)를 통하여 베이영역(200)의 후방으로부터 진공처리블록(2)이 배치되어 있는 영역(201B)으로 들어갈 필요가 있다. 만일 접근시간을 줄이는 것이 필요하다면, 갭(G1)이 콘솔 박스(132)와 인접한 카세트블록(1) 사이에 제공될 수 있다. 이러한 경우에 있어서, (W1+W3+G1)-W2=MS 가 메인터넌스 공간이 된다.
도 17은 본 발명에 따른 진공처리장치의 다른 실시예를 갖는 베이영역을 나타낸 평면도이다. 본 실시예의 진공처리장치(100)에 있어서, 복수의 카세트블록(1)용 카세트 테이블(16A)이 연속된 일체적인 구성으로 형성되고, 복수의 대기 이송 로봇(9)은 연속 카세트 테이블상의 공통의 레일(95)상을 운행한다. 베이내 자동이송머신은 진공처리블록(2) 사이에 시료를 이송하도록 바 스토커(bar stoker)와 대기 이송 로봇(9) 사이에 배치된다. 이러한 경우에 있어서, 카세트블록(1)은 각각의 진공처리블록(2)에 대응하여 있고, 각각의 진공처리블록(2)에 대응하는 복수의 거의 직사각형의 블록이 접속되어 있다는 것이 고려된다.
도 18은 본 발명에 따른 생산라인의 일실시예의 구성을 나타낸 평면도이다. 본 발명에 따른 진공처리장치(100)가 L자형상 또는 T자형상이고, 비록 진공처리장치(100) 사이의 갭이 좁을지라도 진공처리블록(2) 사이에는 충분한 메인터넌스 공간(MS)이 유지될 수 있다.
한편, 만일 비교를 위해 도시된 종래의 직사각형 진공처리장치(800)에 충분한 메인터넌스 공간이 제공된다면, 진공처리장치 사이의 갭은 증가되어야 한다. 결과적으로, 동일 길이의 라인에 배치될 수 있는 진공처리장치의 수는 본 실시예에서 도시한 바와 같이 본 발명에 따른 진공처리장치(100)가 7개 인것에 비해 종래의 직사각형 진공처리장치(800)는 단지 5개 뿐이다. 진공처리장치의 수에 있어서의 둘의차이는 전체 반도체 생산라인을 고려할 때 상당한 것이고, 소정의 공간을 갖는 클린룸 내에 필요한 수의 장치를 배치하는 것과 푸트프린트(footprint)를 절약하는 것에 있어서 큰 차이로 된다. 자동이송머신을 갖는 베이영역으로부터 다음의 처리를 위한 베이영역으로의 시료 이송에 관해서는, 본 발명에 따른 진공처리장치가 사용될 때 7개의 진공처리장치에 대응하는 처리량은 1개의 베이영역의 한쪽을 사용하여 행해질 수 있다. 반면에, 종래의 장치가 사용될 때 단지 5개의 진공처리장치에 대응하는 처리량이 행해질 수 있다. 두 장치의 이러한 차이는 반도체 생산라인의 처리량의 향상에 크게 영향을 준다.
직사각형 진공처리장치(800)가 부분적으로 사용될 필요가 있는 경우가 있다. 이러한 경우에서도, 직사각형 진공처리장치(800)에 인접하여 본 발명에 따른 L자형상 또는 T자형상 진공처리장치(100)를 배치함으로써, 진공처리블록 사이에 적당한 메인터넌스 공간(MS)가 유지될 수 있다.
도 19는 본 발명에 따른 진공처리장치가 부분적으로 사용된 반도체 생산라인의 다른 실시예의 전체 구성을 나타낸 평면도이다. 상기 반도체 생산라인은 라인 자동이송머신(204)를 갖고, 각각의 베이영역(200A) 내지 (200N)과 상기 라인 자동이송머신 사이의 시료이송이 작업자에 의해 행해지는 라인 자동화 방식이다. 상기 시스템에 있어서는, 도 18의 실시예와 동일한 효과를 달성할 수 있다.
도 20은 본 발명에 따른 진공처리장치가 부분적으로 사용된 반도체 생산라인의 또 다른 실시예의 전체 구성을 나타낸 평면도이다. 상기 반도체 생산라인은 베이내 자동이송머신(202)과 라인 자동이송머신(204)을 구비하고, 각각의 베이영역내부 및 각각의 베이영역(200A) 내지 (200N)과 라인 자동이송머신(204) 사이에서 시료의 이송이 작업자 없이 행해지는 완전 자동화 방식이다. 이러한 경우에 있어서, L자형상 또는 T자형상 진공처리장치(100)를 서로 인접하게 배치하거나 본 발명에 따른 L자형상 또는 T자형상 진공처리장치(100)를 직사각형 진공처리장치(800)에 인접하게 배치하는 것에 의해, 진공처리블록 사이에 적당한 메인터넌스 공간(MS)이 유지될 수 있다.
상술한 실시예에서는, 카세트와 대기 이송 로봇이 대기환경 내에 배치되고 대기 이송 로봇이 대기환경 내에서 작동된다는 것이 설명되었다. 그러나, 도 21 및 도 22에 도시한 바와 같이, 카세트가 진공환경 내에 배치되고 이송로봇(10)이 진공환경 내에서 작동되는 것이 가능하다. 도 21은 2개의 카세트(12)가 배치된 실시예를 나타내고 도 22는 3개의 카세트(12)가 배치된 실시예를 나타낸다. 상기 두 경우에 있어서, 전체 진공처리장치는 T자형이다.
도 21 및 도 22에 있어서, 카세트(12) 내의 시료의 추출, 진공처리영역으로의 추출된 시료의 이송, 진공처리영역으로부터의 시료의 이송 및 상기 카세트 내의 본래의 위치로의 시료의 저장은 진공 이송 로봇(10)을 사용하여 진공환경하에서 행해진다. 이러한 경우에 있어서, 진공처리시스템에 관해서는 상술한 실시예에 있는 로드 록 실 및 언로드 록 실을 원칙적으로는 제공할 필요가 없다. 그러므로, 호스트 컴퓨터에 의해 연속적으로 갱신된 데이터 요소의 수는 로드 록 실 및 언로드 록 실을 위해 사용된 데이터 요소의 수 만큼 감축된다.
이 경우에 있어서, 카세트 내의 시료의 수납상태는 진공환경하에서 웨이퍼검사 수단에 의해 행해진다. 또, 처리전 시료의 오리엔테이션 조정 수단을 구비한 장치에 있어서, 오리엔테이션 조정은 진공환경하에서 행해진다.
또한, 카세트 및 진공처리영역 사이에 중간 카세트를 구비한 장치에 있어서, 카세트와 중간 카세트 사이에 시료를 이송하는 로봇 및 중간 카세트와 진공처리영역 사이에 시료를 이송하는 로봇이 제공된다.
이러한 진공처리시스템에 있어서는, 중간 카세트가 추가되기 때문에 호스트 컴퓨터에 의해 연속적으로 갱신된 데이터 요소의 수는 중간 카세트와 상기 로봇을 위해 사용된 데이터 요소의 수 만큼 증가된다.
또, 상술한 실시예에 있어서는, 시료의 처리된 표면은 상부이고 시료가 카세트에 수납되는 상태, 시료가 이송되는 상태 및 시료가 진공처리되는 상태는 수평으로 유지된다. 그러나, 시료의 다른 위치에서는 문제가 되지 않는다.
상술한 바와 같이, 본 발명에 따르면 대 직경 시료에 대처가능하고 생산비용의 증가를 억제하는 동시에 더욱 양호한 유지보수성을 갖는 것이 가능한 진공처리장치를 제공할 수 있다.
또, 본 발명에 따른 진공처리장치를 반도체 생산라인에 사용함으로써 대 직경 시료에 대처가능함과 동시에 진공처리장치의 필요한 설치 대수를 유지하여 생산비용의 증가를 억제하고 유지보수성의 저하를 막을 수 있는 반도체 생산라인을 제공할 수 있다.

Claims (4)

  1. 카세트 블록과 진공처리블록으로 구성되는 진공처리장치로서,
    상기 카세트 블록에는 상기 진공처리장치의 프론트부에 위치하여 시료를 수납한 카세트를 올려놓는 카세트내와 상기 시료를 반송하는 제 1 시료반송수단이 배치되고, 상기 제 1 시료반송수단은 상기 카세트대와 상기 진공처리블록 사이에 배치되며, 상기 카세트가 복수개 늘어세워진 방향을 따라서 수평이동하여 상기 복수개의 카세트 중 어느 하나 안에서 시료를 인출하여 상기 진공처리블록에 반송하고, 상기 진공처리블록에서 처리가 끝난 상기 시료를 상기 카세트에 반송하도록 구성되며,
    상기 진공처리블록에는 로드록실과 진공하에 있어서 상기 시료를 1매마다 처리하는 진공처리실과, 진공하에 있어서 상기 로드록실과 상기 진공처리실 사이에서 상기 시료를 반송하는 제 2 시료반송수단이 설치되고,
    복수개의 상기 카세트가 늘어세워진 상기 카세트 블록과 상기 진공처리블록의 평면형상을 각각 대략 직사각형으로 하며,
    상기 진공처리블록의 상기 제 1 시료반송수단의 수평이동방향을 따른 폭 치수(W2)를, 상기 카세트 블록의 상기 제 1 시료반송수단의 수평이동방향을 따른 폭 치수(W1)보다 작게 하고, 상기 진공처리장치의 평면형상을 L자형 또는 T자형으로 함으로써 상기 카세트 블록의 폭 치수 내에 메인터넌스 공간을 형성하고, 상기 진공처리실이 상기 제 2 시료반송수단의 뒤쪽에 배치되며, 이 제 2 시료반송수단의좌우 중 적어도 한쪽에 상기 메인터넌스 공간이 위치하도록 한 것을 특징으로 하는 진공처리장치.
  2. 제 1 항에 있어서,
    상기 로드록실은 로드측 로드록실과 언로드측 로드록실로 나누어 구성되어 있는 것을 특징으로 하는 진공처리장치.
  3. 베이영역 내에 복수개의 진공처리장치를 가지며, 상기 진공처리장치에 시료를 수납한 카세트를 공급 또는 회수하는 카세트반송수단이 상기 베이영역 내를 이동하는 반도체제조라인으로서,
    상기 복수개의 진공처리장치 중 적어도 하나의 진공처리장치는 카세트 블록과 진공처리블록으로 구성되고,
    상기 카세트 블록에는 상기 진공처리장치의 프론트부에 위치하여 시료를 수납한 카세트를 올려놓는 카세트내와 상기 시료를 반송하는 제 1 시료반송수단이 배치되고, 상기 제 1 시료반송수단은 상기 카세트대와 상기 진공처리블록 사이에 배치되며, 상기 카세트가 복수개 늘어세워진 방향을 따라서 수평이동하여 상기 복수개의 카세트 중 어느 하나 안에서 시료를 인출하여 상기 진공처리블록에 반송하고, 상기 진공처리블록에서 처리가 끝난 상기 시료를 상기 카세트에 반송하도록 구성되며,
    상기 진공처리블록에는 로드록실과 진공하에 있어서 상기 시료를 1매마다 처리하는 진공처리실과, 진공하에 있어서 상기 로드록실과 상기 진공처리실 사이에서 상기 시료를 반송하는 제 2 시료반송수단이 설치되고,
    복수개의 상기 카세트가 늘어세워진 상기 카세트 블록과 상기 진공처리블록의 평면형상을 각각 대략 직사각형으로 하며,
    상기 진공처리블록의 상기 제 1 시료반송수단의 수평이동방향을 따른 폭 치수(W2)를, 상기 카세트 블록의 상기 제 1 시료반송수단의 수평이동방향을 따른 폭 치수(W1)보다 작게 하고, 상기 진공처리장치의 평면형상을 L자형 또는 T자형으로 함으로써 상기 카세트 블록의 폭 치수 내에 메인터넌스 공간을 형성하고, 상기 진공처리실이 상기 제 2 시료반송수단의 뒤쪽에 배치되며, 이 제 2 시료반송수단의 좌우 중 적어도 한쪽에 상기 메인터넌스 공간이 위치하도록 한 것을 특징으로 하는 반도체제조라인.
  4. 제 3 항에 있어서,
    상기 진공처리장치의 상기 로드로실은 로드측 로드록실과 언로드측 로드록실로 나뉘어 구성되어 있는 것을 특징으로 하는 반도체제조라인.
KR1019960029009A 1995-07-19 1996-07-18 진공처리장치및이를사용한반도체생산라인 KR100440683B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020010042712A KR100453276B1 (ko) 1995-07-19 2001-07-16 진공처리장치 및 이를 사용한 반도체 생산라인

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP7-182921 1995-07-19
JP7182921A JPH0936198A (ja) 1995-07-19 1995-07-19 真空処理装置およびそれを用いた半導体製造ライン

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020010042712A Division KR100453276B1 (ko) 1995-07-19 2001-07-16 진공처리장치 및 이를 사용한 반도체 생산라인

Publications (2)

Publication Number Publication Date
KR970008334A KR970008334A (ko) 1997-02-24
KR100440683B1 true KR100440683B1 (ko) 2004-10-22

Family

ID=16126714

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1019960029009A KR100440683B1 (ko) 1995-07-19 1996-07-18 진공처리장치및이를사용한반도체생산라인
KR1020010042712A KR100453276B1 (ko) 1995-07-19 2001-07-16 진공처리장치 및 이를 사용한 반도체 생산라인

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020010042712A KR100453276B1 (ko) 1995-07-19 2001-07-16 진공처리장치 및 이를 사용한 반도체 생산라인

Country Status (7)

Country Link
US (18) US5855726A (ko)
EP (3) EP1119022B1 (ko)
JP (1) JPH0936198A (ko)
KR (2) KR100440683B1 (ko)
DE (3) DE69637878D1 (ko)
SG (1) SG52824A1 (ko)
TW (1) TW391987B (ko)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JPH1126541A (ja) * 1997-07-02 1999-01-29 Tokyo Electron Ltd 処理装置
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
KR100238251B1 (ko) * 1997-08-20 2000-01-15 윤종용 하나의 도포 및 현상을 수행하는 장치에 복수의 정렬 및 노광장치를 병렬적으로 인-라인시킨 포토리쏘그래피장치
JPH11129184A (ja) * 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
JP2974069B2 (ja) * 1997-09-25 1999-11-08 イノテック株式会社 半導体デバイスの製造装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6315512B1 (en) * 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP3363375B2 (ja) * 1998-03-18 2003-01-08 東京エレクトロン株式会社 基板搬送装置および基板処理装置
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
JP3665716B2 (ja) * 1998-09-28 2005-06-29 東京エレクトロン株式会社 処理システム
KR100586773B1 (ko) * 1998-09-28 2006-06-08 동경 엘렉트론 주식회사 처리시스템
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
DE19900804C2 (de) * 1999-01-12 2000-10-19 Siemens Ag Fördersystem
JP4302817B2 (ja) * 1999-05-13 2009-07-29 東京エレクトロン株式会社 真空処理システム
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
DE19952195A1 (de) * 1999-10-29 2001-05-17 Infineon Technologies Ag Anlage zur Bearbeitung von Wafern
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
US7058627B2 (en) * 2000-04-25 2006-06-06 Brooks Automation, Inc. Reticle management system
US20010043989A1 (en) * 2000-05-18 2001-11-22 Masami Akimoto Film forming apparatus and film forming method
US6732003B1 (en) * 2000-08-07 2004-05-04 Data I/O Corporation Feeder/programming/loader system
US6906109B2 (en) 2000-09-01 2005-06-14 Chemical Products Corp. Method for controling uniformity of colloidal silica particle size
KR20070037517A (ko) * 2000-09-15 2007-04-04 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
WO2002059933A2 (en) * 2001-01-22 2002-08-01 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US20040111339A1 (en) * 2001-04-03 2004-06-10 Asyst Technologies, Inc. Distributed control system architecture and method for a material transport system
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
JP2002319609A (ja) 2001-04-19 2002-10-31 Hitachi Ltd 半導体集積回路装置の製造方法
KR100407568B1 (ko) * 2001-06-01 2003-12-01 삼성전자주식회사 장치설치영역 내에 지지대를 갖는 반도체 제조 장치
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
CN1996552B (zh) * 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP4327599B2 (ja) * 2001-11-29 2009-09-09 ダイアモンド セミコンダクタ グループ エルエルシー ウエーハ取り扱い装置及び方法
JP2003188229A (ja) * 2001-12-18 2003-07-04 Hitachi Kasado Eng Co Ltd ウエハ製造システムおよびウエハ製造方法
US6910847B1 (en) * 2002-07-19 2005-06-28 Nanometrics Incorporated Precision polar coordinate stage
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US6822244B2 (en) * 2003-01-02 2004-11-23 Loma Linda University Medical Center Configuration management and retrieval system for proton beam therapy system
US7472737B1 (en) * 2003-01-15 2009-01-06 Leannoux Properties Ag L.L.C. Adjustable micro device feeder
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7163586B2 (en) * 2003-11-12 2007-01-16 Specialty Coating Systems, Inc. Vapor deposition apparatus
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
DE102004035335A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
DE102004035336A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
US7428958B2 (en) * 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
TWI447840B (zh) * 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP4688533B2 (ja) * 2005-03-18 2011-05-25 大日本スクリーン製造株式会社 基板処理装置
TWI277461B (en) * 2004-12-24 2007-04-01 Dainippon Screen Mfg Substrate treating apparatus
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7951412B2 (en) * 2006-06-07 2011-05-31 Medicinelodge Inc. Laser based metal deposition (LBMD) of antimicrobials to implant surfaces
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080219807A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
JP4989398B2 (ja) * 2007-09-27 2012-08-01 大日本スクリーン製造株式会社 基板処理装置
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
JP4406666B2 (ja) * 2008-02-20 2010-02-03 シャープ株式会社 真空処理装置および真空処理工場
JP5341492B2 (ja) * 2008-12-17 2013-11-13 シスメックス株式会社 検体処理システム、検体処理方法およびコンピュータプログラム
KR101545193B1 (ko) 2009-02-22 2015-08-18 마퍼 리쏘그라피 아이피 비.브이. 하전 입자 리소그래피 장치 및 진공 챔버 내에서 진공을 생성하는 방법
JP5539406B2 (ja) * 2009-02-22 2014-07-02 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフィマシン及び基板処理構成体
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP4766500B2 (ja) * 2009-08-26 2011-09-07 シャープ株式会社 真空処理装置、および真空処理工場
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103930984B (zh) * 2011-11-23 2016-09-21 日本电产三协株式会社 工件搬运系统
JP5314789B2 (ja) * 2012-06-13 2013-10-16 株式会社日立製作所 真空処理装置及び真空処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
EP3512978A4 (en) * 2016-09-16 2020-05-13 Picosun Oy DEVICE AND METHOD FOR ATOMIC LAYER DEPOSITION
US11106145B2 (en) * 2016-10-17 2021-08-31 Nikon Corporation Exposure system and lithography system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018126795A (ja) * 2017-02-06 2018-08-16 セイコーエプソン株式会社 ロボットシステム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
CN108315695B (zh) * 2018-05-04 2023-11-17 苏州东福来机电科技有限公司 一种智能真空镀膜机构
CN108385081B (zh) * 2018-05-04 2024-01-12 华仪行(北京)科技有限公司 一种双仓自动镀膜装置
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020214785A1 (en) * 2019-04-18 2020-10-22 Lam Research Corporation High density, controlled integrated circuits factory
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TWI770537B (zh) * 2020-06-23 2022-07-11 志聖工業股份有限公司 雙面曝光設備及曝光裝置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4317020A1 (en) 2021-03-29 2024-02-07 Fuyo Jitsugyo Co., Ltd. Work unit replacement system and work unit replacement station
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04108531A (ja) * 1990-08-29 1992-04-09 Hitachi Ltd 真空処理装置及びその運転方法
JPH06236914A (ja) * 1993-02-09 1994-08-23 Mitsubishi Electric Corp 半導体製造設備

Family Cites Families (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS472971U (ko) 1971-01-27 1972-09-01
US4314582A (en) * 1976-03-23 1982-02-09 Mordeki Drori Combined pressure-regulator and manual shut-off valve
JPS55141570A (en) * 1979-04-18 1980-11-05 Anelva Corp Dry etching apparatus
JPS5619635A (en) * 1979-07-27 1981-02-24 Hitachi Ltd Manufacturing apparatus
DE2940064A1 (de) * 1979-10-03 1981-04-16 Leybold-Heraeus GmbH, 5000 Köln Vakuumaufdampfanlage mir einer ventilkammer, einer bedampfungskammer und einer verdampferkammer
US4341582A (en) 1980-12-22 1982-07-27 The Perkin-Elmer Corporation Load-lock vacuum chamber
US4500407A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Disk or wafer handling and coating system
US4861222A (en) * 1984-03-09 1989-08-29 Tegal Corporation Cassette elevator for use in a modular article processing machine
JPS60246635A (ja) * 1984-05-22 1985-12-06 Anelva Corp 自動基板処理装置
JPS618153A (ja) * 1984-06-22 1986-01-14 Mitsubishi Heavy Ind Ltd スプレ−ノズル
JPS61105853A (ja) * 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5280983A (en) * 1985-01-22 1994-01-25 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5004924A (en) * 1985-01-28 1991-04-02 Tokyo Electron Limited Wafer transport apparatus for ion implantation apparatus
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
JPS63369A (ja) 1986-06-19 1988-01-05 Nippon Shokubai Kagaku Kogyo Co Ltd 新規塗料用樹脂およびそれを含んでなる塗料用樹脂組成物
US4676884A (en) * 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
NZ222903A (en) * 1986-12-17 1990-07-26 Focas Ltd Optical fibre connector: heat shrinkable sleeve
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
JPS63209702A (ja) 1987-02-25 1988-08-31 Ishikawajima Harima Heavy Ind Co Ltd 結晶缶の運転方法
JPS6464231A (en) * 1987-09-03 1989-03-10 Toshiba Corp Conveyor
JP2958005B2 (ja) 1988-01-22 1999-10-06 富士通株式会社 不等間隔データファイルのアクセス方法
KR970003907B1 (ko) * 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5177514A (en) * 1988-02-12 1993-01-05 Tokyo Electron Limited Apparatus for coating a photo-resist film and/or developing it after being exposed
JPH01225321A (ja) 1988-03-04 1989-09-08 Mitsubishi Electric Corp 半導体ウエハの処理装置
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
JPH01305533A (ja) 1988-06-03 1989-12-08 Toshiba Corp 搬送装置
US4857160A (en) * 1988-07-25 1989-08-15 Oerlikon-Buhrle U.S.A. Inc. High vacuum processing system and method
US5024570A (en) * 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5017915A (en) 1988-09-19 1991-05-21 Dang Mieu Hong Method of enhancing communication setup between a communication station and a telecommunications network
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5019233A (en) * 1988-10-31 1991-05-28 Eaton Corporation Sputtering system
US5217340A (en) * 1989-01-28 1993-06-08 Kokusai Electric Co., Ltd. Wafer transfer mechanism in vertical CVD diffusion apparatus
US5868854A (en) 1989-02-27 1999-02-09 Hitachi, Ltd. Method and apparatus for processing samples
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
JPH02234095A (ja) 1989-03-08 1990-09-17 Ishikawajima Harima Heavy Ind Co Ltd 原子炉圧力容器の蒸気逃し管系の耐圧試験方法
US5110248A (en) * 1989-07-17 1992-05-05 Tokyo Electron Sagami Limited Vertical heat-treatment apparatus having a wafer transfer mechanism
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
WO1991004213A1 (en) * 1989-09-12 1991-04-04 Rapro Technology, Inc. Automated wafer transport system
JPH03154751A (ja) * 1989-11-08 1991-07-02 Hitachi Ltd 多品種搬送方法及び装置
JP2893882B2 (ja) 1990-07-11 1999-05-24 三菱電機株式会社 アクティブフィルタ装置
JPH0471692A (ja) 1990-07-12 1992-03-06 Hitachi Ltd 微生物撮像装置
JP3128229B2 (ja) 1990-07-13 2001-01-29 ソニー株式会社 液晶ディスプレイ装置
TW221318B (ko) * 1990-07-31 1994-02-21 Tokyo Electron Co Ltd
KR100212819B1 (en) * 1990-08-29 1999-09-01 Hitachi Ltd Transferring system and vacuum treating apparatus and method thereby
US5436848A (en) * 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2525284B2 (ja) * 1990-10-22 1996-08-14 ティーディーケイ株式会社 クリ―ン搬送方法及び装置
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04247632A (ja) 1991-02-01 1992-09-03 Fujitsu Ltd 半導体装置
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
JP2986121B2 (ja) * 1991-03-26 1999-12-06 東京エレクトロン株式会社 ロードロック装置及び真空処理装置
JPH04298060A (ja) * 1991-03-26 1992-10-21 Tokyo Electron Ltd ウエハの位置合わせ装置
JPH04298059A (ja) 1991-03-27 1992-10-21 Hitachi Ltd 真空処理装置
US5271732A (en) * 1991-04-03 1993-12-21 Tokyo Electron Sagami Kabushiki Kaisha Heat-treating apparatus
US5326316A (en) * 1991-04-17 1994-07-05 Matsushita Electric Industrial Co., Ltd. Coupling type clean space apparatus
JPH0521466A (ja) 1991-07-16 1993-01-29 Nec Kansai Ltd 電界効果型トランジスタの製造方法
JP3309997B2 (ja) * 1991-09-05 2002-07-29 株式会社日立製作所 複合処理装置
US5215420A (en) * 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
JPH05136245A (ja) 1991-11-08 1993-06-01 Tokyo Electron Ltd 半導体製造装置
US5256204A (en) * 1991-12-13 1993-10-26 United Microelectronics Corporation Single semiconductor water transfer method and manufacturing system
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
US5468111A (en) * 1992-01-22 1995-11-21 Seagate Technology, Inc. Disc loading and unloading assembly
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JPH0630369A (ja) * 1992-02-06 1994-02-04 Nec Corp 遅延画像データ出力ビデオカメラ
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JP3030160B2 (ja) * 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP3046678B2 (ja) 1992-07-15 2000-05-29 松下電工株式会社 2段駐車装置
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
JPH0653304A (ja) 1992-07-29 1994-02-25 Tokyo Electron Ltd 減圧処理装置
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
JP3350107B2 (ja) 1992-09-17 2002-11-25 株式会社日立製作所 枚葉式真空処理装置
JP2655975B2 (ja) * 1992-09-18 1997-09-24 三菱マテリアル株式会社 ウェーハ研磨装置
JP3151582B2 (ja) * 1993-04-28 2001-04-03 東京エレクトロン株式会社 真空処理装置
JP3172331B2 (ja) * 1993-04-28 2001-06-04 東京エレクトロン株式会社 真空処理装置
JPH06155697A (ja) 1992-11-19 1994-06-03 Toppan Printing Co Ltd カタログ類等の文字割付装置
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
EP0608620B1 (en) * 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
JP3332982B2 (ja) * 1993-03-19 2002-10-07 東京エレクトロン株式会社 基板処理システムおよびキャリア搬送装置
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5466117A (en) * 1993-06-10 1995-11-14 Xilinx, Inc. Device and method for programming multiple arrays of semiconductor devices
JP2969034B2 (ja) * 1993-06-18 1999-11-02 東京エレクトロン株式会社 搬送方法および搬送装置
JPH0722490A (ja) * 1993-06-30 1995-01-24 Mitsubishi Electric Corp ロット自動編成装置及び方法
TW264601B (ko) 1993-09-17 1995-12-01 Hitachi Seisakusyo Kk
US5570990A (en) * 1993-11-05 1996-11-05 Asyst Technologies, Inc. Human guided mobile loader stocker
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
DE69403890T2 (de) * 1994-01-14 1998-01-08 Ibm Zusammenbau-/Ausbau-Einrichtung für abdichtbaren unter Druck stehenden Transportbehälter
JP3264076B2 (ja) * 1994-01-31 2002-03-11 松下電器産業株式会社 真空処理装置
US5645419A (en) * 1994-03-29 1997-07-08 Tokyo Electron Kabushiki Kaisha Heat treatment method and device
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5826129A (en) * 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3453223B2 (ja) * 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JPH0864732A (ja) 1994-08-26 1996-03-08 Mitsubishi Electric Corp 半導体集積回路装置
KR0152324B1 (ko) 1994-12-06 1998-12-01 양승택 웨이퍼 측면파지 이송 반도체 제조장치
TW315504B (ko) * 1995-03-20 1997-09-11 Tokyo Electron Co Ltd
JPH08288355A (ja) * 1995-04-12 1996-11-01 Nikon Corp 基板搬送装置
US5672239A (en) * 1995-05-10 1997-09-30 Tegal Corporation Integrated semiconductor wafer processing system
TW319751B (ko) * 1995-05-18 1997-11-11 Toshiba Co Ltd
JP3347528B2 (ja) * 1995-05-23 2002-11-20 キヤノン株式会社 半導体製造装置
TW309503B (ko) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5653565A (en) * 1995-07-05 1997-08-05 Asyst Technologies, Inc. SMIF port interface adaptor
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
JP3658110B2 (ja) * 1995-11-27 2005-06-08 キヤノン株式会社 画像表示装置のための製造方法及び製造装置
US5830322A (en) * 1996-02-13 1998-11-03 Thermo Fibertek Inc. Velocity induced drainage method and unit
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
TW466622B (en) * 1996-09-11 2001-12-01 Hitachi Ltd Operating method of vacuum processing device and vacuum processing device
JP3788533B2 (ja) * 1996-09-30 2006-06-21 東京エレクトロン株式会社 研磨装置および研磨方法
JPH10107122A (ja) * 1996-10-01 1998-04-24 Tokyo Electron Ltd 被処理基板カセットの搬入装置
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US5810395A (en) * 1996-12-30 1998-09-22 Morgan; Dale C. Method for recording and tracking the progress of activities
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6057662A (en) * 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
JP4674705B2 (ja) * 1998-10-27 2011-04-20 東京エレクトロン株式会社 搬送システムの搬送位置合わせ方法及び搬送システム
TW418429B (en) * 1998-11-09 2001-01-11 Tokyo Electron Ltd Processing apparatus
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2001127044A (ja) * 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP4915033B2 (ja) * 2000-06-15 2012-04-11 株式会社ニコン 露光装置、基板処理装置及びリソグラフィシステム、並びにデバイス製造方法
JP2002026108A (ja) * 2000-07-12 2002-01-25 Tokyo Electron Ltd 被処理体の移載機構、処理システム及び移載機構の使用方法
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US6672864B2 (en) * 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP2003264214A (ja) * 2002-03-07 2003-09-19 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
JP4254116B2 (ja) * 2002-03-22 2009-04-15 東京エレクトロン株式会社 位置合わせ用基板
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
AU2003277790A1 (en) * 2002-11-15 2004-06-15 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
KR100562500B1 (ko) * 2003-02-25 2006-03-21 삼성전자주식회사 기판 이송 시스템 및 기판 이송 방법
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7226269B2 (en) * 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
KR100558558B1 (ko) * 2004-01-26 2006-03-10 삼성전자주식회사 멀티챔버 프로세스장치
JP4128973B2 (ja) * 2004-03-30 2008-07-30 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04108531A (ja) * 1990-08-29 1992-04-09 Hitachi Ltd 真空処理装置及びその運転方法
JPH06236914A (ja) * 1993-02-09 1994-08-23 Mitsubishi Electric Corp 半導体製造設備

Also Published As

Publication number Publication date
US20080138180A1 (en) 2008-06-12
US6188935B1 (en) 2001-02-13
DE69637878D1 (de) 2009-04-30
US20010025207A1 (en) 2001-09-27
US6253117B1 (en) 2001-06-26
US20020062166A1 (en) 2002-05-23
US6752579B2 (en) 2004-06-22
US6430469B2 (en) 2002-08-06
SG52824A1 (en) 1998-09-28
US20040197169A1 (en) 2004-10-07
US6962472B2 (en) 2005-11-08
DE69636872D1 (de) 2007-03-15
US20020068982A1 (en) 2002-06-06
US20020082744A1 (en) 2002-06-27
DE69636872T2 (de) 2007-09-20
JPH0936198A (ja) 1997-02-07
US20020062165A1 (en) 2002-05-23
EP1143488B1 (en) 2009-03-18
EP1119022A3 (en) 2003-10-15
KR100453276B1 (ko) 2004-10-15
DE69633487T2 (de) 2005-11-17
US20050175435A1 (en) 2005-08-11
US20090220322A1 (en) 2009-09-03
US6895685B2 (en) 2005-05-24
EP0756316B1 (en) 2004-09-29
US6752580B2 (en) 2004-06-22
US6526330B2 (en) 2003-02-25
US6519504B1 (en) 2003-02-11
US20040118005A1 (en) 2004-06-24
US20020061244A1 (en) 2002-05-23
US20010025204A1 (en) 2001-09-27
DE69633487D1 (de) 2004-11-04
EP1143488A2 (en) 2001-10-10
US20020099469A1 (en) 2002-07-25
US7201551B2 (en) 2007-04-10
US5855726A (en) 1999-01-05
KR970008334A (ko) 1997-02-24
EP0756316A1 (en) 1997-01-29
EP1143488A3 (en) 2003-10-29
US7347656B2 (en) 2008-03-25
EP1119022A2 (en) 2001-07-25
TW391987B (en) 2000-06-01
US20020091465A1 (en) 2002-07-11
EP1119022B1 (en) 2007-01-24

Similar Documents

Publication Publication Date Title
KR100440683B1 (ko) 진공처리장치및이를사용한반도체생산라인
EP2092556A1 (en) Removable compartments for workpiece stocker
US6672819B1 (en) Vacuum processing apparatus and semiconductor manufacturing line using the same
JP4369159B2 (ja) 真空処理装置
JP3469230B2 (ja) 真空処理装置
JP2007208284A (ja) 真空処理装置における真空処理方法
JP3512404B2 (ja) 真空処理装置および試料の真空処理方法
KR100245650B1 (ko) 반도체 제조라인의 계측시스템
JP2003086650A (ja) 真空処理装置およびそれを用いた半導体製造ラインおよび試料の真空処理方法
JP2003115524A (ja) 試料の真空処理方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130621

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140630

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150619

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 13

EXPY Expiration of term