TW391987B - Vacuum processing apparatus and semiconductor manufacturing line using the same - Google Patents

Vacuum processing apparatus and semiconductor manufacturing line using the same Download PDF

Info

Publication number
TW391987B
TW391987B TW085108094A TW85108094A TW391987B TW 391987 B TW391987 B TW 391987B TW 085108094 A TW085108094 A TW 085108094A TW 85108094 A TW85108094 A TW 85108094A TW 391987 B TW391987 B TW 391987B
Authority
TW
Taiwan
Prior art keywords
area
vacuum processing
sample
box
vacuum
Prior art date
Application number
TW085108094A
Other languages
English (en)
Inventor
Minoru Soraoka
Ken Yoshioka
Yoshinao Kawasaki
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TW391987B publication Critical patent/TW391987B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T70/00Locks
    • Y10T70/50Special application
    • Y10T70/5611For control and machine elements
    • Y10T70/5757Handle, handwheel or knob
    • Y10T70/5765Rotary or swinging
    • Y10T70/577Locked stationary
    • Y10T70/5792Handle-carried key lock
    • Y10T70/5796Coaxially mounted
    • Y10T70/5801Axially movable bolt
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T70/00Locks
    • Y10T70/50Special application
    • Y10T70/5611For control and machine elements
    • Y10T70/5757Handle, handwheel or knob
    • Y10T70/5765Rotary or swinging
    • Y10T70/5805Freely movable when locked

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

經濟部中央標準局員工消费合作社印裝 A7 _______B7____ 五、發明説明(1 ) 發明背景 發明領域 本發明係關於真空處理裝置,特別是關於對諸如s i 基底之半導體基底樣品執行諸如蝕刻、化學氣相沈積( c V D )、濺射、成灰、清洗等處理之真空處理裝置,並 有關於使用真空處理裝置以製造半導體裝置之半導體生產 線》 相關技藝說明 概略言之,真空處理裝置係由匣區及真空處理區所構 成。匣區具有面對半導體生產線的機台通道及朝向半導體 生產線之縱向延伸的前部、用於樣品的直方向或樣品方向 對準之對準單元、大氣壓力環境下操作的機器人。真空區 具有負載側中的負載鎖室、卸載側之負載鎖室、處理室、 後置處理室、真空泵及真空環境下操作的機器人· 在真空處理裝置中,取自匣區中的匣之樣品會由大氣 傳送機器人傳送至真空處理區的負載鎖室。樣品會由大氣 傳送機器人由負載鎖室進一步傳送至處理室,並置於電極 結構本體上,以接受諸如電漿處理之處理。然後,假使需 要的話,樣品會被傳送至後置處理室被處理之。已處理過 的樣品會由真空傳送機器人及大氣傳送機器人傳送至匣區 中的匣· 舉例而言,日本專利公告號6 1 — 8 1 5 3、日本專 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) I---------1------IT------^ (請先閱讀背面之注意事寫本頁) -4 - 經濟部中央標準局員工消費合作社印裝 A7 ____B7__ 五、發明説明(2 ) 利申請公開號61 - 133532、日本專利公告號6-30369、日本專利申請公開號6 -314729、曰 本專利申請公開號6 — 3 1 4 7 3 0及美國專利5, 3 1 4,5 0 9等文獻,揭示對樣品執行電漿蝕刻之真空 處理裝置。 在上述傅統的真空處理裝置中,處理室及負載鎖室係 同心配置或以長方形配置。舉例而言,在美國專利5, 3 1 4,5 0 9所揭示的裝置中,真室傳室機器人會配置 於靠近真空處理區中心處,且三個處理室會圍繞真空傳送 機器人同心地配置》會於真空傳送機器人與匣區之間設置 負載側中的負載鎖室及卸載側中的負載鎖室*在這些裝置 中*由於大氣傳送機器人與真空傳送機器人的傳送臂之旋 轉角度很大,所以,會存有整個裝置所需的安裝區過大之 問題· 另一方面,真空處理區和真空泵中的處理室及真空處 理裝置的其它多種管線元件將需要諸如定時及不定時的檢 査或修理等維護。因此* —般而言,會延著真空處理區設 置門,以便藉由打開這些門而檢査及修理負載鎖室、卸載 鎖室、處理室、真空傳送機器人及不多種管路元件· 在傳統的真空處理裝置,即使要處理的樣品之直徑d 小於8吋(約200mm)且匣Cwr的外部尺寸約爲2 5 0 mm時,仍有安裝區過大之問題。此外,在處理直徑d大 於12吋(約300mm)之樣品時,匣Cw的尺寸會變成 約3 5 0mm ·因此,含有眾多匣的匣區宽度會欒大•假 本紙張尺度適用中國國家標準(CNS ) Λ4规烙(2!()Χ297公釐) -----------批衣------1T------^ (請先閲讀背面之注意事?填寫本頁) A7 A7 經濟.部中央標準局員工消費合作社印製 ___B7_ 五、發明説明(3 ) 使根據匣區寬度而決定真空處理區的寬度時,整個真空處 理裝置將需要大的安裝區。以含有四個匣的匣區爲例,當 樣品直徑d從8吋增加至1 2吋時,匣區的寬度將不得不 增加至少約40cm。 另一方面,在一般的半導體生產線上,爲執行大量的 樣品及多種處理,執行相同處理的眾多真空處理裝置將集 中在一機台中,而且,機台間的傳送係以自動化方式或人 工方式執行的•由於此種半導體生產線要求高清潔度,所 以整個半導體生產線會安裝於大的無塵室內。因要處理的 樣品直徑增加而使真空處理裝置的尺寸增加,將導致無塵 室的安裝面積增加,而進一步增加本來就具有高建造成本 的無塵室之建造成本。假使需要較大安裝面稹的真空處理 裝置被安裝於相同面稹的無塵室中時,將不得不減少真空 處理裝置的總數或減少真空處理裝置之間的間隔。在相同 面稹的無塵室中減少真空處理裝置的總數,必然會降低半 導體生產線的產能並增加半導體裝置的製造成本。另一方 面,真空處理裝置之間的間隔減少,將因缺乏檢査及修理 所需的維修空間而減少真空處理裝置的維修率。 發明概述 本發明的目的係提供一種可以處理較大直徑的樣品並 同時使製造成本最少之真空處理裝置。 本發明的另一目的係提供一種可以處理較大直徑的樣 品並同時具有較佳維修力的真空處理裝置。 -----^------'玎------0 (請先閱讀背面之注意事填寫本頁) 本纸張尺度適用中國國家標準(CNS ) Λ4规格(210X 2〖)7公釐) 6 經濟部中央標準局員工消費合作社印製 A7 __________B7__ 五、發明説明(4 ) 本發明的進一步目的係提供一種半導體生產線,其可 以藉由保持所需數目的真空處理裝置及不減少維修力的情 形下提供更多的經濟使用空間,而處理較大直徑的樣品並 同時使製造成本最小。 爲取得上述目的,本發明提供一種由匣區及真空處理 區所構成的真空處理裝置,其中,匣區具有匣盤,用以安 裝含有樣品之匣,且真空處理區具有處理樣品之處理室及 傳送樣品之真空傳送機構•在真空處理裝置,該匣區及該 真空處理區以平面視之幾乎爲長方形且滿足W1 —W2 2 Cw關係式,其中W1爲該匣區之寬度,W2爲該真空處 理區的寬度,且Cw爲一匣的寬度· . 本發明的另一特性爲匣區寬度設計成大於真空處理裝 置的寬度,且真空處理裝置以平面視之爲L形或T形· 本發明的進一步特性爲包括眾多機台區的半導體生產 線,這些機台區具有匣區與真空處理區所構成的眾多真空 處理裝置,這些真空處理裝係依生產製程而依序配置,且 匣區具有匣盤以安裝含有樣品之匣,而真空處理區具有對 樣品執行真空處理之處理室及傳送樣品之真空傳送機構· 在半導體生產線中,至少一真空處理裝置會設計成匣區可 含有直徑不小於3 0 Omm之樣品並滿足W1 -W22 Cw關係式,其中W1爲匣區寬度,W2爲真空處理區之 寬度,及Cw爲一匣之寬度。 本發明的又一進一步特性爲建造半導體生產線之方法 ,該生產線包括可含有直徑不小於3 0 0mm的樣品之匣 本紙張K度適用中國國家標準(CNS > ,\4»見格U10X297公釐)一 7 _ --------1¾衣------1T------^ (請先閱讀背面之注意事填寫本頁) 經濟部中央標準局員工消費合作社印t A7 B7 五、發明説明(5 ) 區及對該樣品執行真空處理的真空處理區所構成的眾多真 空處理裝置*在建造半導體生產線的方法中,至少—真空 處理裝置會設計成匣區寬度大於真空處理區的寬度,且真 空處理裝置以平面視之爲L形或T形,而維修空間會設於 L形或T形真空處理裝置與相鄰真空處理裝置之間。 根據本發明,匣區及真空處理區的平面形狀爲長方形 ,且匣區與真空處理區會設計成滿足W1 >W2,其中, W1爲匣區寬度且W2爲真空處理區之寬度。因此,整個 真空處理裝置的平面視圖會爲L形或T形。在配置很多此 種真空處理裝置之情形下,即使彼此相鄰的真空處理裝置 之間的間隔很小,依然可於彼此相鄰的真空處理區之間提 供足夠的空間•舉例而言,當W1爲1. 5m且界2爲 0. 8m時,可於彼此相鄰的真空處理裝置之間提供 0. 7m的維修空間。 因此,雖然有較大直徑的樣品,安裝於面積與傳統無 塵室相同的無塵室中的真空處理裝置數目無須減少。因此 ,半導體生產線的產能不會降低。因此,可以提供可處理 較大直徑樣品並同時抑制成本增加且具有較佳維修力之真 空處理裝置· 此外,於半導體生產線中使用根據本發明的真空處理 裝置,將可以提供一種半導髖生產線,其可以藉由保持所 需數目的真空處理裝置及不減少維修力的情形下提供更多 的經濟使用空間,而處理較大直徑的樣品並同時使製造成 本最小。 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2Ι()Χ 2()7公釐)_ a _ -----;----装------、訂------^ (請先閲讀背面之注意事寫本頁) A7 B7 經濟部中央標率局員工消費合作社印製 五、發明説明( 6 ) 1 1 1 圖式簡述 \ 1 1 圖 1係 外 部 透 視 圖 顯 示 根 據本 發 明 的真 空處 理 裝 置 1 I 請 1 1 的實施 例。 先 閱 1 I 讀 1 圖 2係 垂 直 剖 面 視 圖 顯 示 圖1 的 裝 置之 主部份 〇 背 A 1 1 之 1 豳 3係 視 圖 顯 示 真 空 處 理 裝置 構 造 的圖 2之 注 1 1 I I I -I I I 剖 面 視 圖 〇 1 1 圖 4係 剖 面 視 圖 t 顯 示 圖 2 的I V 一 IV 剖面 • 填 寫 本 1 裝 圖 5係 平 面 視 圖 » 顯 示 具有根據本 發 明之 真空 處 理 裝 頁 1 1 置的半 導體 生 產 線 之 機 台 蓝 0 1 1 圖 6係 顯 示 根 據 本 發 明 的 半 導體 生 產 線之 實施 例 中 樣 1 | 品流程 0 訂 I 圖 7係 視 圖 顯 示 真 空 處理 區的 尺 寸與匣 區尺 寸 之 間 1 1 | 關係· 1 1 1 圖 8係 視 圖 解釋傳統 真 空 處理 裝 置 的實 施例 之 結 構 1 1 〇 線 I 圖 9係 平 面 視 圖 9 顯 示 傳 統 真空 處 理 裝置 的實 施 例 之 1 I 結構。 1 I 圖 10 係 平 面 視 圖 > 顯 示 根 據本 發 明 的真 空處 理 裝 置 1 I 內部的 不同 元 件 之 相 對 關 係 的 實 施例 〇 1 1 1 圓 11 係 視 圖 » 顯 示 根 據 本 發明 的 真 空處 理裝 置 之 另 1 1 1 一實施 例的 平 面 結構 0 1 1 圖 12 係 透 視 η , 顯 示 圖 1 1的 真 空 處理 裝置 〇 1 1 圖 13 係 顯 示 根 據 本 發 明 之 真空 處 理 裝置 的另 — 實 施 1 1 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2丨0X297公釐) 經濟部中央標準局員工消费合作社印紫 A7 B7 五、發明説明(7 ) 例之平面結構· 圖1 4係顯示根據本發明之真空處理裝置的另一實施 例之平面結構· 圖1 5係顯示根據本發明之真空處理裝置的另一實施 例之平面結構。 圖1 6平面視圖,顯示根據本發明的機台區之另一實 施例。 圖1 7平面視圖,顯示根據本發明的機台區之另一實 施例* 囫1 8係平面視圖顯示根據本發明之半導體生產線的 的實施例之結構。 圓1 9係平面視圔顯示根據本發明之半導體生產線的 的實施例之結構· 圖2 0係平面視圖顯示根據本發明之半導體生產線的 的實施例之結構。 圖21係顯示根據本發明之真空處理裝置的另一實施 例之平面結構。 圖2 2係顯示根據本發明之真空處理裝置的另一實施 例之平面結構。 較佳實施例詳述 將參考_1至圈4,於下詳述根據本發明的真空處理 裝置之實施例•如圖1所示,每一真空處理裝置1 00係 由長方塊狀匣面1及長方塊狀真空處理區2所構成·每一 衣紙悵尺度適用中國國家標準(CNS ) Λ4坭格(210Χ 297公釐) ----------^------1T------..線 (請先閲讀背面之注意事寫本頁) -10 - 經濟部中央標準局員工消費合作社中裝 A7 B7 五、發明説明(8 ) 匣區1及真空處理區2的平面形狀爲長方形,且由此二者 所形成的整個平面形狀係爲L形。匣區1係面對半導體生 產線之機台通道並於機台通道的側向延伸,且在匣區前側 有一匣盤,用於自機台區及操作面板1 4接收含有樣品之 匣1 2及將含有樣品之匣1 2送至區台及操作面板1 4。 安裝於®蓝1背側的真空處理區會於垂直匣區1的方向延 伸並含有不同種類之裝置,用以執行真空處理及傳送裝置 〇 如圖2至圖4所示,在匣區1中會有傳送樣品之大氣 機器人及固持樣品的匣1 2 *樣品匣1 2係產品樣品匣 1 2A、1 2B、1 2C及很多仿樣品匣1 2D ·假使観 要的話,用於樣品之方向調整器會靠近匣1 2。匣1 2僅 含有產品樣品或產品及仿樣品·用以檢査外在物質及/或 用以清潔之樣品會包含於匣的最上級及/或最下級· 在真空處理區2中,會有負載側負載區室4、卸載側 負載鎖室5、處理室6、後置處理室7、真空泵8及真空 傳送機器1 0。參考字元1 3是用於蝕刻之放電機構,而 1 4是用於後處理之放電機構(成灰)。 在大氣傳送機器人9係以可移動方式安裝於與匣區1 內的匣盤1 6平行之軌9 2之上,以傳送樣品1予負載側 中的匣1 2和負載鎖室4及卸載側中的負載鎖室。真空傅 送機器人1 0會將樣品3自負載側中的負載鎖5傳送至處 理室6及在處理室6、卸載側中的負載鎖室5和後處理室 7之間傳送樣品3。本發明係以處理直徑大於1 2吋( 本紙浪尺度適州中國國家標準(C’NS ) Λ4規格(210:< 297公釐) (請先閲讀背面之注意事寫本頁) 裝· 訂 -11 - A7 A7 經濟部中央標準局貝工消费合作社印製 __B7 五、發明説明(9 ) 幾乎3 0 Omm)之樣品爲基礎•當樣品直徑爲1 2时時 ,匣的外部尺寸Cw幾乎爲3 5 0mm至3 6 0mm · 處理室6會一個接一個處理樣品3,並且,舉例而言 ,係爲執行電漿蝕刻之室,且置於真空處理區2的左上方 中。負載側中的負載鎖室4及卸載側中的負載鎖室5會隔 著真空傳送機器人,置於處理室6中的相對側,兩者均會 置於真空處理區2的較低位置。後處理室7係對一個接一 個被處理過且置於面對卸載側中的負載鎖室5之真空處理 區2的中間位置處的樣品3執行後置處理之室。 大氣傳送機器人9具有可延伸臂91,該臂91係設 計成機器人於軌道9 2上移動時•延伸臂的延伸及收縮的. 軌跡會變成含有裝載器中的匣12及負載側中的負載鎖室 4和卸載側中的負載鎖室5等軌跡。真空傳送機器人1 0 具有可延伸臂1 0 1,該可延伸臂1 0 1會設計成旋轉軌 跡變成含有負載側中的負載鎖室4之軌跡,並置於真空處 理區2中•因此,真空傳送機器人的可延伸臂1 0 1會安 裝成旋轉軌跡包含處理室6、卸載側中的負載室5及後置 處理室7。大氣傳送機器人9的安裝置位置會位於匣區1 上的右側位置。 晶圓捜尋機構會延著每一匣1 2設置,以便.於匣1 2 設定時,辨認每一匣中的樣品。在負載鎖室4、5及處理 室6和後置處理室7中,會分別設有樣品舉升機構1 4A 、i 4 B,以致於樣品3可被傳送至每一機器人的可延伸 臂91或101。此外,在處理室6中,設有蝕刻放電機 1111111 —訂— I 線 (請先閱讀背面之注意事Ϊ填寫本頁) 本紙悵尺度適用中國國家標隼(CNS ) Λ4規格(210X297公雉) -12 - 經濟部中央標準局貝工消費合作社印製 A7 ____—_B7 __ 五、發明説明(ίο) 構1 3的電極及樣品安裝盤1 4 C ·在蝕刻放電機構1 3 內,設有樣品舉升機構1 4 B ·參考字元1 5係環狀閘閥 〇 以電漿蝕刻處理爲例,於下說明處理室1 〇 〇內的樣 品處理操作。首先,匣區1中的大氣傳送機器人9會於軌 道9 2之上移至通往諸如負載側中的匣1 2A之通道,且 藉由使可延伸臂91朝向匣12A延伸成將樣品3安裝於 叉(未顯示)之上,而使叉(未顯示)插於負載側中的匣 內樣品3之下。在此之後,大氣傳送機器人9的臂9 1會 移至負載側中的負載鎖室4,而負載鎖室4的蓋子會保持 打開以傳送樣品3。在此時,假使需要的話,大氣傳送模 器人9會於軌道9 2之上移動,以致於可延伸臂9 1的行 程可到達負載側中的負載鎖室4 * 然後,操作樣品舉升機構1 4 A以便將樣品3支撐於 負載側中的負載鎖室4的支撐成件之上•此外,在使負載 側的負載鎖室4抽真空之後,支撐成件會降低且再度操作 樣品舉升機構14A以便將樣品傳送至真空傅送機器人 1 0的臂1 Ο 1並延著處理室2內的傳送通道傳送樣品, 亦即,傅送至真空環境中的處理室6。藉由反向操作,樣 品會被傳送至匣區1中的卸載側中的匣位置。 在需要後置處理的情形下,會使用真空傅送機器人 1 0的臂1 Ο 1,將樣品傳送至後置處理室7 ·在後置處 理室7中,會對已接受過蝕刻處理的樣品執行諸如成灰之 電漿後置處理。 本紙浪尺度適用中國國家標準(CNS ) A4規格(210乂2‘)7公釐,)_ iq _ -----:----神衣------ΐτ------線· (請先閲讀背面之注意事^^寫本頁) 經濟部中央標準扃員工消费合作社印装 A7 _B7_ 五 '發明説明(11 ) 在圖3中,以樣品3處於負載側中的負載鎖室4、處 理室6及後置處理室7中且無樣品位於卸載側中的負載鎖 室5之情形爲例,於下述中說明真空傳送機器人的臂 1 0 1之軌跡。亦即,真空傅送機器人1 〇的臂1 〇 1會 先將後置處理室7中的一樣品3傳送至卸載側中的負載鎖 室5,且處理室6中的樣品3會傳送至後置處理室7中* 接著,負載側的負載鎖室4中的樣品3會傅送至真空室6 •此外,處理室6中的樣品3會傅送至後置處理室7 ·臂 1 0 1會重覆相同的軌跡* 由於真空傳送機器人10會置於靠近真空處理面2的 側端處,所以,工作人員可以以輕鬆的姿勢檢査及修理真 空傳送機器人,因此,可輕易地執行維修》 圖5係平面視圓,顯示具有根據本發明的真空處理裝 置1 0 0的半導體生產線之機台區2 0 0 ·在圖中,很多 L型真空處理裝置1 0 0會以隔出具有間隙G1的維修空間 之方式配置,且分隔部份1 2 0會將室分成高度清潔無塵 室2 0 1 A及低度清潔無塵室2 0 1 B。延著配置於髙度 清潔無塵室2 0 1 A中的匣區1之前表面,安裝用以供應 並傳送樣品3之自動傳送機2 0 2 ·另一方面,很多真空 處理區2會配置於低度清潔無塵室2 0 1 B,且它們之間 的間隔爲下述之維修空間。 圖6係顯示根據本發明的半導體生產線之實施例中樣 品3之部份流程。在每一機台區2 0 0的入口部份,設有 檢査裝置2 0 6及機台加料器(stoker) 2 0 8。每一機 本纸張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) ---------批衣------1T------^ (請先閱讀背面之注意事\^^寫本頁) -14 - 經濟部中央楳準局員工消費合作社印裝 A7 B7 五、發明説明(l2 ) 台區2 0 0的背面部份會與維修通道2 1 0相通,且於維 修通道2 1 0的入口設有空氣吹淋室。自外部供應至機台 進料器2 0 8之樣品3會成功地傳送至如箭頭所示,對應 於使用線上自動傳送機2 0 4之生產製程的某機台區 200中的機台內自動傳送機202·此外,樣品3會自 機台內自動傅送機2 0 2傅送至真空處理裝置1 0 0的匣 面•在真空處理裝置1 0 0中,樣品3會由大氣傅送機器 人9及真空傳送機器人1 0,於匣區1與真空處理區2之 間傳送。已於真空處理區2中處理過的樣品3會傳送至機 台內自動傅送機2 0 2,並進一步傳送至線上自動傳送機 204,然後,傅送至下一機台區200 · . 在具有機台內自動送機的半導體生產線中,機台內自 動傳送機2 0 2會將新樣品(未處理晶圓)自每一機台區 2 0 0中的機台加料器2 0 8供應給每一真空處理裝置 100中的匣區1,並自匣區1回收含有處理過的樣品之 匣。 回應每一真空處理裝置1 0 0输出的請求訊號,機台 內的自動傳送機2 0 2會接收來自設於每一機台區2 0 0 內的加料器2 0 8之含有新樣品的匣(未處理過的晶圓) ,並行進至及停止於輸出請求訊號的真空處理裝置的匣區 1之匣位置處。 關於安裝於機台內的自動傳送機2 0 2內的匣處理機 器人,會使用具有旋轉操作(Θ -軸)、垂直移動(Z -軸)及抓取操作(Φ -軸)等三軸控制功能,或具有旋轉 本紙浪尺度適用十國國家標準(CNS ) A4JC格(210X 297公釐) 15 - ---------^-- (請先閲讀背面之注意事填寫本頁)
.1T A7 B7 五、發明説明(13 ) 操作(0 —軸)、垂直移動(Z_軸)、抓取操作(Φ — 軸)及前後移動等四軸控制功能之機器人· 在處理過的匣存在於匣區1中的設計位置的情形下, 根據每一真空處理裝置1 0 0输出的需求內容,匣處理機 器人會將來自匣區1的匣12回收成儲存於機台內自動傳 送機2 0 2上的空匣*然後,將來自加料器2 0 8的新匣 1 2供應至藉由回收而空出的位罝· 在完作此操作之後,機台內自動傅送機會將回收的匣 1 2傅送至機台加料器2 0 8,並停止操止及處於待命狀 態,直至下一請求訊號自機台2 0 0內的真空處理裝置 1 0 0输出爲止。 . 當請求訊號於短時間內*自機台2 0 0中的眾多真空 處理裝置1 0 0、1 0 0 ..........输出時,將視系統設計 而決定機台內自動傳送機係根據所收到的訊號之時間順序 、或在慮及接收訊號時的時間差與訊號输出裝置的位置二 者之間的關係下,根據始於機台內自動傳送機2 0 2的待 命位置之較高傅送效率的次序,而傳送樣品。 經濟部中央橾準局員工消費合作社印製 ----------装— (請先閱讀背面之注意事寫本頁) 以有關被接收及送出的匣之資訊包含一些指定每一卡 匣及用於管理總生產線的不同資訊,並且會經由諸如光學 通訊系統而於真空處理裝置1 0 0與機台內自動傳送機 2 0 2之間傳送。 將每一匣中的樣品列入考慮,於下說明機台區2 0 0 內的處理流程· 在匣面1中,三至四個匣1 1、1 2會一側接一側地 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) -16 - 經濟部中央標準局貝工消費合作社印裝 A7 B7 五、發明説明(ι〇 置於相同準位•在每一卡匣中,會包含給定數目的樣品’ 在此情形下爲直徑3 0 Omm ( 1 2〃)之半導髗元件基 底(晶圆)· 在三至四個匣1 2中的二至三個匣1 2中,含有將於 真空處理部份中被執行某真空處理之樣品(未處理的晶圓 )· 會使用仿晶圓以檢査真空處理部份中的外來粒子數目 及/或清潔構成真空處理區的處理室〃 此處,處理前含有樣品之匣12稱爲12A、12B 1 2 C。以此方式,晶圆檢査機構(未顯示)會檢査諸如 匣1 2A的樣品含有狀態。在此情形下,匣1 2A具有以 垂直方向,一個接一個儲存樣品之功能· 如同所使用的晶圓檢査機構,會有連縯地移動感測器 以對應匣1 2 A的樣品包含台之機構,及提供對應於匣 1 2 A的個別樣品包含台之複數個感測器之機構。在此機 構中,無須提供移動機構以對應匣1 2 A的樣品包含台· 可以將用於晶圆檢査機構的感測器固定,以移動匣2 A取 代之· 使用晶圓檢査機構時,會檢査匣1 2 A的垂直方向中 那些位置會含有未處理的晶圓。舉例而言,在晶圓檢査機 構爲感測器係連績地移動以對應匣12A的樣品包含台之 機構時,當感測器自匣1 2 A的較低位置向上移動,或自 匣1 2A的較高位置向下移動時,感測器會偵測匣1 2A 的樣品包含台及未經處理的樣品是否存在於包含台中。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -17 - ----------批衣—— (請先閲讀背面之注意事^<填寫本頁) 訂 線 經濟部中央標隼扃員工消費合作社印製 A7 B7 五、發明説明(15 ) 檢査結果會自晶圓檢査機構输出而被输入及儲存於管 理所有真空處理裝置之諸如半導體生產線控制的主電腦( 未顯示於圖中),另一方面,檢査結果會被输入及儲存於 匣安裝盤上的操作盒中的個人電腦或經由個人電腦而控制 裝置的主電腦。 然後,在本實施例中,大氣傳送機器人9會開始操作 。藉由大氣傅送機器人9之操作,匣1 2 A中的未處理樣 品之一會自匣12A中取出· 大氣俥送機器人9具有汲取裝置,用以汲取及固持待 處理表面的相對樣品表面•所使用的汲取裝置係用以黏著 及固持樣品的反側表面之裝置、具有槽或鋸齒部份用以固 定樣品的裝置、及以機械方式抓取樣品週邊部份之裝置· 此外,關於黏著及固持樣品反側表面的裝置,會有具有真 空吸附功能的功能及靜電吸附功能之裝罝· 在使用裝置,黏著及固持直徑300mm(12#) 之樣品反側表面時,選擇黏著部份的配置及尺寸以儘量減 少樣品彎曲,是非常重要的•舉例而言,黏著部份之間的 間隔會以樣品中心爲中心,而設定成d/3至d/2,其 中d爲樣品直徑。 當樣品於汲取裝置及另一傅送裝置之傅送時,所發生 的樣品位移會隨著樣品的彎曲特性之彎曲特性數量而改變 ,在此傅送時,有時會產生樣品方向之位移· 此外,在使用裝置,黏著及固持樣品的反側表面時, 將要求黏著力具有一強度,以使樣品不會於啓動及停止期 本紙張尺度適用t國國家標準(CNS ) Λ4規格(210X297公釐) -----------^------1T------ii (請先閲讀背面之注意事寫本頁) -18 - 經濟部中央標準局員工消费合作社印裝 A7 _____B7 五、發明説明(l6 ) 間俥送時,被作用於樣品上的慣力所分離*假使未滿足此 條件,則會發生樣品自汲取裝置掉落或樣品方向產生位移 等問題。 汲取裝置會插入於對應於未處理樣品的反面之位置, 該未處理的樣品必須於匣1 2 A中被取出·在插入汲取裝 置的情形下,匣1 2 A會降低給定高度或汲取裝置會被舉 升給定髙度。藉由降低匣1 2 A或舉升汲取裝置一給定高 度,未經處理的樣品會於保持被汲取狀態時,被傳送至汲 取裝置•汲取裝置會在保持此狀態下自匣1 2 A中取出樣 品•因此,匣1 2A中的未處理樣品之一會被取出匣1 2 A · - 如上所述,舉例而言,主電腦會指令及控制用以取出 匣1 2 A中的未處理樣品之大氣傅送機器人9 * 自匣12A中的台取出未處理樣品之資訊會於每次取 出樣品時,連績地儲存於主電腦中· 具有位於汲取裝置中未的一未處理樣品之大氣傳送機 器人9會移至並停止於樣品可被載入負載鎖室4之位置處 〇 負載鎖室4會與真空處理部份2的真空環境相隔離, 並處於大氣壓力下。由大氣傅送機器人9的汲取裝置所固 持的未處理樣品,會以從汲取裝置傳送至負載鎖室4之狀 能而載入負載鎖室4。 已將未處理樣品傳送至負載室4內的大氣機器人9會 返回預定位置,處於等待下一操作之待命狀態。 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) ---------^------、1T------_線- (請先閲讀背面之注意事寫本頁) -19 - 經濟部中央標準局員工消費合作社印製 A7 _____B7__ 五、發明説明(17) 舉例而言,主電腦會指令及控制上述操作。 自匣12A中的台取出載入於負載鎖室4中的未經處 理樣品之資訊,會於每次取出樣品時,連縝地儲存於主電 腦中。 具有已容納未經處理的樣品之負載鎖室4會與大氣隔 離並被抽成真空。然後,與真空處理室的隔離會被釋放且 負載鎖室4會與處理室相通,以傳送未經處理的樣品β然 後,於真空處理區中執行預定的真空處理。 已被真空處理處理過的樣品(處理過後的樣品)會由 真空傅送機器人,自真空處理區傳送至卸載鎖室5 ’而被 載入於卸載區室5。 · 真空傳送機器人具有類似於大氣傳送機器人9的汲取 裝置。關於汲取裝置,除了真空黏著功能之裝置外,可使 用與大氣傳送機器人9的汲取裝置類似的汲取裝置* 在載入處理過的樣品之後,卸載鎖室5會與真空處理 部份2相隔離,且卸載鎖室5內的壓力會調整爲大氣壓力 〇 內部壓力變成大氣壓力的卸載鎖室5會與大氣相通。 在此狀態下,大氣傳送機器人9的汲取裝置會插入於卸載 鎖室5,且處理過的樣品會傳送至汲取裝置。 己收到處理過的樣器之汲取裝置會將樣品送出卸載室 5。在此之後,卸載鎖室5會與大氣隔離並抽成真空以便 準備載入下一待處理樣品。 另一方面,具有處理過的樣品位於汲取裝置中的大氣 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐)_ _ ' ---------^------ir------ (請先聞讀背面之注意事:^寫本頁) 經濟部中央標準局員工消費合作社印策 A7 __B7 _ 五、發明説明(18) 傳送機器人9會移至並停止於處理過的樣品可返回匣12 A之位置。 然後,具有處理過的樣品之汲取裝置會於保持此狀態 下被插入匣1 2 A。主電腦會控制插入位置,以致於處理 過的樣品會返回至處理過的樣品原先被包含之位置處。 在插入具有處理過的樣品之汲取裝置之後,匣1 2 A 會被舉升或降低汲取裝置。 藉由如此執行,處理過的樣品會返回至並包含於處理 過的樣品原先被包含之位置處。 對匣1 2A中的其餘未處理過的樣品及匣1 2B、 12C中的未處理過樣品,執行相同操作· . 亦即,自每一匣中連續地取出之未處理樣品,會一個 接一個被編號(舉例而言)。舉例而言*主電腦會儲存有 關編號匣中之台取出的未處理樣品之資訊· 根據此資訊而管理及控制樣品移動、自匣中取出樣品 、對樣品執行真空處理及在真空處理之後,將樣品送返E 等操作· 換言之,樣品從被取出至被送返至原先匣的移動會根 據下述次序之步驟而執行· (1 )檢査匣中的包含位置。 (2 )使用大氣傅送機器人而取出匣中的樣品· (3 )使用大氣傅送機器人,將樣品載入負載鎖室。 (4)使用真空傳送機器人’將樣品自負載鎖室傳送 至真空處理區· ϋ浪尺度適州中國國家標举(CNS ) Λ4規格(2!〇Χ2ι)7公釐)_ 21 _ ' ---------种衣------ΪΤ------^ (請先閱讀背面之注意事^^寫本頁) A7 A7 經濟部中央標準局員工消費合作社印裝 ___ B7 _ 五、發明説明(19 ) (5 )在真空處理區中執行真空處理· (6 )使用真空傳送機器人,將樣品自真空處理區傳 送至卸載鎖室。 (7 )使用大氣傅送機器人,將樣品自卸載鎖室卸載 〇 (8 )使用大氣傅送機器人,將樣品包含於匣中的原 先位置· 在上述的(1 )至(8)之樣品的每一移動中,主電 腦會連嫌地將更新有關每一站所具有的代表號次之資訊。 會對每一樣品執行更新處理。藉由如此操作,管理每一樣 品,亦即,管理那個標示號序的樣品要存在於那個站。. 舉例而言,主電腦所執行的連績更新狀態處理會連績 地顯示於真空處理系統控制CRT螢幕上。在此情形下,每 一站及存在於本站的標示號序樣品會被顯示,以便由操作 員輕易地辨識》 在調整未處理的樣品之方向的情形下,會於上述步驟 (2)及(3)之間執行此步驟。 在具有眾多真空處理區的真空處理部份2之情形下, 執行樣品移動的此管理及控制· 舉例而言,假設真空處理部份2具有二個真空處理區 。在此情形下,將視處理資訊而決定序列地處理樣品或平 行處理樣品。此處,序列處理意指樣品會於一真空處理區 中被真空處理,而處理過的樣品會於其餘的真空處理區中 被連績處理。平行處理意指樣品會於一真空處理區中被處 ----------------1T------^ (請先閲讀背面之注意事寫本頁) 本紙悵尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 22 - 經濟部中央標準局員工消費合作社印裝 A 7 ____B7_ 五、發明説明(2〇) 理,而另一樣品會於其餘的真空處理區中被真空處理· 在序列處理的情形下,根據預定次序而處理主電腦編 號的樣品,且處理過的樣品會被送返至匣中的原先位置· 在平行處理的情形下,由於主電腦管理及控制編號樣 品如何在某真空處理區中被處理,所以,處理過的樣品會 被送返匣中的原先位置* 在平行處理的情形下,主電腦會視樣品自那一個匣中 的台取出及樣品具有何種編號,而管理及控制所使用的真 空處理區。 在序列處理及平行處理混合的情形下,由於主電腦會 管理及控制在那一真空處理區及編號樣品如何被處理,所 以,處理過的樣品會返回至匣中的原先位置· 具有複數個真空處理區的實施例係具有相同電漿產生 方法之複數個區的組合、不同電漿蝕刻區的組合、電漿蝕 刻區與諸如成灰之後置處理區之組合、成灰區及膜形成E 等的組合* 除了對未處理樣品所執行之真空處理之外,以同於未 處理樣品之方式而處理匣中的仿樣品* 用以偵測樣品是否存在偵測機構會設於每一匣中、大 氣傅送機器人的汲取裝置中、方向調整站中、負載鎖室中 的站中、真空傅送機器人的汲取裝置中、真空處理區中的 站中、卸載鎖室的站中。 適當地選擇用作樣品偵測機構之接觸型或非接觸型感 測器* —本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐)_ 23 _ ---------批衣------.玎------ii (請先閲讀背面之注意事項寫本頁} 經濟部中央標準局員工消费合作社印裝 A7 ___B7 五、發明説明(21 ) 匣、汲取裝置及每一站將變成樣品的移動過程之檢査 點· 舉例而言,在此結構中,當測得樣品存在於真空傅送 機器人10的汲取裝置中及未測得樣品存在於真空處理區 中的站中時,此意指在真空傅送機器人的汲取裝置與真空 處理區中的站之間的樣品傳送機會由於某些原因而產生問 題,且可適當地及快速地解決問題•因此,可以防止整個 系統的工作總量降低· 舉例而言,在樣品偵測裝置未設於傳送機器人9的每 —汲取裝置中的情形下,當測得樣品存在於負載鎖室的站 中及未測得樣品存在於真空處理區中的站中時,此意指負 載鎖室中的站與真空傳送機器人的汲取裝置之間的樣品傳 送機、或真空傳送機器人、或真空傅送機器人的汲取裝置 與真空處理區中的站之間的樣品傳送機等,會因某些原因 而產生問題,且可適當地及快速地解決問題。因此,可以 防止整個系統的總工作置降低· 此實施例具有下述用途。 (1 )由於包含未處理的樣品之匣中的台會被檢査且 受檢査的未處理樣品之移動會藉由使未處理的樣品編號而 連績地被管理及控制,所以,處理過的樣品一定會被送返 至E的原始位置。 (2 )即使在序列處理、平行處理及二者混合的情形 下,由於包含未處理的樣品之匣中的台會被檢査且受檢査 的未處理樣品之移動會藉由使未處理的樣品編號而連績地 本紙倀尺度適用中國國家標準(CNS ) Λ4規格(210Χ297公釐) ----------1------.訂------^ (請先閱讀背面之注意事寫本頁) -24 - 經濟部中央標準局員工消費合作社印聚 A7 _____ B7 五、發明説明(22 ) 被管理及控制,所以,處理過的樣品一定會被送返至匣的 原始位置· (3 )由於包含未處理的樣品之匣中的台會被檢査且 受檢査的未處理樣品之移動會藉由使未處理的樣品編號而 連績地被管理及控制,所以,可以一個接一個適當地檢査 及詳細管理真空處理部份中的被處理之樣品的處理狀態· 舉例而言,在樣品處理中發生缺陷之情形下,由於會 管理包含處理條件之每一樣品的處理狀態,所以,可藉由 有缺陷的樣品包含於某匣的某台之相關資訊而辨識處理狀 態。因此,可於短時間內得知缺陷發生*因此,反制手段 所需的時間可藉由縮短處理狀態的辨識時間而縮短· . 雖然上述實施例中的說明係以樣品直徑爲3 0 Omm (1 2# )爲基礎,但是,上述用途並不會受限於樣品直 徑· 將於下說明維修 關於根據本發明的真空處理裝置1 〇 0之維修,由於 匣區1面對機台內自動傳送機2 0 2的直線,所以,可從 匣區的前側執行大部份的匣區1之維修· 另一方面,關於真空處理區2的維修’操者員必須經 由維修通道2 0 3或維修通道2 1 0 ’從每一機台區的背 面進入置於真空處理區2的區域。 圖7係視圖,顯示真空處理區2的尺寸與匣區1的尺 寸之間的關係•當真空處理區2的較長側(寬度)爲W1 ----------^------1T------^ (請先閲讀背面之注意事項寫本頁) 本紙張尺度適用中國國家梯準(CNS ) A4現格(210/<W7公簏) 25 - 經濟部中央橾準局員工消費合作社印裝 A7 B7 五、發明説明(23) 而短側爲B 1 ,且匣區1的較長側(寬度)爲W2,而較 短側爲B2,會滿足W1 >B1、W2 >B 2之關係•最好 是滿足W1—W2与d之關係’其中d爲樣品的直徑· 當彼此相鄰的真空處理裝置之匣區之間的間隙爲G1 且彼此相鄰的真空處理區之間的間隙爲G 2時(參考圖5 ),假設滿足G1<G2之關係》彼此相鄰的真空處理裝 置100之間的維修空間可以(W1+G1) — W 2 = M S » M S係操作員維修工作所需的尺寸。在此情形下, 最好滿足(W1+G1) — W 2 ^ d之關係。雖然’ 維修空間2 0 3係操作員的入口,但是,在有些情形下’ 將視機台區2 0 0的布置而不提供此空間。即使在此情形 下,將要求彼此相鄰的真空處理裝置之間的安裝間隔G 1 爲最小,但是,安裝間隔實際上幾乎變成零•在此情形下 ,W 1 - W 2 =MS會成爲維修空間· 根據本發明的真空處理裝置1 〇 〇之真空處理區2的 側面爲開放式門結構。亦即,於真空處理區2的側面及背 面提供兩對帶鏈式的門214、216。 爲執行維修,將要求(1 )操作員可以從背面及前面 檢査裝置和管路之空間,(2 )舉例而言,提供不同種類 的裝置及管路可拉至主室之空間,及(3 )門可以打開的 空間。因此,維修空間最好爲9 0至1 2 0 cm。 根據本發明的真空處理裝置1 0 0 ’操作者可輕易地 進入真空處理區2的側面及背面。此外,藉由打開門2 1 4,可檢査及修理負載鎖室5、後置處理室7、真空傳送 本紙張尺度適用中國國家標隼(CNS ) Μ規格(210X207公董) ----------赛------.玎------^ (請先閱讀背面之注意事項'|^寫本頁) -26 - 經濟部中央橾準局員工消費合作社印裝 A7 _____ B7 五、發明説明(24) 機器人1 0及不同種類的管路及裝置。此外,藉由打開門 2 1 6,可以檢査及修理處理室6和真空泵及不同種類的 管路及裝置· 由於真空處理區2之間有維修空間MS,所以,操作員 打開側面的門2 1 4以執行維修工作時,不會有任何阻礙 〇 如同前述,真空處理裝置1 0 0的平面形狀爲L-形 •另一方面,在傳統的真空處理裝置8 0 0中,如圖9所 示,真空處理區及匣區,一般係一起建造以便整體形成L 一形•根據安裝於真空處理裝置中的不同元件之形狀與不 同元件之間的相互操作關係而選擇長方形。在一般傳統的 真空處理裝置中,當彼此相鄰的匣區之間的間隙爲G 1且 彼此相鄰的真空處理區之間的間隙爲G 2,將有G 1 2G 2之關係。 由於傳統的真空處理裝置8 0 0係處理直徑不大於8 吋的樣品,所以,可使用上述的此結構•但是,在處理直 徑1 2吋的樣品之裝置中,匣的外部尺寸會變得較大,結 果,含有眾多匣1 2的匣區寬度W1會變得較大。由於真 空處理區的寬度(W1与W2 )會定爲對應寬度W1,所 以,整個真空處理裝置8 0 0將需要較大空間•此外,當 匣區及真空處理區的寬度Wl、W2變得較大時,門 2 1 4、2 1 6必須作得較大,且需要大的維修空間以保 留空間給門2 1 4、2 1 6以便打開•舉例而言,假使在 傳統裝置中,處理1 2吋樣品時,W1=W2 = 1 5 0 本紙浪尺度適州个國國家標準(CNS ) Λ4現格(210X297公釐) ---------批衣------1T------^ (請先閱讀背面之注意事t填寫本頁) -27 - --_____B7_ 五、發明説明(25) (請先聞讀背面之注意事寫本頁) c m且彼此相鄰的真空處理裝置1 〇 〇之間的維修空間會 變成MS = 9 0 cm。這將導致真空處理裝置在每一機台 區中的有效佔用區域增加。亦即,並非較佳。 將參考圖1 0,說明根據本發明的真空處理裝置中的 不同元件之間的相互關係之實施例· 經濟部中央標準局員工消費合作社印裝 如圖1 0所示,真空傳送機器人1 0的臂旋轉中心 〇1配置於連接負載鎖室4與卸載鎖室5的中間位置與處 理室6的中心等位置之L - L線的右側或左側,亦即,旋 轉中心0 1會移向真空處理部份的側端。後置處理室7係 配置於線L 一 L的相對側•因此,真空傳送機器人1 〇的 臂旋轉範園會窄,且真空處理裝置1 0 0的整個平面形狀 可藉由將真空傳送機器人10置於靠近真空處理部份的側 端而成爲L-形•藉由此結構,真空傅送機器人1〇的臂 旋轉範圔會變成幾乎爲半圓•使傳送晶圓的真空機器人 1 0之臂旋轉範圍限制於近乎半圓內,則可將一樣品3傳 送至臂的近乎半圓移動範圍內之負載鎖室4、卸載鎖室5 、處理室6及後置處理室7 *如上所述,由於真空傳送機 器人1 0的臂旋轉範圍係位於近乎半圓之內,所以,真空 處理區2的寬度W2可作成窄的。 如上所述,根據本發明的真空處理裝置1 0 0會在匣 區1的寬度W1可處理大直徑樣品時,在考慮真空處理裝 置內的不同元件之形狀及不同元件的相互關係之下,使真 空處理區2的寬度W2儘可能小,而保持上述維修空間。 如此作法,將可增加真空處理裝置1 〇 〇的有效佔用面稹 本紙張尺度逋用中國國家標隼(CNS ) A4規格(210X2W公釐)_ 28 _ 經濟部中央標準局員工消費合作杜印裝 A7 __B7_ 五、發明説明(26) 〇 由於真空處理區2之間的維修空間爲MS,所以,操 作員在開啓側邊的門2 1 4以執行維修工作時,不會有阻 礙。此外,會於真空處理區2的背面提供足夠空間以開啓 門216並執行維修工作。 在根據本發明的真空處理裝置1 0 0中,位於真空處 理面2及匣區1之間的位置關係可以延著匣區的側向改變 •舉例而言,如圖1 1及1 2所示*真空處理區2及匣區 1會配置成真空處理區2的中心線會側向中的匣區1的中 心相交會,換言之,真空處理區2及匣區1會配置成整個 平面形狀成爲T形·在T形配置中,由於真空處理區2之 間的維修空間爲MS,所以,操作員在開啓側邊的門 214以執行維修工作時,不會有阻礙 · 根據本發明的匣區1與真空處理區2的平面形狀不會 爲精確的長方形,亦即,只要資際地保持(W1 + G 1 ) 一 W2 = MS之關係的近似長方形即可•包含於匣區1與 真空處理區2內的結構元件及結構元件之間的配置關係會 與上述實施例不同。舉例而言,在圖1 3所示的實施例中 ,匣區1的大氣傳送機器人9會置於真空處理區的負載鎖 室4與卸載鎖室5之間。在此情形下,匣區1的平面形狀 爲精確的凸出形且真空處理區2的平面形狀爲精確的凹陷 形,且整個真空處理裝置1 0 0爲二個幾乎長方形的區之 組合,形成T 一形。在本實施例中,可延伸臂9 1的軌跡 會構造成追蹤含有負載側的匣12和負載鎖室4及卸載側 ---------^------1T------.^ (請先閱讀背面之注意事項填寫本頁) 本紙悵尺度適用中國國家標準(CNS ) Λ4ΑΙ格(21〇χπ7公釐) -29 - 經濟部中央榡準局員工消費合作社印製 A7 ____B7_______ 五、發明説明(27 ) 5的負載鎖室5之軌跡,並藉由將匣區1的大氣傳送機器 人9置於真空處理區的負載鎖室4與卸載鎖室5之間且以 可移動方式將匣1 2置於軌道9 4之上,而不移動軌道上 的大氣傳送機器人。在本實施例中,可保持真空處理萑2 之間的上述維修空間MS。 圖1 4係顯示根據本發明的真空處理裝置1 〇 〇之另 一實施例。真空處理裝置具有匣安裝盤1 3 0及操作盒 132,用以評估及檢査樣品,此外,也具有匣區1、大 氣傳送機器人9及樣品匣12· 圖1 5係顯示根據本發明的真空處理裝置1 〇 0之進 一步實施例*真空處理裝置係具有匣區1、大氣傳送機器 人9及樣品方向調整器11之T形處理裝置· 圔1 6係平面視圖,顯示根據本發明的機台區2 0 0 的另一實施例。一對L形真空處理裝置1 00A、1 00 B會配置成彼此相對以形成一組,且操作盒1 3 2會置於 組與組之間·其中並無上述的間隙*但是,當操作台 132的寬度爲W3時,(W1+W3)—W2=MS會 變成維修空間。由於沒有間隙G1,所以,操作員必須經 由維修通道2 1 0,從機台區2 0 0的背面進入放置真空 處理區2的區2 0 1,以便維修真空處理區2。假使須要 減少進入時間,則會於操作盒1 3 2與相鄰的匣區1之間 提供間隙G1。在此情形下,(W1+W2+GA)— W2=MS會成爲維修空間· 圖1 7係平面視圔,顯示具有根據本發明的真空處理 本紙張尺度適州中國國家標準(CNS ) Λ4规格(210X 297公釐)_ : . 裝 訂 線 (請先閱讀背面之注意事寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(28 ) 裝置之另一實施例的機台區•在本實施例中的真空處理裝 置1 0 0、用於複數個匣區1的匣盤1 6A係以連績的一 件式結構所形成的,且複數個大氣傳送機器人9會於連續 匣盤上的共同軌道上運行•機台內自動傳送機會置於條式 加料器與大氣傳送機器人9之間以便在真空處理區2之間 傳送樣品《在此情形下,匣區1會在功能上對應每—真空 處理區2,且其會被視爲對應於個別真空處理區2的眾多 近乎長方形區會彼此連接· 圖1 8係平面視圖,顯示根據本發明的生產線之實施 例的結構。從圓18中可瞭解,根據本發明的真空處理裝 置1 0 0爲L形或T形之平面形狀,而且,即使間隙位於 真空處理裝置1 0 0之間,依然可以在真空處理區2之間 保持足夠的維修空間MS。 另一方面,假使在作爲比較而顯示的傅統長方形真空 處理裝置8 0 0中提供足夠的維修空間時,則真空處理裝 置之間的間隙必須增加•結果,配置於相同線長度之真空 處理裝置,在傳統的長方形真空處理裝置8 0 0中僅爲5 個,而在本實施例所示之根據本發明的真空處理裝置 1 0 0中爲7個*當考慮整個半導體生產線時,數目上差 二個真空處理裝置很大的,而且,在具有給定空間的無塵 室中配置所需數目的裝置及節省通道上,此差異也會成爲 很大的差異。關於樣品從具有自動傅送機的機台區傳送至 下一處理的機台區,當使用根據本發明的真空處理裝置時 ,可使用一機台面的一側,執行對應於七個真空處理裝置 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2丨ΟΧ297公釐) ---------^------,訂------m (請先閲讀背面之注意事填寫本頁) -31 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(29) 之處理總量•但是•當使用傅統裝置時,僅可執行對應於 五個真空處理裝置的處理數量。二個裝置之差將大大地影 響半導髖生產線的總工作置之改善。 有些情形會需要部份地使用長方形真空處理裝置 8 0 0。 即使在此情形下,藉由配置根據本發明的L形或T形 真空處理裝置1 0 0與長方形真空處理裝置8 0 0相鄰, 將可於真空處理區之間保持適當的維修空間MS。 圖1 9係平面視圖,顯示半導體生產線的另一實施例 的整個結構,於其中會部份地使用根據本發明的真空處理 裝置。此半導髋生產線具有線上自動傳送機2 0 4且爲線 上自動化型,其中樣品會在每一機台區2 0 0A至2 0 0 N之間傅送,且線上自動傳送機2 0 4會由操作員操作· 在此系統中,可取得同於圖1 8的相同效果· 圖2 0係平面視圖,顯示半導體生產線的進一步實施 例之整個結構,於其中部份地使用根據本發明的真空處理 裝置•此半導體生產線具有機台內自動傳送機2 0 2及線 上自動傳送機2 0 4,並爲全自動型,其中,每一機台區 內的樣品會於每一機台區2 0 0A至2 0 0N之間傳送, 且無需操作員即可執行線上自動傅送機2 0 4之操作。在 此情形下,藉由配置L形或T形真空處理裝置1 〇 〇成爲 彼此相鄰,或藉由配置根據本發明的L形或T形真空處理 裝置1 0 0成爲相鄰於長方形真空處理裝置8 0 0,而於 真空處理面之間保持適當的維修空間MS · 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) (請先閲讀背面之注意事項寫本頁) .裝·
、1T 經濟部中央標準局員工消費合作社印裝 A7 B7 五、發明説明(3〇) 在上述實施例中,已說明匣及大氣傅送機器人係置於 大氣環境中且大氣傅送機器人係於大氣環境下操作β但是 ,如圖2 1及2 2所示,可以將匣置於真空環境中且傅送 機器人1 0僅於真空環境下操作•圖2 1係顯示放置二個 匣1 2的實施例,圖2 2係顯示放置三個匣的實施例。在 這二種情形下,整個真空處理裝置均爲Τ形。 在圖2 1及2 2中,取出匣中的樣品、將取出的樣品 傳送至真空處理面、真空處理區傳送樣品及將樣品儲存於 匣中的原先位置等動作均在真空環境下使用真空傳送機器 人1 0而執行的·在這些情形下,關於真空處理系統,原 則上,無須提供上述實施例中的負載鎖室及卸載鎖室· S 此,由主電腦連績地更新的資料要件數目會減掉用於負載 鎖室及卸載鎖室之資料要件數目· 在此情形下,會由晶圓檢査機構在真空環境下執行樣 匣中含有樣品之狀態•此外,在具有未處理的樣品之方向 調整機構的裝置中,方向調整係在真空環境下執行的· 此外,在具有位於匣與真空處理區之間的中間匣之裝 置中,設有機器人,在匣與中間匣之間傅送樣品,並設有 機器人,在中間匣與真空處理區之間傳送樣品· 在此真空處理系統中,由於加入中間匣,所以,由主 電腦連續地更新的資料要件數目會增加用於中間匣及機器 人之資料要件數目。 此外,在上述實施例中*樣品的受處理表面會朝上· 且樣品在含於匣中時、被傅送時及被真空處理時,均被固 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2Ι0Χ 297公慶) ~ 一" ----------^------1Τ------^ (請先閲讀背面之注意事3填寫本頁) A7 B7 五、發明説明(31) 持於水平狀態。但是,樣品的其它部位置並無問題。 如同上述,根據本發明,提供一種可處理較大直徑的 樣品及可抑制生產成本,並同時具有較佳維修力的真空處 理裝置。 再者,提供一種半導體生產線,其可處理較大直徑的 樣品並同時藉由維持所需的真空處理裝置安裝數目而抑制 生產成本,且藉由使用根據本發明的真空處理裝置於半導 體生產線中而不會降低維修力。 裝 I 訂 I 線 (請先閱讀背面之注意事項3寫本頁) 經濟部中央標準局員工消費合作社印裝 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -34 -

Claims (1)

  1. " % .αο —r Α8册 SD8 六、申請專利範圍 ~一 —J 第851 08094號專利申請案 中文申請專利範圔修正本 民國88年8月修正 1.一種半導體基底之真空處理裝置,由匣區及真空 處理區所構成,該匣區具有匣盤,用以安裝眾多匣,每一 匣均含樣品,該真空處理區具有處理該樣品之眾多處理室 及具有傅送該樣品的真空傳送機構,其中 該匣區及該真空處理區的平面形狀均爲接近長方形, 且滿足W1 — W22CW之關係式,其中W1爲該匣區的 寬度,W2爲該真空處理區的寬度,且Cw爲該匣的寬度 2. —種半導體基底之真空處理裝置*由匣區及真空 處理區所構成,該匣區具有匣盤,用以安裝眾多匣,每一 匣均含樣品,該真空處理區具有處理該樣品之眾多處理室 及具有傳送該樣品的真空傳送機構,其中 該真空處理區的寬度設計成小於該匣區的寬度,且該 真空處理裝置的平面視圇係爲L形或T形中的任一形狀· 3. —種半導體基底之真空處理裝置,由匣區及真空 處理區所構成,該匣區具有匣盤,用以安裝眾多匣•每一 匣均含樣品及用以傳送該樣品的大氣傳送機構,該真空處 理區具有處理該樣品之眾多處理室、負載側上的負載鎖室 、卸載側上的負載鎖室及在該處理室與負載側和卸載側上 的該負載鎖室之間傳送該樣品的真空傳送機構,其中 該匣區及該真空處理區的平面形狀均爲接近長方形, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先《讀背面之注意事項再填寫本頁) 裝 — — II 訂!111!線、 經濟部智慧財產局員Η消費合作社印製 " % .αο —r Α8册 SD8 六、申請專利範圍 ~一 —J 第851 08094號專利申請案 中文申請專利範圔修正本 民國88年8月修正 1.一種半導體基底之真空處理裝置,由匣區及真空 處理區所構成,該匣區具有匣盤,用以安裝眾多匣,每一 匣均含樣品,該真空處理區具有處理該樣品之眾多處理室 及具有傅送該樣品的真空傳送機構,其中 該匣區及該真空處理區的平面形狀均爲接近長方形, 且滿足W1 — W22CW之關係式,其中W1爲該匣區的 寬度,W2爲該真空處理區的寬度,且Cw爲該匣的寬度 2. —種半導體基底之真空處理裝置*由匣區及真空 處理區所構成,該匣區具有匣盤,用以安裝眾多匣,每一 匣均含樣品,該真空處理區具有處理該樣品之眾多處理室 及具有傳送該樣品的真空傳送機構,其中 該真空處理區的寬度設計成小於該匣區的寬度,且該 真空處理裝置的平面視圇係爲L形或T形中的任一形狀· 3. —種半導體基底之真空處理裝置,由匣區及真空 處理區所構成,該匣區具有匣盤,用以安裝眾多匣•每一 匣均含樣品及用以傳送該樣品的大氣傳送機構,該真空處 理區具有處理該樣品之眾多處理室、負載側上的負載鎖室 、卸載側上的負載鎖室及在該處理室與負載側和卸載側上 的該負載鎖室之間傳送該樣品的真空傳送機構,其中 該匣區及該真空處理區的平面形狀均爲接近長方形, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先《讀背面之注意事項再填寫本頁) 裝 — — II 訂!111!線、 經濟部智慧財產局員Η消費合作社印製 A8SSD8 經濟部智慧財產局貝工消费合作社印製 六、申請專利範圍 且滿足W1 — W2 之關係式•其中W1爲該匣區的 寬度’ W2爲該真空處理區的寬度,且Cw爲一匣的寬度 〇 4. 一種半導體基底之真空處理裝置,由匣區及真空 處理區所構成,該匣區具有匣盤,用以安裝眾多匣,每一 匣均含樣品及用以傅送該樣品的大氣傳送機構•該真空處 理區具有處理該樣品之眾多處理室、負載側上的負載鎖室 、卸載側上的負載鎖室及在該處理室與負載側和卸載側上 的該負載鎖室之間傳送該樣品的真空傳送機構,該大氣傳 送機器機構係於該匣與該二負載鎖區之間傳送樣品*其中 該匣區及該真空處理區的平面形狀均爲接近長方形, 且該真空處理區的寬度設計成小於該匣區的寬度,且該真 空處理裝置的平面視圖係爲L形或T形中的任一形狀。 5 . —種半導體基底之真空處理裝置,由匣區、真空 處理區及於該二面之間傳送樣品的大氣傅送機構所構成, 該匣區具有匣盤,用以安裝眾多匣,每一匣均含樣品,該 真空處理區具有對該樣品執行真空處理之眾多處理室、負 載側上的負載鎖室、卸載側上的負載鎖室、卸載側上的該 負載鎖室及真空傳送機構,該真空傳送機構係在該處理室 與負載側和卸載側上的該負載鎖室之間傳送樣品,該大氣 傳送機構會於該匣與該二負載鎖室之間傅送該樣品,其中 該匣區及該真空處理區的平面形狀均爲接近長方形, 且滿足W1 -W2 2Cw之關係式,其中W1爲該匣區的 寬度,W2爲該真空處理區的宽度,且Cw爲一匣的寬度 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 2 -^--------t---------破 /fv * (靖先閱讀背面之注意事項再填寫本頁) A8 B8 C8 D8 六、申請專利範圍 6.如申請專利範圍第5項之半導髋基底之真空處理 裝置’其中該匣區及該真空處理區的平面形狀均爲接近長 方形,且滿足W1>W2及G1<G2,且W1_W2 = M S會作爲維修空間,其中W1爲該匣區的寬度,W2爲 該真空處理區的寬度,G 1爲彼此相鄰的匣區之間的寬度 ,且G 2爲彼此相鄰的真空處理區之間的間隙。 7 .—種半導體基底之真空處理裝置,由匣區及真空 處理區所構成,該匣區具有匣盤,用以安裝眾多匣,每一 匣均含樣品及用以傳送該樣品的大氣傳送機構,該真空處 理區具有處理該樣品之眾多處理室、負載側上的負載鎖室 、卸載側上的負載鎖室及在該處理室與負載側和卸載側上 的該負載鎖室之間傳送該樣品的真空傳送機構,該大氣傳 送機器機構係於該匣與該二負載鎖區之間傳送樣品,其中 該匣區及該真空處理區的平面形狀均爲接近長方形, 且滿足W1—W22CW及G'1<G2,其中W1爲該匣 區的寬度,W2爲該真空處理區的寬度,G 1爲彼此相鄰 的匣區之間的寬度,G 2爲彼此相鄰的真空處理區之間的 間隙,Cw爲一匣的寬度 8. 如申請專利範圍第7項之半導體基底之真空處理 裝置,其中滿足W122 d及Wl—W22d之關係,其 中d爲受處理的樣品之最大直徑0 9. 如申請專利範圍第7項之半導體基底之真空處理 裝置,其中該匣區會設計成可含有直徑不小於3 0 Omm 閲 讀 背 之 注 項 I裝 頁I I 訂 經濟部智慧財產局員工消費合作社印製 -3 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 ___ D8 六、申請專利範圍 之樣品。 1 〇 .—種半導體基底之真空處理裝置,由匣區及真 空處理區所構成,該匣區具有匣盤,用以安裝眾多匣,每 一®均含樣品,該真空處理區具有蝕刻處理該樣品之蝕刻 處理裝置及用以傳送該樣品之真空傳送機構,其中 該匣區及該真空處理區的平面形狀均爲接近長方形, 且滿足W1 _W2 2 Cw之關係,其中W1爲該匣區的寬 度,W2爲該真空處理區的寬度,Cw爲一匣的寬度。 1 1 種半導體生產線,包括眾多機台區,於其中 依生產製程的次序而配置匣區與真空處理區構成的眾多真 空處理裝置,該匣區具有匣盤,用以安裝含有樣品之匣, 該真空處理區具有處理該樣品之眾多處理室及用以傳送該 樣品的真空傳送機構,其中 至少一該真空處理裝置會設計成該匣區可含有直徑不 小於3 0 Omm的樣品並滿足W1 —W22Cw之關係, 其中W1爲該匣區的寬度,W2爲該真空處理區的寬度, Cw爲一匣的寬度· 1 2 .如申請專利範圍第1 1項之半導體生產線,其 中每一該機台區具有加料機,使用自動線上傳送機將樣品 延著生產線傳送並傳送給每一機台區內的加料機,使用自 動機台傳送機而將該樣品於每一機台區的該加料機之間傳 送。 1 3 . —種建造半導體生產線的方法,該半導體生產 線包括眾多真空處理裝置’該真空處理裝置係由可包含直 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐)_ 4 _ — —— — — — — — — — — — — — — — — — — — — — — — — V气 * (請先閱讀背面之注意事項再填寫本頁) A8B8C8D8 六、申請專利範圍 徑不小於3 0 〇mm的樣品之匣區及真空處理該樣品之真 空處理區所構成的,其中 至少一該真空處理裝置會設計成該匣區的寬度大於該 真空處理區的寬度,且該真空處理裝置的平面視圖爲L形 及丁形之任一形狀,及 在該任一L形及T形真空處理裝置與相鄰的真空處理 裝置之間,提供維修空間· 1 4.如申請專利範圍第1 3項之半導體生產線建造 法’其中眾多機台區具有根據半導體生產製程而依序配置 的眾多該真空處理裝置,並設有線上自動傳送機及機台內 自動傳送機,每一機台區具有加料機,使用自動線上傳送 機將樣品延著生產線傳送並傳送給每一機台區內的加料機 ’在該機台區內,自動機台傳送機會將該樣品於該加料機 與該處理裝置之間傳送。 1 5 ·如申請專利範圍第1 3項之半導體生產線建造 法’其中該真空處理裝置含有触刻處理裝置,用以蝕刻處 理該樣品· (請先閲讀背面之注意事項再填寫本頁) !|訂.--------竣 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐)-5 -
TW085108094A 1995-07-19 1996-07-04 Vacuum processing apparatus and semiconductor manufacturing line using the same TW391987B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7182921A JPH0936198A (ja) 1995-07-19 1995-07-19 真空処理装置およびそれを用いた半導体製造ライン

Publications (1)

Publication Number Publication Date
TW391987B true TW391987B (en) 2000-06-01

Family

ID=16126714

Family Applications (1)

Application Number Title Priority Date Filing Date
TW085108094A TW391987B (en) 1995-07-19 1996-07-04 Vacuum processing apparatus and semiconductor manufacturing line using the same

Country Status (7)

Country Link
US (18) US5855726A (zh)
EP (3) EP0756316B1 (zh)
JP (1) JPH0936198A (zh)
KR (2) KR100440683B1 (zh)
DE (3) DE69636872T2 (zh)
SG (1) SG52824A1 (zh)
TW (1) TW391987B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108315695A (zh) * 2018-05-04 2018-07-24 昆山木利机械设计有限公司 一种智能真空镀膜机构
CN108385081A (zh) * 2018-05-04 2018-08-10 昆山木利机械设计有限公司 一种双仓自动镀膜装置
TWI770537B (zh) * 2020-06-23 2022-07-11 志聖工業股份有限公司 雙面曝光設備及曝光裝置

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JPH1126541A (ja) * 1997-07-02 1999-01-29 Tokyo Electron Ltd 処理装置
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
KR100238251B1 (ko) * 1997-08-20 2000-01-15 윤종용 하나의 도포 및 현상을 수행하는 장치에 복수의 정렬 및 노광장치를 병렬적으로 인-라인시킨 포토리쏘그래피장치
JPH11129184A (ja) * 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
JP2974069B2 (ja) * 1997-09-25 1999-11-08 イノテック株式会社 半導体デバイスの製造装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP3363375B2 (ja) * 1998-03-18 2003-01-08 東京エレクトロン株式会社 基板搬送装置および基板処理装置
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
KR100586773B1 (ko) * 1998-09-28 2006-06-08 동경 엘렉트론 주식회사 처리시스템
JP3665716B2 (ja) * 1998-09-28 2005-06-29 東京エレクトロン株式会社 処理システム
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
TW442891B (en) 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
DE19900804C2 (de) * 1999-01-12 2000-10-19 Siemens Ag Fördersystem
JP4302817B2 (ja) * 1999-05-13 2009-07-29 東京エレクトロン株式会社 真空処理システム
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
DE19952195A1 (de) * 1999-10-29 2001-05-17 Infineon Technologies Ag Anlage zur Bearbeitung von Wafern
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
AU2001259151A1 (en) * 2000-04-25 2001-11-07 Pri Automation, Inc. Reticle management system
US20010043989A1 (en) * 2000-05-18 2001-11-22 Masami Akimoto Film forming apparatus and film forming method
US6732003B1 (en) * 2000-08-07 2004-05-04 Data I/O Corporation Feeder/programming/loader system
US6906109B2 (en) 2000-09-01 2005-06-14 Chemical Products Corp. Method for controling uniformity of colloidal silica particle size
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
AU2002227418A1 (en) * 2001-01-22 2002-08-06 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US20040111339A1 (en) * 2001-04-03 2004-06-10 Asyst Technologies, Inc. Distributed control system architecture and method for a material transport system
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
JP2002319609A (ja) * 2001-04-19 2002-10-31 Hitachi Ltd 半導体集積回路装置の製造方法
KR100407568B1 (ko) * 2001-06-01 2003-12-01 삼성전자주식회사 장치설치영역 내에 지지대를 갖는 반도체 제조 장치
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
CN1996553A (zh) * 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US7059817B2 (en) * 2001-11-29 2006-06-13 Axcelis Technologies, Inc. Wafer handling apparatus and method
JP2003188229A (ja) * 2001-12-18 2003-07-04 Hitachi Kasado Eng Co Ltd ウエハ製造システムおよびウエハ製造方法
US6910847B1 (en) * 2002-07-19 2005-06-28 Nanometrics Incorporated Precision polar coordinate stage
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US6822244B2 (en) * 2003-01-02 2004-11-23 Loma Linda University Medical Center Configuration management and retrieval system for proton beam therapy system
US7472737B1 (en) 2003-01-15 2009-01-06 Leannoux Properties Ag L.L.C. Adjustable micro device feeder
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7163586B2 (en) * 2003-11-12 2007-01-16 Specialty Coating Systems, Inc. Vapor deposition apparatus
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
DE102004035335A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
DE102004035336A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
TWI447840B (zh) 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
US7428958B2 (en) * 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
KR100761576B1 (ko) * 2004-12-24 2007-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 기판 처리장치
JP4688533B2 (ja) * 2005-03-18 2011-05-25 大日本スクリーン製造株式会社 基板処理装置
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7951412B2 (en) * 2006-06-07 2011-05-31 Medicinelodge Inc. Laser based metal deposition (LBMD) of antimicrobials to implant surfaces
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080219806A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
JP4989398B2 (ja) * 2007-09-27 2012-08-01 大日本スクリーン製造株式会社 基板処理装置
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
JP4406666B2 (ja) * 2008-02-20 2010-02-03 シャープ株式会社 真空処理装置および真空処理工場
JP5341492B2 (ja) * 2008-12-17 2013-11-13 シスメックス株式会社 検体処理システム、検体処理方法およびコンピュータプログラム
WO2010094719A1 (en) 2009-02-22 2010-08-26 Mapper Lithography Ip B.V. Charged particle lithography apparatus and method of generating vacuum in a vacuum chamber
EP2399271B1 (en) * 2009-02-22 2013-01-16 Mapper Lithography IP B.V. Lithography machine and substrate handling arrangement
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP4766500B2 (ja) * 2009-08-26 2011-09-07 シャープ株式会社 真空処理装置、および真空処理工場
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013077322A1 (ja) 2011-11-23 2013-05-30 日本電産サンキョー株式会社 ワーク搬送システム
JP5314789B2 (ja) * 2012-06-13 2013-10-16 株式会社日立製作所 真空処理装置及び真空処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20190194809A1 (en) * 2016-09-16 2019-06-27 Picosun Oy Apparatus and methods for atomic layer deposition
WO2018074306A1 (ja) * 2016-10-17 2018-04-26 株式会社ニコン 露光システム及びリソグラフィシステム
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018126795A (ja) * 2017-02-06 2018-08-16 セイコーエプソン株式会社 ロボットシステム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020214785A1 (en) * 2019-04-18 2020-10-22 Lam Research Corporation High density, controlled integrated circuits factory
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022208923A1 (ja) 2021-03-29 2022-10-06 芙蓉実業株式会社 作業ユニット交換システムおよび作業ユニット交換ステーション
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS472971U (zh) 1971-01-27 1972-09-01
US4314582A (en) * 1976-03-23 1982-02-09 Mordeki Drori Combined pressure-regulator and manual shut-off valve
JPS55141570A (en) * 1979-04-18 1980-11-05 Anelva Corp Dry etching apparatus
JPS5619635A (en) * 1979-07-27 1981-02-24 Hitachi Ltd Manufacturing apparatus
DE2940064A1 (de) * 1979-10-03 1981-04-16 Leybold-Heraeus GmbH, 5000 Köln Vakuumaufdampfanlage mir einer ventilkammer, einer bedampfungskammer und einer verdampferkammer
US4341582A (en) 1980-12-22 1982-07-27 The Perkin-Elmer Corporation Load-lock vacuum chamber
US4500407A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Disk or wafer handling and coating system
US4861222A (en) * 1984-03-09 1989-08-29 Tegal Corporation Cassette elevator for use in a modular article processing machine
JPS60246635A (ja) * 1984-05-22 1985-12-06 Anelva Corp 自動基板処理装置
JPS618153A (ja) * 1984-06-22 1986-01-14 Mitsubishi Heavy Ind Ltd スプレ−ノズル
JPS61105853A (ja) * 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5280983A (en) * 1985-01-22 1994-01-25 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5004924A (en) * 1985-01-28 1991-04-02 Tokyo Electron Limited Wafer transport apparatus for ion implantation apparatus
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
JPS63369A (ja) 1986-06-19 1988-01-05 Nippon Shokubai Kagaku Kogyo Co Ltd 新規塗料用樹脂およびそれを含んでなる塗料用樹脂組成物
US4676884A (en) * 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
NZ222903A (en) * 1986-12-17 1990-07-26 Focas Ltd Optical fibre connector: heat shrinkable sleeve
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
JPS63209702A (ja) 1987-02-25 1988-08-31 Ishikawajima Harima Heavy Ind Co Ltd 結晶缶の運転方法
JPS6464231A (en) * 1987-09-03 1989-03-10 Toshiba Corp Conveyor
JP2958005B2 (ja) 1988-01-22 1999-10-06 富士通株式会社 不等間隔データファイルのアクセス方法
US5177514A (en) * 1988-02-12 1993-01-05 Tokyo Electron Limited Apparatus for coating a photo-resist film and/or developing it after being exposed
KR970003907B1 (ko) * 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
JPH01225321A (ja) 1988-03-04 1989-09-08 Mitsubishi Electric Corp 半導体ウエハの処理装置
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
JPH01305533A (ja) 1988-06-03 1989-12-08 Toshiba Corp 搬送装置
US4857160A (en) * 1988-07-25 1989-08-15 Oerlikon-Buhrle U.S.A. Inc. High vacuum processing system and method
US5024570A (en) * 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5017915A (en) 1988-09-19 1991-05-21 Dang Mieu Hong Method of enhancing communication setup between a communication station and a telecommunications network
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5019233A (en) * 1988-10-31 1991-05-28 Eaton Corporation Sputtering system
US5217340A (en) * 1989-01-28 1993-06-08 Kokusai Electric Co., Ltd. Wafer transfer mechanism in vertical CVD diffusion apparatus
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
US5868854A (en) 1989-02-27 1999-02-09 Hitachi, Ltd. Method and apparatus for processing samples
JPH02234095A (ja) 1989-03-08 1990-09-17 Ishikawajima Harima Heavy Ind Co Ltd 原子炉圧力容器の蒸気逃し管系の耐圧試験方法
US5110248A (en) * 1989-07-17 1992-05-05 Tokyo Electron Sagami Limited Vertical heat-treatment apparatus having a wafer transfer mechanism
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
WO1991004213A1 (en) * 1989-09-12 1991-04-04 Rapro Technology, Inc. Automated wafer transport system
JPH03154751A (ja) * 1989-11-08 1991-07-02 Hitachi Ltd 多品種搬送方法及び装置
JP2893882B2 (ja) 1990-07-11 1999-05-24 三菱電機株式会社 アクティブフィルタ装置
JPH0471692A (ja) 1990-07-12 1992-03-06 Hitachi Ltd 微生物撮像装置
JP3128229B2 (ja) 1990-07-13 2001-01-29 ソニー株式会社 液晶ディスプレイ装置
TW221318B (zh) * 1990-07-31 1994-02-21 Tokyo Electron Co Ltd
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
KR100212874B1 (en) * 1990-08-29 1999-09-01 Hitachi Ltd Transferring system and vacuum treating apparatus thereby
US5436848A (en) * 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2525284B2 (ja) * 1990-10-22 1996-08-14 ティーディーケイ株式会社 クリ―ン搬送方法及び装置
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04247632A (ja) 1991-02-01 1992-09-03 Fujitsu Ltd 半導体装置
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
JPH04298060A (ja) * 1991-03-26 1992-10-21 Tokyo Electron Ltd ウエハの位置合わせ装置
JP2986121B2 (ja) * 1991-03-26 1999-12-06 東京エレクトロン株式会社 ロードロック装置及び真空処理装置
JPH04298059A (ja) 1991-03-27 1992-10-21 Hitachi Ltd 真空処理装置
US5271732A (en) * 1991-04-03 1993-12-21 Tokyo Electron Sagami Kabushiki Kaisha Heat-treating apparatus
US5326316A (en) * 1991-04-17 1994-07-05 Matsushita Electric Industrial Co., Ltd. Coupling type clean space apparatus
JPH0521466A (ja) 1991-07-16 1993-01-29 Nec Kansai Ltd 電界効果型トランジスタの製造方法
JP3309997B2 (ja) * 1991-09-05 2002-07-29 株式会社日立製作所 複合処理装置
US5215420A (en) * 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
JPH05136245A (ja) 1991-11-08 1993-06-01 Tokyo Electron Ltd 半導体製造装置
US5256204A (en) * 1991-12-13 1993-10-26 United Microelectronics Corporation Single semiconductor water transfer method and manufacturing system
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
US5468111A (en) * 1992-01-22 1995-11-21 Seagate Technology, Inc. Disc loading and unloading assembly
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JPH0630369A (ja) * 1992-02-06 1994-02-04 Nec Corp 遅延画像データ出力ビデオカメラ
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JP3030160B2 (ja) * 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP3046678B2 (ja) 1992-07-15 2000-05-29 松下電工株式会社 2段駐車装置
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
JPH0653304A (ja) 1992-07-29 1994-02-25 Tokyo Electron Ltd 減圧処理装置
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
JP3350107B2 (ja) 1992-09-17 2002-11-25 株式会社日立製作所 枚葉式真空処理装置
JP2655975B2 (ja) * 1992-09-18 1997-09-24 三菱マテリアル株式会社 ウェーハ研磨装置
JP3172331B2 (ja) * 1993-04-28 2001-06-04 東京エレクトロン株式会社 真空処理装置
JP3151582B2 (ja) * 1993-04-28 2001-04-03 東京エレクトロン株式会社 真空処理装置
JPH06155697A (ja) 1992-11-19 1994-06-03 Toppan Printing Co Ltd カタログ類等の文字割付装置
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
DE69304038T2 (de) * 1993-01-28 1996-12-19 Applied Materials Inc Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
JPH06236914A (ja) * 1993-02-09 1994-08-23 Mitsubishi Electric Corp 半導体製造設備
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
JP3332982B2 (ja) * 1993-03-19 2002-10-07 東京エレクトロン株式会社 基板処理システムおよびキャリア搬送装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5466117A (en) * 1993-06-10 1995-11-14 Xilinx, Inc. Device and method for programming multiple arrays of semiconductor devices
JP2969034B2 (ja) * 1993-06-18 1999-11-02 東京エレクトロン株式会社 搬送方法および搬送装置
JPH0722490A (ja) * 1993-06-30 1995-01-24 Mitsubishi Electric Corp ロット自動編成装置及び方法
TW264601B (zh) 1993-09-17 1995-12-01 Hitachi Seisakusyo Kk
US5570990A (en) * 1993-11-05 1996-11-05 Asyst Technologies, Inc. Human guided mobile loader stocker
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
DE69403890T2 (de) * 1994-01-14 1998-01-08 Ibm Zusammenbau-/Ausbau-Einrichtung für abdichtbaren unter Druck stehenden Transportbehälter
JP3264076B2 (ja) * 1994-01-31 2002-03-11 松下電器産業株式会社 真空処理装置
US5645419A (en) * 1994-03-29 1997-07-08 Tokyo Electron Kabushiki Kaisha Heat treatment method and device
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5826129A (en) * 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
JP3453223B2 (ja) * 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
TW295677B (zh) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH0864732A (ja) 1994-08-26 1996-03-08 Mitsubishi Electric Corp 半導体集積回路装置
KR0152324B1 (ko) 1994-12-06 1998-12-01 양승택 웨이퍼 측면파지 이송 반도체 제조장치
TW315504B (zh) * 1995-03-20 1997-09-11 Tokyo Electron Co Ltd
JPH08288355A (ja) * 1995-04-12 1996-11-01 Nikon Corp 基板搬送装置
US5672239A (en) * 1995-05-10 1997-09-30 Tegal Corporation Integrated semiconductor wafer processing system
TW319751B (zh) * 1995-05-18 1997-11-11 Toshiba Co Ltd
JP3347528B2 (ja) * 1995-05-23 2002-11-20 キヤノン株式会社 半導体製造装置
TW309503B (zh) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5653565A (en) * 1995-07-05 1997-08-05 Asyst Technologies, Inc. SMIF port interface adaptor
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
JP3658110B2 (ja) * 1995-11-27 2005-06-08 キヤノン株式会社 画像表示装置のための製造方法及び製造装置
US5830322A (en) * 1996-02-13 1998-11-03 Thermo Fibertek Inc. Velocity induced drainage method and unit
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
TW466622B (en) * 1996-09-11 2001-12-01 Hitachi Ltd Operating method of vacuum processing device and vacuum processing device
JP3788533B2 (ja) * 1996-09-30 2006-06-21 東京エレクトロン株式会社 研磨装置および研磨方法
JPH10107122A (ja) * 1996-10-01 1998-04-24 Tokyo Electron Ltd 被処理基板カセットの搬入装置
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US5810395A (en) * 1996-12-30 1998-09-22 Morgan; Dale C. Method for recording and tracking the progress of activities
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6057662A (en) * 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
JP4674705B2 (ja) * 1998-10-27 2011-04-20 東京エレクトロン株式会社 搬送システムの搬送位置合わせ方法及び搬送システム
WO2000028587A1 (fr) * 1998-11-09 2000-05-18 Tokyo Electron Limited Dispositif de traitement
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2001127044A (ja) * 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP4915033B2 (ja) * 2000-06-15 2012-04-11 株式会社ニコン 露光装置、基板処理装置及びリソグラフィシステム、並びにデバイス製造方法
JP2002026108A (ja) * 2000-07-12 2002-01-25 Tokyo Electron Ltd 被処理体の移載機構、処理システム及び移載機構の使用方法
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US6672864B2 (en) * 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP2003264214A (ja) * 2002-03-07 2003-09-19 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
JP4254116B2 (ja) * 2002-03-22 2009-04-15 東京エレクトロン株式会社 位置合わせ用基板
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
JP2006506818A (ja) * 2002-11-15 2006-02-23 ユナキス・バルツェルス・アクチェンゲゼルシャフト 二次元的に拡大化された基板を真空処理するための装置および同基板の製造方法
KR100562500B1 (ko) * 2003-02-25 2006-03-21 삼성전자주식회사 기판 이송 시스템 및 기판 이송 방법
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7226269B2 (en) * 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
KR100558558B1 (ko) * 2004-01-26 2006-03-10 삼성전자주식회사 멀티챔버 프로세스장치
JP4128973B2 (ja) * 2004-03-30 2008-07-30 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108315695A (zh) * 2018-05-04 2018-07-24 昆山木利机械设计有限公司 一种智能真空镀膜机构
CN108385081A (zh) * 2018-05-04 2018-08-10 昆山木利机械设计有限公司 一种双仓自动镀膜装置
CN108315695B (zh) * 2018-05-04 2023-11-17 苏州东福来机电科技有限公司 一种智能真空镀膜机构
CN108385081B (zh) * 2018-05-04 2024-01-12 华仪行(北京)科技有限公司 一种双仓自动镀膜装置
TWI770537B (zh) * 2020-06-23 2022-07-11 志聖工業股份有限公司 雙面曝光設備及曝光裝置

Also Published As

Publication number Publication date
US6519504B1 (en) 2003-02-11
US20020062166A1 (en) 2002-05-23
US7347656B2 (en) 2008-03-25
KR100453276B1 (ko) 2004-10-15
US20020091465A1 (en) 2002-07-11
US6430469B2 (en) 2002-08-06
EP1119022B1 (en) 2007-01-24
US20050175435A1 (en) 2005-08-11
US6752580B2 (en) 2004-06-22
US20080138180A1 (en) 2008-06-12
US20010025204A1 (en) 2001-09-27
US7201551B2 (en) 2007-04-10
US6962472B2 (en) 2005-11-08
US20010025207A1 (en) 2001-09-27
US20020061244A1 (en) 2002-05-23
US6752579B2 (en) 2004-06-22
DE69636872T2 (de) 2007-09-20
DE69637878D1 (de) 2009-04-30
US20020082744A1 (en) 2002-06-27
KR100440683B1 (ko) 2004-10-22
KR970008334A (ko) 1997-02-24
EP1119022A2 (en) 2001-07-25
US6253117B1 (en) 2001-06-26
DE69633487D1 (de) 2004-11-04
EP1119022A3 (en) 2003-10-15
EP0756316A1 (en) 1997-01-29
US20020062165A1 (en) 2002-05-23
US20020099469A1 (en) 2002-07-25
EP1143488B1 (en) 2009-03-18
US20040118005A1 (en) 2004-06-24
US6188935B1 (en) 2001-02-13
DE69636872D1 (de) 2007-03-15
US20090220322A1 (en) 2009-09-03
US5855726A (en) 1999-01-05
US6895685B2 (en) 2005-05-24
EP1143488A3 (en) 2003-10-29
EP0756316B1 (en) 2004-09-29
EP1143488A2 (en) 2001-10-10
DE69633487T2 (de) 2005-11-17
US20040197169A1 (en) 2004-10-07
JPH0936198A (ja) 1997-02-07
US6526330B2 (en) 2003-02-25
SG52824A1 (en) 1998-09-28
US20020068982A1 (en) 2002-06-06

Similar Documents

Publication Publication Date Title
TW391987B (en) Vacuum processing apparatus and semiconductor manufacturing line using the same
KR100235917B1 (ko) 진공처리장치
JP2002167038A (ja) 基板移載装置
US6672819B1 (en) Vacuum processing apparatus and semiconductor manufacturing line using the same
JP4369159B2 (ja) 真空処理装置
JP3512404B2 (ja) 真空処理装置および試料の真空処理方法
JP3469230B2 (ja) 真空処理装置
JP2007208284A (ja) 真空処理装置における真空処理方法
JP2003115524A (ja) 試料の真空処理方法
KR20090093536A (ko) 기판처리장치

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent