KR20180034671A - 전도성 배리어 직접 하이브리드 접합 - Google Patents

전도성 배리어 직접 하이브리드 접합 Download PDF

Info

Publication number
KR20180034671A
KR20180034671A KR1020187007638A KR20187007638A KR20180034671A KR 20180034671 A KR20180034671 A KR 20180034671A KR 1020187007638 A KR1020187007638 A KR 1020187007638A KR 20187007638 A KR20187007638 A KR 20187007638A KR 20180034671 A KR20180034671 A KR 20180034671A
Authority
KR
South Korea
Prior art keywords
conductive barrier
barrier material
conductive
layer
metal contact
Prior art date
Application number
KR1020187007638A
Other languages
English (en)
Other versions
KR102408487B1 (ko
Inventor
폴 엠. 엔퀴스트
Original Assignee
인벤사스 본딩 테크놀로지스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인벤사스 본딩 테크놀로지스 인코포레이티드 filed Critical 인벤사스 본딩 테크놀로지스 인코포레이티드
Priority to KR1020227019392A priority Critical patent/KR102659849B1/ko
Publication of KR20180034671A publication Critical patent/KR20180034671A/ko
Application granted granted Critical
Publication of KR102408487B1 publication Critical patent/KR102408487B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/52Mounting semiconductor bodies in containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0382Applying permanent coating, e.g. in-situ coating
    • H01L2224/03825Plating, e.g. electroplating, electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05007Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/05078Plural internal layers being disposed next to each other, e.g. side-to-side arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05547Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05561On the entire surface of the internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05562On the entire exposed surface of the internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05676Ruthenium [Ru] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05681Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/08112Disposition the bonding area being at least partially embedded in the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08121Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08123Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting directly to at least two bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08137Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08147Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • H01L2224/29286Material of the matrix with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/29287Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29298Fillers
    • H01L2224/29299Base material
    • H01L2224/293Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/3001Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/3005Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/305Material
    • H01L2224/30505Layer connectors having different materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80011Chemical cleaning, e.g. etching, flux
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80031Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80035Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by heating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80047Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by mechanical means, e.g. severing, pressing, stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80054Composition of the atmosphere
    • H01L2224/80075Composition of the atmosphere being inert
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80096Transient conditions
    • H01L2224/80097Heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80099Ambient temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8019Arrangement of the bonding areas prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8019Arrangement of the bonding areas prior to mounting
    • H01L2224/80194Lateral distribution of the bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/8093Reshaping
    • H01L2224/80935Reshaping by heating means, e.g. reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8303Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/832Applying energy for connecting
    • H01L2224/83201Compression bonding
    • H01L2224/83203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Wire Bonding (AREA)

Abstract

직접 하이브리드 접합을 형성하는 방법, 및 하기를 포함하는 직접 하이브리드 접합으로부터 생성된 소자: 전도성 배리어에 의해 캡핑되는, 바람직하게는 소자 또는 회로에 접속되는 제1 세트의 금속 접합 패드를 갖고, 제1 기판 상의 금속 접합 패드에 인접한 제1 비금속 영역을 갖는 제1 기판, 바람직하게는 소자 또는 회로에 접속된, 제1 세트의 금속 접합 패드와 정렬된, 제2 전도성 배리어에 의해 캡핑된 제2 세트의 금속 접합 패드를 갖고, 제2 기판 상의 금속 접합 패드에 인접한 제2 비금속 영역을 갖는 제2 기판, 및 제1 비금속 영역을 제2 비금속 영역에 접촉 접합함으로써 형성된 전도성 배리어에 의해 캡핑된 제1 및 제2 세트의 금속 접합 패드 사이의 접촉-접합된 계면.

Description

전도성 배리어 직접 하이브리드 접합
관련 출원의 상호참조
본 출원은 미국 출원 번호 제09/505,283호, 제10/359,608호 및 제11/201,321호에 관한 것이며, 이들의 전체 내용은 본 명세서에 참고로 포함된다.
기술분야
본 발명은, 바람직하게는 실온 또는 저온에서의 직접 접합, 더 구체적으로는 하이브리드 직접 접합의 분야에 관한 것이며, 더 상세하게는 적층된 반도체 소자 및 집적 회로 제조에 이용되는 반도체 재료, 소자, 또는 회로의 접합, 그리고 더욱 더 상세하게는 이동 전화에서의 이미지 센서, 휴대 전화에서의 RF 프론트 엔드, 고성능 그래픽 제품에서의 3D 메모리, 및 서버에서의 3D 메모리를 포함한 소비자용 및 사업용 제품에서의 부가 가치 부품의 제조에 관한 것이다.
다이, 칩, 또는 웨이퍼 적층은 더 낮은 비용으로 더 작은 폼 팩터(form factor)에서 증가된 기능의 지속적인 요구에 대해 산업 표준 실무가 되어 왔다. 일반적으로, 적층은, 적층 공정의 일부로서 또는 적층 공정 후에 형성되는 적층체 내의 층들 사이의 전기 상호접속부와 함께 행해질 수 있다. 적층 공정 후에 형성된 전기 상호접속부의 일례는, 적층체 내의 하나의 층을 통하여 그리고 적층체 내의 인접한 층 내로 에칭 및 충전한 관통 규소 비아(through silicon via, TSV)의 사용으로 적층체 내의 층들 사이에 전기 상호접속부를 형성하는 것이다. 적층 공정의 일부로서 형성된 이러한 3차원(3D) 전기 상호접속부의 예에는 언더필(underfill)을 갖거나 갖지 않는 솔더 범프(solder bump) 및 구리 필러(pillar), 하이브리드 접합 및 직접 하이브리드 접합(direct hybrid bonding)이 포함된다. 적층 공정의 일부로서의 3D 전기 상호접속부의 실현은 TSV(관통 규소 비아) 기술의 비용 및 배제 요건의 제거를 포함하는, 그러나 이로 한정되지 않는, 다수의 이유로 유리하다. 직접 접합 상호접속(Direct Bond Interconnect, DBI®)으로도 지칭되는 직접 하이브리드 접합은, 저온에서 높은 강도를 제공하고 3D 상호접속 피치 스케일링을 서브마이크로미터 치수까지 가능하게 하는, 금속 및 유전체 표면 구성요소 위로의 평면 접합을 포함하는, 그러나 이로 한정되지 않는, 다수의 이유로 다른 적층 형태에 비하여 유리하다.
직접 하이브리드 접합에 사용되는 금속 및 유전체 표면 구성요소는 다양한 제조 기법으로 형성된 다양한 패턴의 금속 및 유전체의 다양한 조합으로 구성될 수 있다. 금속의 비제한적인 예에는 구리, 니켈, 텅스텐, 및 알루미늄이 포함된다. 예를 들어, 문헌[P. Enquist, "High Density Direct Bond Interconnect (DBI™) Technology for Three Dimensional Integrated Circuit Applications", Mater. Res. Soc. Symp. Proc. Vol. 970, 2007, p. 13-24]; 문헌[P. Gueguen, et.al., "3D Vertical Interconnects by Copper Direct Bonding," Mater. Res. Soc. Symp. Proc. Vol. 1112, 2009, p.81]; 문헌[P. Enquist, "Scalability and Low Cost of Ownership Advantages of Direct Bond Interconnect (DBI®) as Drivers for Volume Commercialization of 3-D Integration Architectures and Applications", Mater, Res. Soc. Symp. Proc. Vol. 1112, 2009, p. 81]; 문헌[Di Cioccio, et.al., "Vertical metal interconnect thanks to tungsten direct bonding", 2010 Proceedings 60th ECTC, 1359-1363]; 문헌[H. Lin, et.al., "Direct Al-Al contact using lot temperature wafer bonding for integrating MEMS and CMOS devices," Microelectronics Engineering, 85, (2008), 1059-1061]을 참조한다. 유전체의 비제한적인 예에는 산화규소, 질화규소, 옥시질화규소, 및 질화탄소규소가 포함된다. 예를 들어, 문헌[P. Enquist, "3D Technology Platform ― Advanced Direct Bond Technology", C. S. Tan, K.-N. Chen, and S. J. Koester (Editors), "3D Integration for VLSI Systems," Pan Stanford, ISBN 978-981-4303-81-1, 2011] 및 문헌[J.A. Ruan, S. K. Ajmera, C. Jin, A. J. Reddy, T.S. Kim, "Semiconductor device having improved adhesion and reduced blistering between etch stop layer and dielectric layer", 미국 특허 제7732324 B2호]을 참조한다. 다양한 패턴의 비제한적인 예에는 비아들의 어레이 또는 금속 라인들 및 스페이스들의 어레이가 포함되는데, 이는, 예를 들어, CMOS 라인 백 엔드(back-end-of-line, BEOL) 상호접속부 제조에서 비아 및 라우팅(routing) 층에서 발견되는 것과 같다. 이러한 예에서, 3D 전기 상호접속부는 금속 비아 대 금속 비아, 금속 비아 대 금속 라인, 또는 금속 라인 대 금속 라인의 정렬 및 접합에 의해 형성될 수 있다. 하이브리드 접합에 적합한 표면을 구축하기 위한 제조 기법의 비제한적인 예는 산업 표준 싱글 및 듀얼 다마신(damascene) 공정이며, 이는 필요하다면 적합한 토포그래피(topography) 규격을 만족시키도록 조정된다.
기본적으로 2가지 유형의 CMOS BEOL 제조 공정이 있다. 하나는 전형적으로 알루미늄(Al) BEOL로 지칭되고, 다른 하나는 구리(Cu) BEOL로 지칭된다. Al BEOL 공정에서는, 적합한 전도성 배리어 층을 갖는 Al이 전형적으로 라우팅 층으로서 사용되고, 적합한 전도성 배리어 층을 갖는 텅스텐(W)이 2개의 인접한 Al 라우팅 층들 사이의 전기 상호접속을 위하여 비아 층에 사용된다. Al 라우팅 층은 전형적으로 건식 에칭되고, 후속으로 유전체 침착에 이어지는 화학-기계적 폴리싱(CMP)에 의해 평탄화된다. W 비아 층은 전형적으로 싱글 다마신 공정으로 형성되는데, 이 공정은 유전체 침착, 앞서의 라우팅 층에 대한 비아 패턴화 및 에칭, 전도성 배리어 층의 물리적 증착 및 W 화학적 증착에 의한 비아 충전, 및 유전체 매트릭스 내에 W 비아, 또는 플러그를 격리시키기 위한 W 및 전도성 배리어 층의 CMP로 구성된다. Cu BEOL 공정에서는, 적합한 전도성 배리어 층을 갖는 Cu가 전형적으로 라우팅 및 비아 층으로서 사용된다. Cu 라우팅 및 비아 층은 전형적으로 듀얼 다마신 공정으로 형성되는데, 이 공정은 유전체 침착, 유전체 층을 통한 비아 패턴화 및 부분적 에칭 후, 비아 패턴화와 중첩되는 라우팅 패턴화 및 앞서의 부분 에칭된 비아와 중첩되는 라우팅 층에 대한 비아(들)의 동시 연속 에칭, 및 비아에 의해 앞서의 라우팅 층에 접속하는 라우팅을 위한 트렌치(trench)의 에칭으로 구성된다. 대안적인 듀얼 다마신 공정은 유전체 침착, 앞서의 라우팅 층의 단락(short)을 중지시키는, 유전체 층을 통한 라우팅 패턴화 및 부분적 에칭, 앞서의 라우팅 층에 대한 비아 패턴화 및 에칭으로 구성되는데, 여기서, 비아는 부분 에칭된 라우팅 내에 있고, 에칭은 앞서의 라우팅 층에 대한 비아 에치를 완성한다. 이어서, 어느 것이든 이중 에칭된 표면은, 예를 들어 물리적 증착에 의해 전도성 배리어 층으로 충전된 후, 예를 들어 전기도금 또는 물리적 증착 및 전기도금에 의해 Cu 충전이 행해지고, 마지막으로 유전체 매트릭스 내에 Cu 라우팅을 격리시키기 위하여 Cu 및 전도성 배리어 층의 CMP가 행해진다.
전술된 산업 표준 W 및 Cu 다마신 공정 플로우의 어느 것이든 이들의 사용은, 예를 들어 상기에 제공된 바와 같이, 적합한 표면 토포그래피 하에 있는, 하이브리드 접합을 위한 표면을 형성하는 데 사용될 수 있다. 그러나, 이들 표면이 하이브리드 접합에 사용되는 경우, 전형적으로, 예를 들어 비아 표면들의 오정렬로 인해, 하나의 표면 상의 금속과 다른 하나의 표면 상의 유전체 사이에 이종성 접합 성분이 존재할 것이다. 이로 인해, 하나의 접합 표면으로부터의 비아 충전 재료가, 다른 곳에서는 Cu 또는 W 충전 비아와 주위 유전체 사이에 존재하는 중간 전도성 배리어 없이, 다른 하나의 접합 표면으로부터의 유전체와 직접 접촉 상태에 있게 될 수 있다.
CMOS BEOL 파운드리(foundry)에서는 그러한 파운드리에서 직접 하이브리드 접합 공정을 적격화하는 채용 장벽을 낮추는 데 현재 적격화된 재료 및 공정을 레버리징(leveraging)하는 직접 하이브리드 접합 공정 기술에 대하여 낮은 열 버짓(thermal budget)과 함께 넓은 공정 창(process window)을 갖는 것이 바람직하다. Cu BEOL 공정은 수년 동안 산업 표준이 되어 온 Cu 다마신 공정에 기인하는 그러한 바람직한 능력 및 이러한 인프라구조를 레버리징하는 Cu 직접 하이브리드 접합 기술의 능력의 예이다. Al BEOL 산업 표준 공정을 레버리징하는 것이 상대적으로 더 어려운 과제로 되어 왔는데, 이 공정에서의 2가지 1차 금속인 W 및 Al이 높은 항복 강도, 열팽창 계수(CTE), 자연 산화물, 및 힐록(hillock) 형성을 포함한 인자들의 조합으로 인해 W 또는 Al 직접 하이브리드 접합 기술을 개발하는 데 더 어려운 재료이기 때문이다.
본 발명의 실시 형태는 직접 하이브리드 접합 표면을 형성하는 방법에 관한 것으로, 본 방법은 제1 기판의 상측 표면 내에 제1 복수의 금속 접촉 구조물을 형성하는 단계 - 상기 구조물의 상부 표면은 상기 상측 표면 아래에 있음 -; 상기 상측 표면 및 상기 복수의 금속 접촉 구조물 위로 전도성 배리어 재료의 제1 층을 형성하는 단계; 및 상기 상측 표면으로부터 상기 전도성 배리어 재료의 제1 층을 제거하는 단계를 포함한다.
본 발명 및 그의 많은 부수적인 이점에 대한 더 완전한 이해가, 이들이 첨부 도면과 함께 고려될 때 하기의 상세한 설명을 참조함으로써 더 잘 이해되게 됨에 따라 용이하게 얻어질 것이다.
도 1은 충전된 비아 및/또는 라우팅을 갖고, 충전된 비아 및/또는 라우팅과 주위 유전체 사이에 전도성 배리어를 갖는, 싱글 또는 듀얼 다마신 공정으로 형성된 전도성 층의 표면 부근 영역의 단면의 개략도이다.
도 2는 도 1에서, 주위 유전체의 표면으로부터 전도성 층을 제거한 후의 단면의 개략도이다.
도 3은 도 2에서, 전도성 배리어 재료 층을 형성한 후의 단면의 개략도이다.
도 4는 도 3에서, 주위 유전체의 표면으로부터 전도성 배리어 재료 층을 제거한 후의 단면의 개략도이다.
도 5는 2개의 하이브리드 직접 접합 표면이 접합되는 것의 개략도이다.
도 6은 각각의 유전체 층들을 접촉시킨 후의 2개의 하이브리드 직접 접합 표면의 개략도이다.
도 7은 직접 접합된 2개의 하이브리드 직접 접합 표면의 개략도이다.
도 8은 디싱(dishing)의 결과로서의 전도성 배리어 재료의 상측 표면의 만곡(curvature)의 개략도이다.
도 9는 전도성 배리어들을 갖는 라우팅 구조물들에 대한 전도성 배리어들을 갖는 비아들의 정렬 및 전도성 배리어들을 갖는 유사한 비아 구조물들의 오정렬을 갖는, 본 발명에 따른 한 쌍의 기판의 개략도이다.
도 10은 금속 층과 주위 유전체 사이에 측방향으로 전도성 배리어 층을 갖지 않고서, 패턴화된 금속 층을 노출시키는 평탄화에 의해 주위 유전체와 함께 평탄화된 패턴화된 금속 층으로 구성된 표면의 표면 부근 영역의 단면의 개략도이다.
도 11은 본 발명에 따라 도 10에서, 패턴화된 금속 층의 노출된 표면의 전도성 부분이 전도성 배리어 금속으로 캡핑된(capped) 단면의 개략도이다.
도 12는 금속 층과 주위 유전체 사이에 측방향으로 전도성 배리어들을 갖지 않고서, 라우팅 구조물들에 대한 전도성 배리어들이 없는 상태의 라우팅 구조물들의 정렬의 예를 나타내는, 본 발명에 따른 한 쌍의 접촉된 기판의 개략도이다.
도 13은 관통 규소 비아 구조물을 갖는 본 발명의 다른 실시 형태의 개략도이다.
도 14는 도 13에서, 제2 전도성 배리어 재료 층을 갖는 구조물의 개략도이다.
도 15는 유전체 층이 측벽 상에 있는 관통 규소 비아 구조물을 갖는 본 발명의 다른 실시 형태의 개략도이다.
이제 도면들을 참조하면, 이들 도면에서는 유사 도면 부호가 몇몇 도면에 걸쳐 유사 또는 상응하는 부분을 지정하고 있으며, 더 상세하게는 도 1을 참조하는데, 이 도면은 본 발명에 따른 직접 하이브리드 접합을 위한 공정에서 기판(30)의 표면의 단면을 나타내며, 단면은 전도체(1), 전도성 배리어(2), 유전체(3), 및 금속 구조물(4)로 구성되어 있다. 금속 구조물(4)은 유전체(3) 내에 형성되어 있다. 금속 구조물(4)은 유전체(3) 내에 위치되어 있고, 접점, 패드, 라인, 또는 다른 금속 상호접속 구조물일 수 있다. 개구부가 금속 구조물(4) 위의 유전체(3) 내에 형성된 후, 배리어(2) 및 전도체(1)가 형성된다. 전도체(1), 전도성 배리어(2) 및 금속 구조물(4)의 크기 및 두께는 축척대로 그려져 있지 않고 본 발명을 예시하기 위해 그려져 있다. 개구부들 및 금속 구조물들이 동일한 크기 및 형상인 것으로 도시되어 있지만, 이들은 설계 또는 필요성에 따라 크기 및 형상이 상이할 수 있다.
전도체(1)를 위한 매우 다양한 금속이 가능하며, 이에는, 각각 Cu 및 Al BEOL 파운더리에서 일반적인 Cu 및 W가 포함되지만 이로 한정되지 않는다. Cu는 물리적 증착(PVD) 또는 전기도금(EP)에 의해 침착될 수 있고, W는 화학적 증착(CVD)에 의해 침착될 수 있다. 전도성 배리어 재료(2)를 위한 매우 다양한 전도성 배리어가 또한 가능하며, 이들은 Cu 및 Al BEOL 파운더리에서 일반적인 것들이다. Cu BEOL 공정에서의 전도성 배리어는 탄탈(Ta), 질화티타늄(TiN), 질화탄탈(TaN), 질화텅스텐(WN), 산화루테늄(RuO2), 탄탈 규소 질화물(TaSiN), 티타늄 규소 질화물(TiSiN), 텅스텐 붕소 질화물(TBN), 코발트 텅스텐 붕소화물(CoWB), 코발트 텅스텐 인화물 또는 이들의 조합, 예를 들어 Ti/TiN 및 Ta/TaN을 포함하며, 이들은 PVD, CVD, 및 금속 유기 CVD(MOCVD)를 포함한 다양한 기법에 의해 침착될 수 있다. 다양한 PVD 기법이 이용가능하며, 이에는 DC 마그네트론 스퍼터링, 시준된 스퍼터링(collimated sputtering), 및 이온화 금속 플라즈마(ionized metal plasma, IMP)가 포함된다. Al BEOL 공정에서의 전도성 배리어는 Ti/TiN을 포함한다. 다른 재료, 예를 들어 니켈(Ni)이 또한 배리어로서 가능하다.
매우 다양한 유전체가 또한 가능한데, 이에는 Cu 및 Al BEOL 파운더리에서 일반적인 산화규소, 질화규소, 및 질화탄화규소가 포함되지만 이로 한정되지 않는다. 도 1에서 단면으로 나타낸 표면을 생성하기 위한 일반적인 방법은 전술된 다마신 공정을 사용하는 방법이다.
도 1의 상측 표면은 유전체(3) 상부 상의 전도체(1) 및 전도성 배리어(2)의 부분을 제거하기 위하여 CMP를 거친다. 도 2는 CMP 후의 구조물을 예시한다. 유전체(3)에 대한 전도체(1) 및 전도성 배리어(2)의 상대 높이는 다마신 공정의 CMP 부분에 의해 제어될 수 있다.
유전체(3)에 대한 전도체(1) 및 전도성 배리어(2)의 상대 높이의 다수의 구성이 존재한다. 전도체(1) 및 배리어(2)의 상부 표면은 유전체(3)의 표면 아래에, 그와 동일 높이에, 그와 공칭상 동일 높이에, 또는 그 위에 존재할 수 있다. 일반적으로, 직접 하이브리드 접합이 모든 구성에 대하여 가능하다. 그러나, 바람직한 구성은 전도체(1) 및 전도성 배리어(2)의 상대 높이가 거리(t1)만큼 유전체(3) 아래에 있는 경우이다. 이러한 구성은 무공극 접합 계면의 형성으로 이어지고, 접합 표면 전체에 걸쳐 상대 높이의 변동에 관하여 더 제조가능하다. 직접 하이브리드 접합에 가장 적합한 표면을 위한 유전체(3) 아래의 전도성 층들의 접합 표면 전체에 걸친 상대 높이의 변동의 예는 유전체(3) 아래로 1 내지 10 나노미터이지만, 더 작고 더 큰 변동이 또한 가능하다. 이러한 리세스(recess)는 전형적으로 디싱으로 지칭된다. 생성된 표면은 전도성 배리어(2)를 갖지 않는 하이브리드 접합 표면으로 지칭된다.
하이브리드 접합과 양립가능한 전형적인 디싱 양은 0 내지 20 nm이며, 이는 표준 디싱으로 지칭된다. 표준 디싱은 도 2에 도시된 이러한 증가된 디싱 형성 개구부(5)의 상부 상에 형성된 도 3에 도시된 후속 전도성 배리어(7)의 두께에 비견되는 양만큼 증가되어, 표준 디싱에 비견되고 직접 하이브리드 접합에 요구되는 것과 양립가능한 디싱을 가져온다. 표준 디싱의 증가의 예는 5 내지 20 nm이며, 그 결과 총 디싱(t1)은 약 5 내지 40 nm가 된다. 표준 디싱의 이러한 증가는 다양한 방법으로, 예를 들어 원하는 증가된 디싱이 달성될 때까지 표준 디싱을 생성하는 데 사용되는 CMP를 증가시킴으로써 형성될 수 있다. CMP의 이러한 증가는 CMP 시간의 증가에 따라 달성될 수 있으며, 이의 양은 일상적인 보정에 의해 결정될 수 있고, CMP 패드, 슬러리, 하향력(downforce), 캐리어 및 테이블 회전, 및 하이브리드 표면 상의 전도체 및 유전체의 패턴의 함수일 수 있다.
도 3에 도시된 바와 같이, 전도성 배리어 금속(6)의 층이 도 2에 도시된 표면(31) 상의 구조물 위로 형성된다. 배리어(6)는 전도성 배리어(2)와 동일하거나 상이한 재료일 수 있다. 증가된 디싱 후의 전도체(1)의 상부 상의 배리어(6)의 형성은 다수의 방법으로, 예를 들어 다마신 공정에 의해 형성될 수 있는데, 이때 다마신 공정은 전체 표면 위로 전도성 배리어를 침착시킨 후, CMP를 행하여 리세스 내로부터 층(6)의 전도성 배리어 재료의 상당량 또는 전부를 제거하지 않고서 더 높은 유전체 표면으로부터 전도성 배리어를 제거하는 것을 포함한다. 배리어 형성은 또한 선택적인 공정, 예를 들어 무전해 니켈 전기도금을 사용하여 형성될 수 있다. 생성된 구조물은 전도체(1) 및 전도성 배리어(2)의 상부 상의 개구부(5) 각각 내에 전도성 배리어(7)를 갖는다. 이렇게 생성된 디싱은 바람직하게는 직접 하이브리드 접합에 요구되는 것과 양립가능한데, 즉 전도성 배리어(7)의 표면은 유전체(3)의 표면 아래로 20 nm 미만에, 그리고 바람직하게는 1 내지 10 nm에 있다. 도 4에 개략적으로 도시된 생성된 표면의 단면은 전도성 배리어(7)를 갖는 하이브리드 접합 표면으로 지칭된다.
층(6)의 두께는 도 3에 도시된 바와 같이 전도체(1)/배리어(2)의 디싱 양보다 작을 수 있거나, 또는 이러한 디싱 양과 동일하거나 그보다 두꺼울 수 있다. 전자의 경우에, 층(6)의 일부분만이 리세스로부터 제거되거나 전혀 제거되지 않는다. 층(6)이 리세스의 양과 동일하거나 그보다 두꺼운 경우에는, 층(6)이 CMP에 의해 리세스 내로부터 제거된다. 층(6)은 모든 경우에, 생성된 디싱이, 배리어(7)를 형성할 때, 20 nm 미만, 바람직하게는 1 내지 10 nm이 되도록 제거된다.
기판(30)의 각각의 하이브리드 접합 표면은, 소자 및/또는 집적 회로(도시되지 않음)가 하이브리드 접합의 완료 후에 서로 접속될 수 있도록 이러한 소자 및/또는 집적 회로를 포함할 수 있다. 소자 및 회로는 금속 구조물(4)을 포함할 수 있거나, 또는 추가의 예시되지 않은 상호접속 구조물을 통해 금속 구조물(4)에 접속될 수 있다.
도 4에 도시된 바와 같은 단면 개략도를 갖는, 각각이 전도성 배리어(7)를 갖는 기판들(30, 32)의 2개의 하이브리드 접합 표면이 이제 도 5 및 도 6의 단면도에 도시된 바와 같이 서로 직접 하이브리드 접합되어 직접 하이브리드 접합(12)을 형성할 수 있다. 기판들(30, 32)은 정렬되고(도 5) 직접 접촉 상태에 놓이게 되어서 기판들(30, 32) 내의 유전체 층(3)들이 서로 접촉하게 된다(도 6). 정렬 및 접촉은 룸 환경(room ambient)에서 또는 진공 하에서 실온에서 수행될 수 있다. 도면들이 기판들(30, 32)의 배리어(7)들 사이의 갭을 개략적으로 도시하고 있기는 하지만, 정렬 및 접촉 후에 배리어(7)들 사이에 부분적 또는 상당한 접촉이 있을 수 있다. 일대일 접속 배열이 도 6에 도시되어 있지만, 예컨대, 하나의 기판 내의 복수의 금속 구조물이 다른 기판 내의 단일 금속 구조물에 접합되는 다른 배열이 가능하다.
기판들(30, 32)의 유전체 표면은 바람직하게는 미국 출원 번호 제09/505,283호, 제10/359,608호 및 제11/201,321호에 기재된 바와 같이 제조된다. 간략하게 말하면, 표면이 에칭, 폴리싱, 활성화 및/또는 원하는 접합 화학종으로 말단화되어(terminated) 기판들(30, 32) 상의 유전체(3) 사이의 화학적 접합을 촉진 및 향상시킬 수 있다. 조도가 0.1 내지 3 nm rms인 유전체(3)의 매끄러운 표면이 생성되고, 이것이 습식 또는 건식 공정을 통해 활성화 및/또는 말단화된다.
기판 표면들이 실온에서 접촉함에 따라, 기판 표면들의 유전체(3)는 접촉 지점 또는 지점들에서 접합을 형성하기 시작하고, 화학적으로 접합된 영역이 증가함에 따라 웨이퍼들 사이의 접합 인력(attractive bonding force)이 증가한다. 이러한 접촉은 배리어(7)를 포함할 수 있거나 배리어(7)를 포함하지 않을 있다. 접촉이 배리어(7)를 포함하는 경우, 유전체(3)에서의 기판-대-기판의 화학적 접합에 의해 생성된 압력은 힘을 발생시키고, 이러한 힘에 의해 배리어(7)의 접촉 영역이 강하게 결합되고, 기판들(30, 32)에서의 유전체(3) 사이의 화학적 접합이 2개의 상이한 웨이퍼 상의 금속 패드들 사이에 전기 접속을 생성한다.
기판들(30, 32)의 유전체(3) 사이의 접합으로부터 발생되는 서로에 대한 배리어(7)들의 내부 압력은, 예를 들어 자연 산화물 또는 다른 오염물, 예를 들어 탄화수소로 인해 바람직하게는 낮은 저항으로 전기 접속을 달성하는 데 적절하지 않을 수 있다. 개선된 접합 또는 바람직한 더 낮은 저항의 전기 접속은 배리어(7) 상의 자연 산화물을 제거함으로써 달성될 수 있다. 예를 들어, 묽은 불화수소산을 사용하여 기판들(30, 32)의 표면 또는 표면들을 세정할 수 있고, 접합이 수행될 때까지 자연 산화물을 제거한 후에 불활성 환경, 예를 들어 질소 또는 아르곤에 노출시킬 수 있다.
내부 압력은 또한 배리어(7)의 표면들을 서로 충분히 접촉시키는 데 충분하지 않을 수 있다. 대안적으로 또는 추가적으로, 배리어(7)들 사이의 개선된 접합 또는 바람직한 더 낮은 저항의 전기 접속은 가열에 의해 달성될 수 있다. 가열의 예에는 접점 구조물(4), 배리어(6) 및 전도체(1)에 사용되는 재료에 따라 10분 내지 2시간의 시간 동안 100 내지 400℃ 범위의 온도가 포함된다. 재료들의 주어진 조합에 대한 시간 및 온도 최적화가 가능하다. 예를 들어, 더 짧은 가열 시간이 더 높은 온도를 사용하여 가능할 수 있고, 더 낮은 온도가 더 긴 가열 시간을 사용하여 가능할 수 있다. 가열 시간이 최소화될 수 있고/있거나 가열 온도가 최소화될 수 있는 정도는 특정 구조 및 재료 조합에 좌우될 것이고, 일반적인 공정 최적화 실무에 의해 결정될 수 있다. 예를 들어, 배리어(7)가 니켈인 경우, 접합을 개선하고 전기 접속을 개선하는 데, 2시간 동안 300℃의 온도가 충분할 수 있거나 15분 동안 350℃의 온도가 충분할 수 있다. 배리어(7) 재료 및 배리어(7) 바로 아래의 다른 재료에 따라 더 높은 및 더 낮은 온도 및/또는 시간이 또한 가능하다. 온도 증가는, 자연 산화물 또는 다른 오염물의 감소에 의해 또는 전도체(1) 및 배리어(7)의 열팽창으로 인해 배리어(7)들 사이의 내부 압력을 증가시킴으로써, 바람직하게는 낮은 저항의 전기 접속을 가져올 수 있다. 재료(4), 및 재료(4) 아래의 다른 재료(예시되지 않음)가 또한 배리어(7) 바로 아래의 구조물의 열팽창을 증가시킬 수 있고, 이에 상응하여, 대향하는 배리어(7)들 사이의 압력을 증가시킬 수 있다. 예를 들어, 재료(4)가 관련 CTE 및 영 모듈러스(Young's modulus)를 갖는 알루미늄인 경우, 더 낮은 CTE 및/또는 영 모듈러스를 갖는 대안적인 재료(4)에 비하여 더 높은 압력이 발생될 수 있다. 가열은 또한 배리어(7)들 사이의 상호확산을 증가시켜 바람직한 더 낮은 저항의 전기 접속을 생성할 수 있다.
기판들(30, 32)의 유전체(3) 사이의 초기 접합이 배리어(7)를 포함하지 않는 경우, 가열을 사용하여 유전체(3)보다 배리어(7)의 더 높은 CTE에 기인하여 배리어(7)들 사이에 접촉을 가져올 수 있다. 가열 또는 온도 상승의 양은 배리어(7)들 사이의 간격, 배리어(7) 및 전도체(1) 및 금속 구조물(4)의 두께, CTE, 및 영 모듈러스에 좌우되는데, 이들 파라미터는 주어진 온도 상승에 대하여 대향하는 배리어(7)들 사이의 압력에 영향을 주기 때문이다. 예를 들어, 배리어(7)들 사이의 간격을, 예를 들어 10 nm 미만으로 최소화함으로써 20 nm의 간격에 비하여 가열을 감소시킬 수 있다. 추가의 예로서, 배리어(7) 및/또는 전도체(1)의 높이 또는 두께는 압력을 증가시킬 것인데, 배리어(7) 및 전도체(1)의 열팽창이 두께에 따라 증가할 것이기 때문이다. 예를 들어, 배리어(7) 및 전도체(1)의 팽창의 전형적인 증가는 두께에 비례한다. 추가의 예로서, 더 높은 영 모듈러스를 갖는 전도체(1)는 더 낮은 영 모듈러스를 갖는 대안적인 전도체(1)보다 더 높은 압력을 발생시킬 것으로 예상되는데, 더 높은 영 모듈러스 재료는 압력을 발생시킬 때 항복할 가능성이 더 적기 때문이다. 더 낮은 영 모듈러스를 갖는 배리어(7)는 그것이 더 낮은 압력에서 항복함으로써 접속의 형성을 촉진시킬 수 있을 만큼 많은 가열을 필요로 하지 않을 수 있기 때문이다. 따라서, 기판들(30, 32)의 표면이 초기에 접촉될 때 배리어(7)가 친밀한 접촉 상태에 있지 않은 경우, 가열 후에, 전도체(1) 및 배리어(7)의 열팽창은 도 7에 도시된 바와 같이 친밀하게 접촉된 저-저항 접속부를 생성한다.
전도체(1)들/배리어(2) 및 배리어(7)의 표면이 상기 예에서 평면으로서 도시되어 있지만, 하나 또는 둘 모두는 CMP 공정으로 인해 약간의 만곡을 가질 수 있다. 프로파일이 도 8에 도시되어 있는데, 여기서는 둘 모두가 만곡을 갖는다. 도 8에서, 기판(33)은 배리어(7) 및 전도체(1)/배리어(2)를 가지며, 이들의 표면은 변동하는 것으로 도시되어 있다. 배리어(7)의 두께는 바람직하게는 전도체(1)의 조도의 커버리지(coverage)를 수용하기에 충분히 두껍지만 제조를 복잡하게 할 정도로 두껍지는 않다. 전형적인 두께 범위는 5 내지 20 nm일 수 있다. 만곡의 중간 및 가장자리에서의 배리어의 상대 두께는 전도체(1) 상에의 배리어(7) 침착 전의 접촉부(1)의 표면 형성의 만곡 및 배리어(7)의 형성의 만곡에 따라, 예를 들어 접촉부(1)의 표면을 형성하는 데 사용되는 CMP 공정 및 배리어(7)의 표면을 형성하는 데 사용되는 CMP 공정의 상이한 특성으로 인해, 더 두껍거나 더 얇을 수 있다. 배리어(7)의 중심은 유전체(3)의 표면 아래로 20 nm 미만 그리고 바람직하게는 1 내지 10 nm의 리세스이다.
도 9는 하이브리드 접합 표면을 갖는 2개의 기판(34, 35)의 상측 부분을 예시한다. 전도성 배리어를 갖는 하이브리드 접합 표면은 아래에 놓인 트레이스 구성요소(도시되지 않음)에 접속되는 비아 구성요소(8) 또는 아래에 놓인 비아 구성요소(도시되지 않음)에 접속되는 트레이스 구성요소(9)를 포함할 수 있다. 접합 후에는, 전형적으로 전도성 배리어를 갖는 각각의 하이브리드 접합 표면들 사이에 약간의 오정렬이 있다. 이러한 오정렬은 제1 하이브리드 접합 표면 상의 전도성 배리어(7)와 제2 하이브리드 접합 표면 상의 유전체 표면(6)의 접촉 및 제1 하이브리드 접합 표면 상의 유전체 표면(6)과 제2 하이브리드 접합 표면 상의 전도성 배리어(7)의 접촉을 야기할 수 있으며, 이는 도 9에서 10으로 나타낸 바와 같다. 이러한 오정렬은 또한 하나의 하이브리드 접합 표면 상의 전도성 배리어(7)와 다른 표면 상의 유전체 표면(6)의 접촉 및 하나의 표면으로부터의 전도성 배리어(7)의 전체 표면과 다른 하나의 하이브리드 접합 표면 상의 전도성 배리어(7)의 표면의 일부분의 접촉을 야기할 수 있으며, 이는 도 9에서 11로 나타낸 바와 같다.
이러한 오정렬에도 불구하고, 본 발명에 따르면, 제1 또는 제2 하이브리드 접합 표면 상의 유전체(3)의 표면은 다른 하나의 하이브리드 접합 표면 상의 전도성 배리어(7)와 접촉 상태에 있고, 제1 또는 제2 하이브리드 접합 표면 상의 전도성 배리어(7)는 다른 하나의 하이브리드 접합 표면 상의 전도성 배리어(7) 또는 유전체(3)의 표면과 접촉 상태에 있다. 따라서, 전도체(1)의 상부 상의 전도성 배리어(7)는 오정렬에도 불구하고 전도체(2)와 유전체(3) 사이의 접촉을 방지한다. 본 발명의 이러한 특징은 직접 하이브리드 접합의 신뢰성을 개선할 수 있는데, 이는, 예를 들어, Cu가 전도체(1)로 사용되고, 그의 Cu 싱글 또는 듀얼 다마신 직접 하이브리드 접합 표면이, 예를 들어, Cu가 유전체(3)와 직접 접촉 상태에 있는 경우 유전체(3) 내로의 Cu 확산이 우려가 되는 응용을 위하여 Cu BEOL로 구축될 때 그러하다. 이러한 특징은 또한 일부 구조물에 대하여, 예를 들어 전도체(1)가, 대향하는 표면들 상의 전도체(1) 사이에 전기 접속을 형성하는 것이 대향하는 표면들 상의 전도체(1)들의 상부 상의 전도성 배리어(7) 사이에 전기 접속을 형성하는 것보다 더 어려울 때 Al BEOL로 구축된 W 플러그 싱글 다마신 직접 하이브리드 접합 표면인 경우에, 접합 계면을 가로지르는 전기 접속의 형성을 촉진시킬 수 있다.
도 2에 도시된 디싱의 양은 리세스된 전도성 부분들을 갖는 이들 표면을 사용하는 후속 직접 하이브리드 접합의 열 버짓에 영향을 줄 수 있다. 예를 들어, 초기에 직접 하이브리드 접합 표면들을 직접 접촉되게 한 후에, 유전체 부분들이 직접 접촉 상태에 있을 수 있고, 리세스된 전도성 부분들의 전부 또는 일부가 리세스로 인해 직접 접촉 상태에 있지 않을 수 있다. 리세스된 전도성 부분들을 갖는 이들 직접 하이브리드 접합된 표면의 가열은 리세스된 전도성 부분들의 팽창을 가져와서 이들이, 직접 하이브리드 접합 표면들이 접촉되게 하여 상당한 압력을 발생시켜 대향하는 리세스된 전도성 부분들 사이의 전기 접속을 촉진시키는 온도를 초과하는 온도에서 그리고 심지어는 더 높은 온도에서 직접 접촉되도록 할 수 있다. 이러한 더 높은 온도는 대향하는 리세스된 전도성 부분들 사이의 전기 상호접속부의 형성 및 직접 하이브리드 접합의 완료를 촉진시킬 수 있다. 리세스된 부분들이 직접 접촉되게 하는 데 그리고 상당한 압력을 발생시켜 대향하는 리세스된 전도성 부분들 사이의 전기 접속을 촉진시키는 데 필요한 온도는 전도성 재료, 전도성 재료 상의 잔류물 또는 자연 산화물, 전도성 재료의 항복 강도 및 전도성 재료의 디싱 또는 리세스의 조합이다. 예를 들어, 더 적은 디싱은, 대향하는 전도성 배리어(7) 표면들 사이에 금속 접합을 형성하는 데 더 적은 전도체(1) 및 전도성 배리어(7) 팽창이 필요하기 때문에 저온 또는 실온에서 대향하는 유전체 표면들을 초기에 직접 접합시킨 후에 하이브리드 접합을 완료하는 데 필요한 더 낮은 열 버짓을 가져올 수 있다.
예를 들어, 전도성 배리어로서 Ni를 사용하는 경우, 캡핑 전도성 배리어 없이 구리를 사용하는 경우 충분할 수 있는 약 200℃에 비하여 약 350℃로 가열함으로써 10 nm의 리세스가 수용될 수 있다. 열 버짓을 감소시키기 위하여, 일반적으로 더 낮은 항복 강도 및 더 적은 디싱과 함께 더 높은 CTE(열팽창 계수) 재료를 사용하는 것이 유용하다. 일반적으로, CTE 및 항복 강도는 선택된 배리어에 의해 제공되고, 디싱은 적합한 열 버짓을 달성하는 데 변동될 수 있는 변수이다. 열 버짓은 또한 전도체 바로 아래에 있는 재료에 의해 영향을 받을 수 있다. 예를 들어, 도 4에 도시된 바와 같이 전도체(1) 바로 아래의 더 높은 CTE(즉, 15 ppm/℃ 초과)의, 예를 들어 금속 구조물(4)을 갖는 전도체(1)는 하이브리드 접합 전기 접속부를 형성하는 데 있어서 더 낮은 CTE를 갖는 전도체(1) 및/또는 금속 구조물(4)보다 더 낮은 열 버짓을 가질 수 있다. 15 ppm/℃ 초과의 높은 CTE를 갖는 금속의 예에는 Al 및 Cu BEOL 공정에서 일반적인 전도체인 Cu 및 Al이 포함된다.
본 발명에 따른 제2 실시 형태에서, 도 10에 도시된 바와 같이 유전체 부분(14)에 의해 둘러싸인 전도성 부분(13)은 기판(36) 내에 직접 하이브리드 접합 표면(15)을 포함한다. 전도성 부분(13)의 예는 알루미늄이고, 유전체 부분(14)의 예는 층간 유전체이며, 이들의 예는 산화규소 및 Al BEOL에서 사용되는 다른 유전체이며, 이는 Al BEOL에서 사용되는 전형적인 재료의 예이다. 금속 부분(13)은 아래에 놓인 상호접속 층에 접속된 비아 및/또는 라우팅 패턴을 포함할 수 있다. 유전체 부분(14)은, 예를 들어 전도성 부분이 비아만으로 구성된다면 연속적일 수 있거나, 또는, 예를 들어 전도성 부분이 라우팅 패턴에 의해 분리된다면 연속적이지 않을 수 있다. 이 실시 형태에서, 직접 하이브리드 접합 표면(15)은 바람직하게는 직접 하이브리드 접합 규격 이내에서 디싱된 전도성 부분을 갖는다. 이 표면은 Al 금속화, 유전체 침착, 및 CMP 평탄화를 조합하여 도 10에 도시된 단면을 갖는 표면을 형성함으로써 형성될 수 있다. Al 금속화는 상부 상에 전도성 배리어, 예를 들어 Ti를 포함할 수 있다. 전도성 배리어가 존재하고 그것이 CMP 평탄화에 의해 제거되는 경우, 표면은 도 10에 도시된 단면을 가질 것이다. 전도성 배리어가 그것이 CMP 평탄화에 의해 완전히 제거되지 않을 정도로 충분히 두껍고, 하이브리드 접합을 위한 하이브리드 접합 표면의 전도성 배리어 부분의 적합한 디싱(t2), 예를 들어 0 내지 20 nm가 존재하는 경우, 예를 들어 도 11에 도시된 바와 같은 이러한 표면은 추가의 전도성 배리어 침착 및 CMP 없이 직접 하이브리드 접합에 적합할 수 있다.
도 10에 나타낸 디싱(t2)은 이러한 증가된 디싱의 상부 상에 형성된 후속 전도성 배리어(16)의 두께에 비견되는 양만큼 증가되어, 도 10에서의 것과 비견되고 직접 하이브리드 접합(도 10)에 요구되는 것과 양립가능한 디싱을 가져온다. 두께의 이러한 증가는 약 5 내지 20 nm의 범위이다. 표준 디싱의 이러한 증가는 다양한 방법으로, 예를 들어 직접 하이브리드 접합에 요구되는 것과 양립가능하기 위해 사용되는 것으로부터 CMP의 양을 증가시킴으로써 형성될 수 있다. 증가된 디싱의 상부 상의 배리어의 형성은 다수의 방법으로, 예를 들어 다마신 공정에 의해 형성될 수 있는데, 이때 다마신 공정은 전체 표면 위로 전도성 배리어를 침착시킨 후(도 3과 유사함), CMP를 행하여 리세스 내로부터 전도성 배리어의 상당량 또는 전부를 제거하지 않고서 더 높은 유전체 표면(17)으로부터 전도성 배리어를 제거하는 것을 포함한다(도 11). 형성된 배리어의 두께는, 예를 들어 약 40 nm 미만의 증가된 디싱 두께에 비견되거나, 더 크거나, 더 작을 수 있다. 이어서, 최종 배리어 두께 및 디싱은 배리어의 형성 후에 CMP에 의해 제어될 수 있다.
이 실시 형태에서, 이렇게 생성된 디싱은 바람직하게는 직접 하이브리드 접합에 요구되는 것과 양립가능하다. 생성된 표면의 단면은 기판(37)을 예시하는 도 11에 개략적으로 도시되어 있고, 아래에 놓인 전도성 배리어와 접촉 상태에 있지 않은 전도성 배리어(16)를 갖는 하이브리드 접합 표면(18)으로 지칭된다. 배리어 형성은 또한 선택적인 공정, 예를 들어 무전해 니켈 전기도금을 사용하여 형성될 수 있다.
도 11의 단면 개략도에 도시된 바와 같이 형성된 전도성 배리어(16)를 갖는 기판들(38, 39)의 2개의 하이브리드 접합 표면은 이제 도 12의 단면에 도시된 바와 같이 서로 직접 하이브리드 접합되어, 아래에 놓인 전도성 배리어 없이 전도성 배리어(16)와의 직접 하이브리드 접합을 형성할 수 있다. 각각의 하이브리드 접합 표면은 기판의 표면이고, 각각의 기판은 소자 및/또는 집적 회로가 하이브리드 접합의 완료 후에 서로 접속될 수 있도록 이러한 소자 및/또는 집적 회로를 포함할 수 있다. 전도성 배리어를 갖는 하이브리드 접합 표면은 아래에 놓인 트레이스 구성요소(도시되지 않음)에 접속되는 비아 구성요소 또는 아래에 놓인 비아 구성요소(도시되지 않음)에 접속되는 트레이스 구성요소(19)를 포함할 수 있다.
접합 후에는, 전형적으로 전도성 배리어를 갖는 각각의 하이브리드 접합 표면들 사이에 약간의 오정렬이 있다. 이러한 오정렬은 제1 하이브리드 접합 표면 상의 전도성 배리어(16)와 기판(36) 내의 제2 하이브리드 접합 표면 상의 유전체 표면(17)의 접촉 및 제1 하이브리드 접합 표면 상의 유전체 표면(17)과 제2 하이브리드 접합 표면 상의 전도성 배리어(16)의 접촉을 야기할 수 있으며, 이는 도 12에서 20으로 나타낸 바와 같다. 이러한 오정렬은 또한 하나의 하이브리드 접합 표면 상의 전도성 배리어(16)와 다른 표면 상의 유전체 표면(17)의 접촉 및 하나의 표면으로부터의 전도성 배리어(16)의 표면과 다른 하나의 하이브리드 접합 표면 상의 전도성 배리어(16)의 표면의 일부분의 접촉을 야기할 수 있으며, 이는 도 12에서 21로 나타낸 바와 같다.
이러한 오정렬에도 불구하고, 본 발명에 따르면, 제1 또는 제2 하이브리드 접합 표면 상의 유전체 표면(17)은 다른 하나의 하이브리드 접합 표면 상의 전도성 배리어(16)와 접촉 상태에 있고, 제1 또는 제2 하이브리드 접합 표면 상의 전도성 배리어(16)는 다른 하나의 하이브리드 접합 표면 상의 전도성 배리어(16) 또는 유전체 표면(17)과 접촉 상태에 있다. 이러한 특징은 일부 구조물에 대하여, 예를 들어 전도체(13)가, 대향하는 표면들 상의 전도체(13) 사이에 전기 접속을 형성하는 것이 대향하는 표면들 상의 전도체(13)들의 상부 상의 전도성 배리어(16) 사이에 전기 접속을 형성하는 것보다 더 어려울 때 Al BEOL로 구축된 Al 라우팅 표면인 경우에, 접합 계면을 가로지르는 전기 접속의 형성을 촉진시킬 수 있다.
도 11에 도시된 디싱의 양은 이들 표면을 사용하는 후속 직접 하이브리드 접합의 열 버짓에 영향을 줄 수 있다. 예를 들어, 더 적은 디싱은, 대향하는 전도성 배리어(16) 표면들 사이에 금속 접합을 형성하는 데 더 적은 전도체(13) 팽창이 필요하기 때문에 저온 또는 실온에서 대향하는 유전체 표면들을 초기에 직접 접합시킨 후에 하이브리드 접합을 완료하는 데 필요한 더 낮은 열 버짓을 가져올 수 있다.
본 발명에 따른 제3 실시 형태에서, 하이브리드 표면은 도 13 내지 도 15에 도시된 바와 같이 전도성 관통 규소 비아(TSV) 구조물들(23, 35)을 포함한다. 각각의 도면은, 예시의 편의상, 상기 도 1 내지 도 4와 유사한 방식으로 형성된 전도성 배리어 재료 층(26)을 갖는 것(23)과 이를 갖지 않는 것(25)의 2개의 상이한 구조물을 나타낸다. TSV는 기판(40)을 통해 연장되어 기판(41) 내의 금속 전도체(4)와 접촉한다. TSV(23, 25)의 전도성 재료는 Cu 또는 W와 같은 금속 또는 폴리규소와 같은 비금속으로 구성될 수 있다. 전도성 재료는 도 13에 도시된 바와 같은 절연 재료(24)에 인접할 수 있거나, 또는 기판(42)을 포함하는 도 14에 도시된 바와 같이, 전도성 재료와 절연 재료 사이에 개재된 배리어 층(27)을 가질 수 있다.
다른 예에서, TSV(23, 25)는 도 15에 도시된 바와 같이 전도성 재료와 반도체 기판(43) 사이에 개재된 절연 배리어(28)를 가질 수 있다. TSV는 제1 및 제2 실시 형태에 기재된 바와 같이 증가된 디싱으로 리세스될 수 있고, 전도성 배리어(26)가 제1 및 제2 실시 형태에 기재된 바와 같은 이러한 증가된 디싱 내에 형성되어 직접 하이브리드 접합에 적합한 디싱을 갖는 하이브리드 접합 표면을 형성할 수 있다. 이러한 유형의 표면들은 서로 직접 하이브리드 접합되어, 예를 들어, TSV 표면이 CMOS 구조물의 후방을 통해 노출되는 경우 이른바 후방-대-후방(back-to-back) 직접 하이브리드 접합을 생성할 수 있다. 또한 이들 하이브리드 접합 표면 중 하나를 사용하여, CMOS 구조물의 전방 상에, 예를 들어 Cu BEOL 또는 Al BEOL의 상부 상에 형성된 하이브리드 접합 표면에 대한 직접 하이브리드 접합을 형성하여, 이른바 전방-대-후방(front-to-back) 직접 하이브리드 접합을 형성하는 것이 가능하다.
본 발명에서, BEOL 비아 충전 금속은 전도성 배리어 내에 완전히 봉지될 수 있다. 또한, 본 발명은 하이브리드 접합 제조가, 직접 하이브리드 접합을 위하여 유전체 및 전도성 배리어 재료를 이용할 수 있게 한다. CMOS BEOL 파운더리에서 현재 적격화된 재료 및/또는 공정을 레버리징하는 직접 하이브리드 접합 공정에 대한 공정 창이 개선될 수 있다. 본 발명은 또한 제조자가 직접 하이브리드 접합 기술에 부합되는 채용 장벽을 낮추는 것을 가능하게 하고, CMOS BEOL에서 사용되는 절연 유전체 및 전도성 배리어 재료의 조합을 사용하여 직접 하이브리드 접합 표면을 생성하고, 힐록 형성을 억제하는 직접 하이브리드 접합 표면을 위한 방법 및 구조를 제공할 수 있고, 직접 하이브리드 접합에서 열 버짓을 감소시킬 수 있다.
본 발명의 응용은 3-D SOC를 위한 가공된 집적 회로의 수직 통합화, 마이크로-패드 패키징, 플립 칩 접합의 저가 및 고성능 대체, 웨이퍼 규모 패키징, 열 관리 및 고유의 소자 구조물, 예컨대 금속 베이스 소자를 포함하지만 이로 한정되지 않는다. 응용은 집적 회로, 예컨대 후면-조사형 이미지 센서, RF 프런트 엔드, 피코-프로젝터 및 자이로를 포함하지만 이로 한정되지 않는 미세-전기 기계 구조물(MEMS), 하이브리드 메모리 큐브, 높은 대역폭 메모리, 및 DIRAM을 포함하지만 이로 한정되지 않는 3D 적층 메모리, 인터포저 상의 FPGA 타일링을 포함하지만 이로 한정되지 않는 2.5D, 및 휴대 전화 및 다른 이동 장치, 랩톱, 및 서버를 포함하지만 이로 한정되지 않는, 이들 회로가 사용되는 제품을 추가로 포함하지만 이로 한정되지 않는다.
상기 교시 내용에 비추어 본 발명의 많은 변경 및 변형이 가능하다. 따라서, 첨부된 청구범위의 범주 내에서 본 명세서에 구체적으로 기재된 바와 다른 방식으로 본 발명이 실시될 수 있음이 이해되어야 한다.

Claims (23)

  1. 직접 하이브리드 접합 표면(direct hybrid bond surface)을 형성하는 방법으로서,
    제1 기판의 상측 표면 내에 제1 복수의 금속 접촉 구조물을 형성하는 단계 - 상기 구조물의 상부 표면은 상기 상측 표면 아래에 있음 -;
    상기 상측 표면 및 상기 복수의 금속 접촉 구조물 위로 전도성 배리어 재료의 제1 층을 형성하는 단계; 및
    상기 상측 표면으로부터 상기 전도성 배리어 재료의 제1 층을 제거하는 단계를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 전도성 배리어 재료의 제1 층을 제거하여 상기 복수의 금속 접촉 구조물 상에 상기 전도성 배리어 재료를 남기는 단계를 포함하며, 상기 복수의 금속 접촉 구조물 상의 상기 전도성 배리어 재료의 상부 표면은 20 nm 미만만큼 상기 기판의 상기 상측 표면 아래에 있는, 방법.
  3. 제1항에 있어서,
    상기 전도성 배리어 재료의 제1 층을 제거하여 상기 복수의 금속 접촉 구조물 상에 상기 전도성 배리어 재료를 남기는 단계를 포함하며, 상기 복수의 금속 접촉 구조물 상의 상기 전도성 배리어 재료의 상부 표면은 약 1 내지 10 nm 범위로 상기 기판의 상기 상측 표면 아래에 있는, 방법.
  4. 제1항에 있어서,
    약 5 내지 40 nm만큼 상기 상측 표면 아래에 상기 상부 표면을 형성하는 단계;
    상기 전도성 배리어를 제거하여 상기 복수의 금속 접촉 구조물 상에 상기 전도성 배리어 재료를 남기는 단계를 포함하며, 상기 복수의 금속 접촉 구조물 상의 상기 전도성 배리어 재료의 상부 표면은 상기 상측 표면 아래로 1 내지 10 nm에 있는, 방법.
  5. 제1항에 있어서,
    상기 금속 접촉 구조물의 하부 및 측부 상에 전도성 배리어 재료의 제2 층을 형성하는 단계를 포함하는, 방법.
  6. 제3항에 있어서,
    상기 전도성 배리어 재료의 제1 및 제2 층을 형성하여 상기 금속 접촉 구조물을 완전히 둘러싸는 단계를 포함하는, 방법.
  7. 제1항에 있어서,
    상기 기판 상의 유전체 층 내에 상기 금속 접촉 구조물을 형성하는 단계를 포함하는, 방법.
  8. 기판들을 접합하는 방법으로서,
    제1 및 제2 기판의 각각의 상측 표면 내에 제1 및 제2 복수의 금속 접촉 구조물을 형성하는 단계 - 상기 제1 복수의 금속 접촉 구조물의 제1 상부 표면은 상기 제1 기판의 상기 상측 표면 아래에 있고, 상기 제2 복수의 금속 접촉 구조물의 제2 상부 표면은 상기 제2 기판의 상기 상측 표면 아래에 있음 -;
    상기 상측 표면들 및 상기 복수의 금속 접촉 구조물 및 상기 기판들 위로 전도성 배리어 재료의 제1 층을 형성하는 단계; 및
    상기 제1 및 제2 기판의 상기 상측 표면들로부터 상기 전도성 배리어 재료의 제1 층을 제거하여 상기 제1 및 제2 복수의 금속 접촉 구조물 상에 상기 전도성 배리어 재료를 남기는 단계를 포함하는, 방법.
  9. 제8항에 있어서,
    약 5 내지 40 nm만큼 상기 제1 및 제2 기판의 상기 상측 표면들 아래에 각각 상기 제1 및 제2 상부 표면을 형성하는 단계;
    상기 전도성 배리어 재료의 제1 층을 제거하여 상기 제1 및 제2 복수의 금속 접촉 구조물 상에 상기 전도성 배리어 재료를 남기는 단계를 포함하며, 상기 제1 및 제2 복수의 금속 접촉 구조물 상의 상기 전도성 배리어 재료의 상부 표면은 각각 상기 제1 및 제2 상측 표면 아래로 1 내지 10 nm에 있는, 방법.
  10. 제8항에 있어서,
    상기 제1 및 제2 복수의 금속 접촉 구조물의 하부 및 측부 상에 전도성 배리어 재료의 제2 층을 형성하는 단계를 포함하는, 방법.
  11. 제10항에 있어서,
    상기 전도성 배리어 재료의 제1 및 제2 층을 형성하여 상기 금속 접촉 구조물을 완전히 둘러싸는 단계를 포함하는, 방법.
  12. 제8항에 있어서,
    상기 제1 및 제2 기판 상의 각각의 유전체 층들 내에 상기 제1 및 제2 복수의 금속 접촉 구조물을 형성하는 단계를 포함하는, 방법.
  13. 제8항에 있어서,
    상기 전도성 배리어 재료의 제1 층을 제거하여, 상기 복수의 금속 접촉 구조물 상의 상기 전도성 배리어 재료의 상부 표면이 20 nm 미만만큼 각각 상기 제1 및 제2 기판의 상기 상측 표면 아래에 있는, 방법.
  14. 제8항에 있어서,
    상기 전도성 배리어 재료의 제1 층을 제거하여, 상기 복수의 금속 접촉 구조물 상의 상기 전도성 배리어 재료의 상부 표면이 약 1 내지 10 nm 범위로 각각 상기 제1 및 제2 기판의 상기 상측 표면 아래에 있는, 방법.
  15. 직접 하이브리드 접합 표면을 포함하며, 상기 직접 하이브리드 접합 표면은
    유전체 층,
    전도성 접촉 구조물, 및
    상기 전도성 접촉 구조물 각각의 상측 표면 상에 직접 형성된 제1 전도성 배리어 재료 층을 포함하며,
    상기 전도성 배리어 층의 상측 표면이 상기 유전체 층의 상측 표면 아래에 약 1 내지 10 nm로 리세스되는, 구조물.
  16. 제15항에 있어서,
    약 5 내지 40 nm만큼 상기 상측 표면 아래에 있는 상기 전도성 접촉 구조물의 상부 표면을 포함하는, 구조물.
  17. 제15항에 있어서,
    상기 금속 접촉 구조물의 하부 및 측부 상의 전도성 배리어 재료의 제2 층을 포함하는, 구조물.
  18. 제15항에 있어서,
    상기 전도성 배리어 재료의 제1 및 제2 층이 상기 전도성 접촉 구조물을 완전히 둘러싸는, 구조물.
  19. 제1 및 제2 직접 하이브리드 접합 표면을 포함하며, 각각은
    유전체 층,
    전도성 접촉 구조물, 및
    상기 전도성 접촉 구조물 각각의 상측 표면 상에 직접 형성된 제1 전도성 배리어 재료 층을 포함하며,
    상기 전도성 접촉 구조물의 상측 표면은 상기 유전체 층의 상측 표면 아래에 약 5 내지 40 nm로 리세스되고,
    상기 제1 및 제2 직접 하이브리드 접합 표면 내의 상기 유전체 층들은 서로 직접 접촉된 상태에서 서로 직접 접합되고, 제1 및 제2 직접 하이브리드 접합 표면들 내의 상기 전도성 배리어 재료 층들은 서로 직접 접촉 상태에 있는, 접합된 구조물.
  20. 제19항에 있어서,
    상기 전도성 접촉 구조물의 하부 및 측부 상에 배치된 제2 전도성 배리어 재료 층을 포함하는, 접합된 구조물.
  21. 제20항에 있어서,
    상기 제1 및 제2 전도성 배리어 재료 층은 상기 전도성 접촉 구조물을 완전히 둘러싸는, 접합된 구조물.
  22. 제19항에 있어서,
    상기 전도성 배리어 재료 층들의 상측 표면이 상기 유전체 층의 상기 상측 표면 아래에 20 nm 미만으로 리세스되는, 접합된 구조물.
  23. 제19항에 있어서,
    상기 전도성 배리어 재료 층들의 상측 표면이 상기 유전체 층의 상기 상측 표면 아래에 약 1 내지 10 nm 범위로 리세스되는, 접합된 구조물.
KR1020187007638A 2015-08-25 2016-08-25 전도성 배리어 직접 하이브리드 접합 KR102408487B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227019392A KR102659849B1 (ko) 2015-08-25 2016-08-25 전도성 배리어 직접 하이브리드 접합

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/835,379 2015-08-25
US14/835,379 US9953941B2 (en) 2015-08-25 2015-08-25 Conductive barrier direct hybrid bonding
PCT/US2016/048609 WO2017035321A1 (en) 2015-08-25 2016-08-25 Conductive barrier direct hybrid bonding

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227019392A Division KR102659849B1 (ko) 2015-08-25 2016-08-25 전도성 배리어 직접 하이브리드 접합

Publications (2)

Publication Number Publication Date
KR20180034671A true KR20180034671A (ko) 2018-04-04
KR102408487B1 KR102408487B1 (ko) 2022-06-13

Family

ID=58100993

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227019392A KR102659849B1 (ko) 2015-08-25 2016-08-25 전도성 배리어 직접 하이브리드 접합
KR1020187007638A KR102408487B1 (ko) 2015-08-25 2016-08-25 전도성 배리어 직접 하이브리드 접합

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227019392A KR102659849B1 (ko) 2015-08-25 2016-08-25 전도성 배리어 직접 하이브리드 접합

Country Status (7)

Country Link
US (4) US9953941B2 (ko)
EP (1) EP3341956A4 (ko)
JP (1) JP6743149B2 (ko)
KR (2) KR102659849B1 (ko)
CN (2) CN108140559B (ko)
TW (1) TWI702659B (ko)
WO (1) WO2017035321A1 (ko)

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
EP2654074B1 (de) * 2010-03-31 2016-10-26 EV Group E. Thallner GmbH Verfahren zum permanenten Verbinden zweier Metalloberflächen
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
FR3011679B1 (fr) * 2013-10-03 2017-01-27 Commissariat Energie Atomique Procede ameliore d'assemblage par collage direct entre deux elements, chaque element comprenant des portions de metal et de materiaux dielectriques
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9455182B2 (en) 2014-08-22 2016-09-27 International Business Machines Corporation Interconnect structure with capping layer and barrier layer
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10811388B2 (en) 2015-09-28 2020-10-20 Invensas Corporation Capacitive coupling in a direct-bonded interface for microelectronic devices
US10032751B2 (en) 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) * 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
CN110178212B (zh) 2016-12-28 2024-01-09 艾德亚半导体接合科技有限公司 堆栈基板的处理
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US20180233479A1 (en) 2017-02-16 2018-08-16 Nanya Technology Corporation Semiconductor apparatus and method for preparing the same
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
IT201700053902A1 (it) 2017-05-18 2018-11-18 Lfoundry Srl Metodo di bonding ibrido per wafer a semiconduttore e relativo dispositivo integrato tridimensionale
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
JP2019054153A (ja) * 2017-09-15 2019-04-04 東芝メモリ株式会社 半導体装置の製造方法
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
DE102018103431A1 (de) * 2018-02-15 2019-08-22 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Verbindung zwischen Bauteilen und Bauelement aus Bauteilen
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
JP6952629B2 (ja) * 2018-03-20 2021-10-20 株式会社東芝 半導体装置
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
KR102075764B1 (ko) * 2018-03-28 2020-02-10 한국과학기술원 이종 광 집적회로 및 이의 제조 방법
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) * 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN108520858A (zh) * 2018-06-07 2018-09-11 长江存储科技有限责任公司 金属连接结构及其形成方法
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11211333B2 (en) * 2018-07-16 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via optimization for three-dimensional integrated circuits
US10700094B2 (en) * 2018-08-08 2020-06-30 Xcelsis Corporation Device disaggregation for improved performance
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) * 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) * 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
KR102661959B1 (ko) 2018-09-20 2024-04-30 삼성전자주식회사 반도체 장치 및 이를 포함하는 반도체 패키지
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
KR102596758B1 (ko) 2018-10-24 2023-11-03 삼성전자주식회사 반도체 패키지
US11309278B2 (en) 2018-10-29 2022-04-19 Applied Materials, Inc. Methods for bonding substrates
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11646242B2 (en) 2018-11-29 2023-05-09 Qorvo Us, Inc. Thermally enhanced semiconductor package with at least one heat extractor and process for making the same
CN109643643B (zh) * 2018-11-30 2020-08-25 长江存储科技有限责任公司 键合存储器件及其制造方法
JP7243015B2 (ja) * 2018-12-04 2023-03-22 日清紡マイクロデバイス株式会社 電子部品および電子部品の接合構造
WO2020116040A1 (ja) * 2018-12-04 2020-06-11 ソニーセミコンダクタソリューションズ株式会社 半導体装置及び電子機器
WO2020117336A1 (en) * 2018-12-06 2020-06-11 Invensas Corporation Capacitive coupling in a direct-bonded interface for microelectronic devices
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11923313B2 (en) 2019-01-23 2024-03-05 Qorvo Us, Inc. RF device without silicon handle substrate for enhanced thermal and electrical performance and methods of forming the same
US11387157B2 (en) 2019-01-23 2022-07-12 Qorvo Us, Inc. RF devices with enhanced performance and methods of forming the same
WO2020154440A1 (en) 2019-01-23 2020-07-30 Qorvo Us, Inc. Rf semiconductor device and manufacturing method thereof
US20200235040A1 (en) 2019-01-23 2020-07-23 Qorvo Us, Inc. Rf devices with enhanced performance and methods of forming the same
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
CN113348555B (zh) * 2019-03-18 2023-08-18 铠侠股份有限公司 半导体装置及其制造方法
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) * 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11315871B2 (en) * 2019-06-13 2022-04-26 Nanya Technology Corporation Integrated circuit device with bonding structure and method of forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
TWI686518B (zh) 2019-07-19 2020-03-01 國立交通大學 具有奈米雙晶銅之電連接結構及其形成方法
US11393780B2 (en) 2019-07-26 2022-07-19 Sandisk Technologies Llc Bonded assembly containing oxidation barriers, hybrid bonding, or air gap, and methods of forming the same
US11515273B2 (en) 2019-07-26 2022-11-29 Sandisk Technologies Llc Bonded assembly containing oxidation barriers, hybrid bonding, or air gap, and methods of forming the same
US11139272B2 (en) * 2019-07-26 2021-10-05 Sandisk Technologies Llc Bonded assembly containing oxidation barriers and/or adhesion enhancers and methods of forming the same
US11443981B2 (en) * 2019-08-16 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding method of package components and bonding apparatus
KR20210025156A (ko) 2019-08-26 2021-03-09 삼성전자주식회사 반도체 장치 및 그 제조방법
KR20210024893A (ko) 2019-08-26 2021-03-08 삼성전자주식회사 반도체 소자 제조 방법
WO2021087720A1 (en) * 2019-11-05 2021-05-14 Yangtze Memory Technologies Co., Ltd. Semiconductor devices having adjoined via structures formed by bonding and methods for forming the same
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11094653B2 (en) * 2019-11-13 2021-08-17 Sandisk Technologies Llc Bonded assembly containing a dielectric bonding pattern definition layer and methods of forming the same
GB2589329B (en) * 2019-11-26 2022-02-09 Plessey Semiconductors Ltd Substrate bonding
US11646289B2 (en) 2019-12-02 2023-05-09 Qorvo Us, Inc. RF devices with enhanced performance and methods of forming the same
US11923238B2 (en) 2019-12-12 2024-03-05 Qorvo Us, Inc. Method of forming RF devices with enhanced performance including attaching a wafer to a support carrier by a bonding technique without any polymer adhesive
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11270963B2 (en) * 2020-01-14 2022-03-08 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same
CN111244123A (zh) * 2020-02-03 2020-06-05 长江存储科技有限责任公司 半导体结构及其制备方法
US20210265253A1 (en) 2020-02-25 2021-08-26 Tokyo Electron Limited Split substrate interposer with integrated passive device
JP2021150574A (ja) * 2020-03-23 2021-09-27 キオクシア株式会社 半導体装置
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
CN111463114B (zh) * 2020-04-17 2021-08-06 武汉新芯集成电路制造有限公司 半导体器件及其形成方法、芯片
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11233088B2 (en) * 2020-06-12 2022-01-25 Omnivision Technologies, Inc. Metal routing in image sensor using hybrid bonding
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
WO2022000385A1 (zh) * 2020-07-01 2022-01-06 重庆康佳光电技术研究院有限公司 显示面板的制作方法、显示面板及显示装置
US11430753B2 (en) 2020-07-08 2022-08-30 Raytheon Company Iterative formation of damascene interconnects
KR20220014759A (ko) 2020-07-29 2022-02-07 삼성전자주식회사 본딩 신뢰성을 향상시킬 수 있는 반도체 패키지
KR20220021798A (ko) 2020-08-14 2022-02-22 삼성전자주식회사 반도체 패키지 및 반도체 패키지의 제조 방법
CN113380640A (zh) * 2020-08-17 2021-09-10 长江存储科技有限责任公司 半导体封装结构及其制造方法
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11164822B1 (en) * 2020-09-28 2021-11-02 United Microelectronics Corp. Structure of semiconductor device and method for bonding two substrates
US11837623B2 (en) 2020-10-12 2023-12-05 Raytheon Company Integrated circuit having vertical routing to bond pads
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
EP3993021A1 (en) * 2020-11-03 2022-05-04 Infineon Technologies AG Method of manufacturing a bonded substrate stack
KR20220060612A (ko) * 2020-11-04 2022-05-12 삼성전자주식회사 반도체 장치 및 이를 포함하는 데이터 저장 시스템
US11424215B2 (en) 2020-11-10 2022-08-23 Sandisk Technologies Llc Bonded assembly formed by hybrid wafer bonding using selectively deposited metal liners
US11710756B2 (en) 2020-11-19 2023-07-25 Raytheon Company Integrating optical elements with electro-optical sensors via direct-bond hybridization
US20240030126A1 (en) * 2020-12-11 2024-01-25 Qorvo Us, Inc. Microelectronics package with vertically stacked wafer slices and process for making the same
US11527501B1 (en) * 2020-12-15 2022-12-13 Intel Corporation Sacrificial redistribution layer in microelectronic assemblies having direct bonding
WO2022172349A1 (ja) * 2021-02-10 2022-08-18 キヤノンアネルバ株式会社 化学結合法及びパッケージ型電子部品
CN113035729B (zh) * 2021-03-10 2023-04-07 联合微电子中心有限责任公司 混合键合方法及键合用衬底
CN113299601A (zh) * 2021-05-21 2021-08-24 浙江集迈科微电子有限公司 一种多层转接板的晶圆级焊接工艺
CN115513046A (zh) 2021-06-23 2022-12-23 联华电子股份有限公司 半导体元件
CN115565984A (zh) 2021-07-01 2023-01-03 长鑫存储技术有限公司 一种半导体结构及其形成方法
US11817420B2 (en) 2021-07-19 2023-11-14 Micron Technology, Inc. Systems and methods for direct bonding in semiconductor die manufacturing
WO2023162264A1 (ja) * 2022-02-28 2023-08-31 株式会社レゾナック 半導体装置の製造方法、及び半導体装置
WO2023195322A1 (ja) * 2022-04-06 2023-10-12 Hdマイクロシステムズ株式会社 半導体装置の製造方法、ハイブリッドボンディング絶縁膜形成材料及び半導体装置

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442235A (en) * 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US6147000A (en) * 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
US20020025665A1 (en) * 2000-08-29 2002-02-28 Werner Juengling Method of forming a metal to polysilicon contact in oxygen environment
US20060024950A1 (en) * 2004-08-02 2006-02-02 Suk-Hun Choi Methods of forming metal contact structures and methods of fabricating phase-change memory devices using the same
US20070212870A1 (en) * 2006-03-13 2007-09-13 International Business Machines Corporation Interconnect structure with a barrier-redundancy feature
US20080122092A1 (en) * 2006-11-29 2008-05-29 Ji Ho Hong Semiconductor Device and Method of Manufacturing the Same
US20090197408A1 (en) * 2008-01-31 2009-08-06 Matthias Lehr Increasing electromigration resistance in an interconnect structure of a semiconductor device by forming an alloy
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US20110074040A1 (en) * 2009-09-29 2011-03-31 Manfred Frank Semiconductor Device And Method For Making Same
US20150206823A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Robust Through-Silicon-Via Structure

Family Cites Families (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130059A (ja) 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
KR900008647B1 (ko) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPH07112041B2 (ja) 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
US4904328A (en) 1987-09-08 1990-02-27 Gencorp Inc. Bonding of FRP parts
US4784970A (en) 1987-11-18 1988-11-15 Grumman Aerospace Corporation Process for making a double wafer moated signal processor
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5489804A (en) 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
JP3190057B2 (ja) 1990-07-02 2001-07-16 株式会社東芝 複合集積回路装置
JP2729413B2 (ja) 1991-02-14 1998-03-18 三菱電機株式会社 半導体装置
JP2910334B2 (ja) 1991-07-22 1999-06-23 富士電機株式会社 接合方法
JPH05198739A (ja) 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5236118A (en) 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
JPH0682753B2 (ja) 1992-09-28 1994-10-19 株式会社東芝 半導体装置の製造方法
US5503704A (en) 1993-01-06 1996-04-02 The Regents Of The University Of California Nitrogen based low temperature direct bonding
EP0610709B1 (de) 1993-02-11 1998-06-10 Siemens Aktiengesellschaft Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
US5516727A (en) 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
JPH0766093A (ja) 1993-08-23 1995-03-10 Sumitomo Sitix Corp 半導体ウエーハの貼り合わせ方法およびその装置
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
US5501003A (en) 1993-12-15 1996-03-26 Bel Fuse Inc. Method of assembling electronic packages for surface mount applications
US5413952A (en) 1994-02-02 1995-05-09 Motorola, Inc. Direct wafer bonded structure method of making
JP3294934B2 (ja) 1994-03-11 2002-06-24 キヤノン株式会社 半導体基板の作製方法及び半導体基板
JPH07283382A (ja) 1994-04-12 1995-10-27 Sony Corp シリコン基板のはり合わせ方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
JPH08125121A (ja) 1994-08-29 1996-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP3171366B2 (ja) 1994-09-05 2001-05-28 三菱マテリアル株式会社 シリコン半導体ウェーハ及びその製造方法
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
JPH08186235A (ja) 1994-12-16 1996-07-16 Texas Instr Inc <Ti> 半導体装置の製造方法
JP2679681B2 (ja) 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
US5610431A (en) 1995-05-12 1997-03-11 The Charles Stark Draper Laboratory, Inc. Covers for micromechanical sensors and other semiconductor devices
JP3490198B2 (ja) 1995-10-25 2004-01-26 松下電器産業株式会社 半導体装置とその製造方法
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100438256B1 (ko) 1995-12-18 2004-08-25 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
JP3383811B2 (ja) 1996-10-28 2003-03-10 松下電器産業株式会社 半導体チップモジュール及びその製造方法
US5888631A (en) 1996-11-08 1999-03-30 W. L. Gore & Associates, Inc. Method for minimizing warp in the production of electronic assemblies
US6054363A (en) 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
US5821692A (en) 1996-11-26 1998-10-13 Motorola, Inc. Organic electroluminescent device hermetic encapsulation package
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
KR100467897B1 (ko) 1996-12-24 2005-01-24 닛토덴코 가부시키가이샤 반도체 장치 및 이의 제조방법
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JPH10223636A (ja) 1997-02-12 1998-08-21 Nec Yamagata Ltd 半導体集積回路装置の製造方法
JP4026882B2 (ja) * 1997-02-24 2007-12-26 三洋電機株式会社 半導体装置
US5929512A (en) 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6322600B1 (en) 1997-04-23 2001-11-27 Advanced Technology Materials, Inc. Planarization compositions and methods for removing interlayer dielectric films
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JPH11186120A (ja) 1997-12-24 1999-07-09 Canon Inc 同種あるいは異種材料基板間の密着接合法
US6137063A (en) 1998-02-27 2000-10-24 Micron Technology, Inc. Electrical interconnections
EP0951068A1 (en) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6316786B1 (en) 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP2000150810A (ja) 1998-11-17 2000-05-30 Toshiba Microelectronics Corp 半導体装置及びその製造方法
US6515343B1 (en) 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
US6232150B1 (en) 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
JP3293792B2 (ja) 1999-01-12 2002-06-17 日本電気株式会社 半導体装置及びその製造方法
JP3918350B2 (ja) 1999-03-05 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2000311982A (ja) 1999-04-26 2000-11-07 Toshiba Corp 半導体装置と半導体モジュールおよびそれらの製造方法
US6258625B1 (en) 1999-05-18 2001-07-10 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
US6218203B1 (en) 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
KR100333384B1 (ko) 1999-06-28 2002-04-18 박종섭 칩 사이즈 스택 패키지 및 그의 제조방법
JP3619395B2 (ja) 1999-07-30 2005-02-09 京セラ株式会社 半導体素子内蔵配線基板およびその製造方法
US6756253B1 (en) 1999-08-27 2004-06-29 Micron Technology, Inc. Method for fabricating a semiconductor component with external contact polymer support layer
US6583515B1 (en) 1999-09-03 2003-06-24 Texas Instruments Incorporated Ball grid array package for enhanced stress tolerance
US6593645B2 (en) 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
EP1130654A1 (de) 2000-03-01 2001-09-05 Infineon Technologies AG Integriertes Bauelement mit Metall-Isolator-Metall-Kondensator
US6373137B1 (en) * 2000-03-21 2002-04-16 Micron Technology, Inc. Copper interconnect for an integrated circuit and methods for its fabrication
JP4123682B2 (ja) 2000-05-16 2008-07-23 セイコーエプソン株式会社 半導体装置及びその製造方法
US6326698B1 (en) 2000-06-08 2001-12-04 Micron Technology, Inc. Semiconductor devices having protective layers thereon through which contact pads are exposed and stereolithographic methods of fabricating such semiconductor devices
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP2002009248A (ja) 2000-06-26 2002-01-11 Oki Electric Ind Co Ltd キャパシタおよびその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
WO2002009478A1 (fr) 2000-07-24 2002-01-31 Tdk Corporation Dispositif luminescent
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6483044B1 (en) 2000-08-23 2002-11-19 Micron Technology, Inc. Interconnecting substrates for electrical coupling of microelectronic components
JP2002110799A (ja) 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6600224B1 (en) 2000-10-31 2003-07-29 International Business Machines Corporation Thin film attachment to laminate using a dendritic interconnection
US6552436B2 (en) 2000-12-08 2003-04-22 Motorola, Inc. Semiconductor device having a ball grid array and method therefor
US7084507B2 (en) 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP3705159B2 (ja) 2001-06-11 2005-10-12 株式会社デンソー 半導体装置の製造方法
DE10131627B4 (de) 2001-06-29 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterspeichereinrichtung
JP2003023071A (ja) 2001-07-05 2003-01-24 Sony Corp 半導体装置製造方法および半導体装置
US6847527B2 (en) 2001-08-24 2005-01-25 3M Innovative Properties Company Interconnect module with reduced power distribution impedance
US6555917B1 (en) 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US6667225B2 (en) 2001-12-17 2003-12-23 Intel Corporation Wafer-bonding using solder and method of making the same
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6624003B1 (en) 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6720212B2 (en) 2002-03-14 2004-04-13 Infineon Technologies Ag Method of eliminating back-end rerouting in ball grid array packaging
US6627814B1 (en) 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6713402B2 (en) 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
CN1248304C (zh) 2002-06-13 2006-03-29 松下电器产业株式会社 布线结构的形成方法
TWI229435B (en) 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP2004133384A (ja) 2002-08-14 2004-04-30 Sony Corp レジスト用剥離剤組成物及び半導体装置の製造方法
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7485962B2 (en) 2002-12-10 2009-02-03 Fujitsu Limited Semiconductor device, wiring substrate forming method, and substrate processing apparatus
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP3918935B2 (ja) 2002-12-20 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
KR100598245B1 (ko) 2002-12-30 2006-07-07 동부일렉트로닉스 주식회사 반도체 금속 배선 형성 방법
JP4173374B2 (ja) 2003-01-08 2008-10-29 株式会社ルネサステクノロジ 半導体装置の製造方法
JP3981026B2 (ja) 2003-01-30 2007-09-26 株式会社東芝 多層配線層を有する半導体装置およびその製造方法
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7135780B2 (en) 2003-02-12 2006-11-14 Micron Technology, Inc. Semiconductor substrate for build-up packages
JP4082236B2 (ja) 2003-02-21 2008-04-30 ソニー株式会社 半導体装置及びその製造方法
JP4001115B2 (ja) 2003-02-28 2007-10-31 セイコーエプソン株式会社 半導体装置及びその製造方法
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
DE10319538B4 (de) 2003-04-30 2008-01-17 Qimonda Ag Halbleitervorrichtung und Verfahren zur Herstellung einer Halbleitereinrichtung
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
JP4130158B2 (ja) 2003-06-09 2008-08-06 三洋電機株式会社 半導体装置の製造方法、半導体装置
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040245636A1 (en) 2003-06-06 2004-12-09 International Business Machines Corporation Full removal of dual damascene metal level
TWI229930B (en) 2003-06-09 2005-03-21 Advanced Semiconductor Eng Chip structure
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
JP2005086089A (ja) 2003-09-10 2005-03-31 Seiko Epson Corp 3次元デバイスの製造方法
JP2005093486A (ja) 2003-09-12 2005-04-07 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP2005135988A (ja) 2003-10-28 2005-05-26 Toshiba Corp 半導体装置の製造方法
DE102004001853B3 (de) 2004-01-13 2005-07-21 Infineon Technologies Ag Verfahren zum Herstellen von Kontaktierungsanschlüssen
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
JP4897201B2 (ja) 2004-05-31 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置
JP4376715B2 (ja) 2004-07-16 2009-12-02 三洋電機株式会社 半導体装置の製造方法
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
KR100580212B1 (ko) 2004-12-20 2006-05-16 삼성전자주식회사 급지장치 및 이를 구비하는 화상형성장치
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
KR100850212B1 (ko) * 2007-04-20 2008-08-04 삼성전자주식회사 균일한 무전해 도금 두께를 얻을 수 있는 반도체 소자의제조방법
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8482132B2 (en) * 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
EP2544225A4 (en) * 2010-03-01 2018-07-25 Osaka University Semiconductor device and bonding material for semiconductor device
JP5517800B2 (ja) * 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8778773B2 (en) * 2010-12-16 2014-07-15 Soitec Methods for directly bonding together semiconductor structures, and bonded semiconductor structures formed using such methods
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
JP2012174988A (ja) * 2011-02-23 2012-09-10 Sony Corp 接合電極、接合電極の製造方法、半導体装置、及び、半導体装置の製造方法
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP2717300B1 (en) 2011-05-24 2020-03-18 Sony Corporation Semiconductor device
US8896125B2 (en) 2011-07-05 2014-11-25 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
FR2986904A1 (fr) * 2012-02-14 2013-08-16 St Microelectronics Crolles 2 Systeme d'assemblage de puces
US8796853B2 (en) * 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
TWI498975B (zh) * 2012-04-26 2015-09-01 Asian Pacific Microsystems Inc 封裝結構與基材的接合方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8772946B2 (en) * 2012-06-08 2014-07-08 Invensas Corporation Reduced stress TSV and interposer structures
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9105485B2 (en) 2013-03-08 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures and methods of forming the same
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9064937B2 (en) * 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9159610B2 (en) * 2013-10-23 2015-10-13 Globalfoundires, Inc. Hybrid manganese and manganese nitride barriers for back-end-of-line metallization and methods for fabricating the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9455182B2 (en) 2014-08-22 2016-09-27 International Business Machines Corporation Interconnect structure with capping layer and barrier layer
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
CN110178212B (zh) 2016-12-28 2024-01-09 艾德亚半导体接合科技有限公司 堆栈基板的处理
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
CN107748879A (zh) 2017-11-16 2018-03-02 百度在线网络技术(北京)有限公司 用于获取人脸信息的方法及装置
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442235A (en) * 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US6147000A (en) * 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US20020025665A1 (en) * 2000-08-29 2002-02-28 Werner Juengling Method of forming a metal to polysilicon contact in oxygen environment
US20060024950A1 (en) * 2004-08-02 2006-02-02 Suk-Hun Choi Methods of forming metal contact structures and methods of fabricating phase-change memory devices using the same
US20070212870A1 (en) * 2006-03-13 2007-09-13 International Business Machines Corporation Interconnect structure with a barrier-redundancy feature
US20080122092A1 (en) * 2006-11-29 2008-05-29 Ji Ho Hong Semiconductor Device and Method of Manufacturing the Same
US20090197408A1 (en) * 2008-01-31 2009-08-06 Matthias Lehr Increasing electromigration resistance in an interconnect structure of a semiconductor device by forming an alloy
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US20110074040A1 (en) * 2009-09-29 2011-03-31 Manfred Frank Semiconductor Device And Method For Making Same
US20150206823A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Robust Through-Silicon-Via Structure

Also Published As

Publication number Publication date
US20180226371A1 (en) 2018-08-09
WO2017035321A1 (en) 2017-03-02
US20220254746A1 (en) 2022-08-11
KR20220083859A (ko) 2022-06-20
EP3341956A1 (en) 2018-07-04
EP3341956A4 (en) 2019-03-06
US11264345B2 (en) 2022-03-01
KR102408487B1 (ko) 2022-06-13
US9953941B2 (en) 2018-04-24
US11830838B2 (en) 2023-11-28
TW201715620A (zh) 2017-05-01
CN108140559A (zh) 2018-06-08
US20190237419A1 (en) 2019-08-01
TWI702659B (zh) 2020-08-21
US20170062366A1 (en) 2017-03-02
CN114944376A (zh) 2022-08-26
US10262963B2 (en) 2019-04-16
KR102659849B1 (ko) 2024-04-22
JP2018528622A (ja) 2018-09-27
CN108140559B (zh) 2022-05-24
JP6743149B2 (ja) 2020-08-19

Similar Documents

Publication Publication Date Title
US11830838B2 (en) Conductive barrier direct hybrid bonding
US20210225813A1 (en) Stacked Semiconductor Structure and Method
US9646930B2 (en) Semiconductor device having through-substrate vias
US9240349B2 (en) Interconnect structures for substrate
TWI524492B (zh) 使用多層介層窗的3d積體電路
JP5271985B2 (ja) 集積回路構造
JP5345077B2 (ja) 低k誘電体ライナーを有するシリコン貫通ビア
US20170040274A1 (en) Bond pad structure for low temperature flip chip bonding
US9536809B2 (en) Combination of TSV and back side wiring in 3D integration
CN102237338A (zh) 具有改进连接的基板通孔
JP2014517547A (ja) 集積回路構造、集積回路、および堅牢なtsv構造を形成する方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant