JP2018516998A - オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング - Google Patents

オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング Download PDF

Info

Publication number
JP2018516998A
JP2018516998A JP2017536545A JP2017536545A JP2018516998A JP 2018516998 A JP2018516998 A JP 2018516998A JP 2017536545 A JP2017536545 A JP 2017536545A JP 2017536545 A JP2017536545 A JP 2017536545A JP 2018516998 A JP2018516998 A JP 2018516998A
Authority
JP
Japan
Prior art keywords
amu
silicon
formulation
coating
resin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017536545A
Other languages
English (en)
Other versions
JP2018516998A5 (ja
JP6803842B2 (ja
Inventor
バラプラサッド,デサラージュ
マクホッパディアイ,サディップ
シエ,ソンギュアン
ゲブレーハン,アマニュエル・エイチ.
ビエン,ハイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of JP2018516998A publication Critical patent/JP2018516998A/ja
Publication of JP2018516998A5 publication Critical patent/JP2018516998A5/ja
Application granted granted Critical
Publication of JP6803842B2 publication Critical patent/JP6803842B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J3/00Processes of treating or compounding macromolecular substances
    • C08J3/24Crosslinking, e.g. vulcanising, of macromolecules
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/80Siloxanes having aromatic substituents, e.g. phenyl side groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2383/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers
    • C08J2383/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2483/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers
    • C08J2483/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/16Nitrogen-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2205/00Polymer mixtures characterised by other features
    • C08L2205/02Polymer mixtures characterised by other features containing two or more polymers of the same C08L -group
    • C08L2205/025Polymer mixtures characterised by other features containing two or more polymers of the same C08L -group containing two or more polymers of the same hierarchy C08L, and differing only in parameters such as density, comonomer content, molecular weight, structure

Abstract

架橋性組成物は、アルキル基及びアルキル基を含む第一のケイ素含有樹脂、並びにアルキル基を含む第二のケイ素含有樹脂を含む。第一のケイ素含有樹脂は、1000AMUから10000AMUの重量平均分子量を有する。第二のケイ素含有樹脂は、900AMUから5000AMUの重量平均分子量を有する。組成物はさらに、少なくとも1つの溶媒及び少なくとも1つの熱活性化触媒を含む。
【選択図】図1

Description

関連出願の相互参照
本出願は、米国特許法第119条(e)の下、その全開示内容が参照により本明細書に明確に援用される2015年4月13日に出願された「POLYSILOXANE FORMULATIONS AND COATINGS FOR OPTOELECTRONIC APPLICATIONS」と題する米国仮特許出願第62,146,593号の利益を主張するものである。
技術分野
本開示は、ポリシロキサン製剤及びこれらの組成物から作製されたコーティング全般に関し、より詳細には、オプトエレクトロニクスデバイス及び用途に用いるためのポリシロキサン製剤及びコーティングに関する。
エレクトロニクス、オプトエレクトロニクス、及びディスプレイデバイスのためのポリシロキサンコーティングは、例えば、その開示内容全体が参照により本明細書に援用される「COMPOSITIONS,LAYERS AND FILMS FOR OPTOELECTRONIC DEVICES,METHODS OF PRODUCTION AND USES THEREOF」と題する米国特許第8,901,268号に開示されている。
典型的なポリシロキサンコーティングでは、コーティングは、シロキサンモノマー又はオリゴマーなどのケイ素系化合物の加水分解及び縮合反応から、多くの場合は縮合触媒を用いて形成される。そのようなコーティング製剤は、限定的な保存期間、低いpH、製剤中の水の存在、及び限定的な膜厚のうちの1つ以上を含む特定の制限を伴い得る。
いくつかの典型的なコーティングでは、膜厚は、製剤の固形分の制限によって限定される。固形分が高過ぎると、重合反応は、製剤がゲル化するまで反応する傾向を有し、エレクトロニクス又はオプトエレクトロニクスコーティングの形成にとって適さないものとなってしまう。いくつかの典型的なデバイスでは、ポリシロキサンコーティングは、水分に対する感受性を有する基材又はコーティングに適用される。水を含有する製剤をその基材又はコーティングに適用することは、水分に対する感受性を有するSi−H部分を含有するシロキサン物質などの水分感受性物質を損傷する恐れがある。いくつかの典型的なデバイスでは、ポリシロキサンコーティングは、pHに対する感受性を有する基材又はコーティングに適用される。製剤をその基材又はコーティングに適用することは、酸性又は塩基性媒体に対する感受性を有し得るインターコネクトの金属パターンなどのpH感受性物質を損傷する恐れがある。
加えて、バッテリー寿命を向上し、視聴の楽しさを高めたタッチ式高ピクセル密度(1インチあたりのピクセル又はppi)ディスプレイでは、薄膜トランジスタ(TFT)レベルでの電力消費を最小限に抑えて個々のピクセルの動作を向上させる必要がある。解像度が高められたタッチ式高性能ディスプレイの場合、個々のピクセルは、複数の薄膜トランジスタ(TFT)と接続されて、最大の解像度及び消費者にとっての最大の視聴の楽しさが達成される。ガラスが好ましい固体基材上に熱安定性の平坦化層を適用することによって平滑基材上に熱安定性酸化物TFTを構築することは、TFT動作時のリークを減少し、デバイスのスイッチオン及びオフ時の電力消費を低下させる。酸化インジウムガリウム亜鉛(IGZO)などの酸化物TFTは、オフ電流が低く、アモルファス及び低温ポリシリコンTFTと比較して長いディスプレイデバイスのバッテリー寿命が得られる。銅、アルミニウム、又はモリブデンのインターコネクトでは、酸化物TFTに対して、低ガス放出性の熱安定性(350℃〜400℃、好ましくは、380℃)平坦化誘電性物質が必要である。加えて、銅、アルミニウム、又はモリブデンのインターコネクトでは、拡散を防止するために、窒化ケイ素などの比較的厚いバリア物質が必要であり、このことは、一般的に、製造コストを増加させる。しかし、典型的な平坦化物質は、有効な拡散バリア又は補助的な拡散バリアとしての要件を満たしていない。
上述事項における改善が求められている。
本開示は、1つ以上の溶媒及び1つ以上のケイ素系化合物を含むポリシロキサン製剤を提供する。本開示はさらに、そのような製剤から形成されたコーティングも提供する。
1つの代表的な実施形態では、組成物が提供される。組成物は、ケイ素系物質中の炭素原子の総数に基づいたアルキル基中に含有される炭素原子の割合が20%超から100%である少なくとも1つのケイ素系物質、及び少なくとも1つの溶媒を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、少なくとも1つのケイ素系物質は、アルキル基及びアリール基を含む第一のケイ素含有樹脂、並びにアリール基を含む第二のケイ素含有樹脂を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、第一のケイ素含有樹脂は、メチルシロキサン及びフェニルシロキサンを含み、第二のケイ素含有樹脂は、フェニルシロキサンを含む。
1つの代表的な実施形態では、組成物が提供される。組成物は、アルキル基及びアリール基のうちの少なくとも1つを含む第一のシロキサン樹脂並びにアリール基を含む第二のシロキサン樹脂を含む少なくとも1つのケイ素系物質、及び少なくとも1つの溶媒を含む。1つのより特定の実施形態では、第一のケイ素含有樹脂は、1000AMUから10000AMUの重量平均分子量を有し、第二のケイ素含有樹脂は、900AMUから5000AMUの重量平均分子量を有する。上記の実施形態のいずれかのうちのより特定の実施形態では、第一のシロキサン樹脂のアルキル基は、メチル基を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、第一のシロキサン樹脂は、ジメチルシロキサンを含む。上記の実施形態のいずれかのうちのより特定の実施形態では、第一のシロキサン樹脂のアリール基は、フェニル基を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、第二のシロキサン樹脂のアリール基は、フェニル基を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、第一のケイ素含有樹脂は、メチルシロキサン及びフェニルシロキサンを含み、第二のケイ素含有樹脂は、フェニルシロキサンを含む。
1つの代表的な実施形態では、組成物が提供される。組成物は、二官能性シロキサンを含む少なくとも1つのケイ素系物質、及び少なくとも1つの溶媒を含む。より特定の実施形態では、二官能性シロキサンは、ジメチルシロキサンである。上記の実施形態のいずれかのうちのより特定の実施形態では、ケイ素系物質は、ケイ素系物質中のシロキサンの総モル数の割合として、少なくとも0.1mol%の二官能性シロキサンを含む。上記の実施形態のいずれかのうちのより特定の実施形態では、少なくとも1つのケイ素系物質は、アルキル基及びアリール基を含む第一のケイ素含有樹脂、並びにアリール基を含む第二のケイ素含有樹脂を含み、ここで、第一のケイ素含有樹脂は、二官能性シロキサンを含む。上記の実施形態のいずれかのうちのより特定の実施形態では、第一のケイ素含有樹脂は、メチルシロキサン、ジメチルシロキサン、及びフェニルシロキサンを含み、第二のケイ素含有樹脂は、フェニルシロキサンを含む。
1つの代表的な実施形態では、組成物が提供される。組成物は、アルキル基及びアリール基を含む第一のケイ素含有樹脂並びにアリール基を含む第二のケイ素含有樹脂、少なくとも1つの溶媒、並びに少なくとも1つの熱活性化触媒を含む架橋性組成物である。より特定の実施形態では、組成物はさらに、少なくとも1つの界面活性剤を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、組成物はさらに、接着促進剤を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、第一のケイ素含有樹脂は、メチルシロキサン及びフェニルシロキサンを含み、第二のケイ素含有樹脂は、フェニルシロキサンを含む。上記の実施形態のいずれかのうちのより特定の実施形態では、第一のケイ素含有樹脂はさらに、ジメチルシロキサンなどの二官能性シロキサンを含む。さらにより特定の実施形態では、二官能性シロキサンは、第一のケイ素含有樹脂中のシロキサンの総モル数の割合として、少なくとも0.1mol%を成す。上記の実施形態のいずれかのうちのより特定の実施形態では、第一及び第二のケイ素含有樹脂中の炭素原子の総数に基づいたアルキル基中に含有される炭素原子の割合は、10%超から100%であり、又はさらにより特には、20%超から100%である。
1つの代表的な実施形態では、組成物が提供される。組成物は、1000AMUから10000AMUの重量平均分子量を有し、ケイ素系物質中の炭素原子の総数に基づいたアルキル基中に含有される炭素原子の割合が20%超から100%である少なくとも1つのケイ素系物質、少なくとも1つの溶媒、及び少なくとも1つの熱活性化触媒を含む架橋性組成物である。より特定の実施形態では、組成物はさらに、1つ以上の界面活性剤を含む。より特定の実施形態では、組成物はさらに、1つ以上の接着促進剤を含む。
上記の実施形態のいずれかのうちのより特定の実施形態では、組成物はさらに、酢酸テトラメチルアンモニウム(TMAA)、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラブチルアンモニウム(TBAH)、酢酸テトラブチルアンモニウム(TBAA)、酢酸セチルトリメチルアンモニウム(CTAA)、硝酸テトラメチルアンモニウム(TMAN)から選択される四級アンモニウム塩などの少なくとも1つの熱活性化触媒を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、組成物はさらに、少なくとも1つの界面活性剤を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、組成物はさらに、少なくとも1つの接着促進剤を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、組成物はさらに、少なくとも1つの可塑剤を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、組成物はさらに、少なくとも1つの有機酸を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、組成物はさらに、少なくとも1つの一官能性シランを含む。
上記の実施形態のいずれかのうちのより特定の実施形態では、組成物は、水を含まない。
1つの代表的な実施形態では、組成物が提供される。組成物は、アルキル基及びアリール基のうちの少なくとも1つを含む少なくとも1つのケイ素系物質、少なくとも1つの溶媒、少なくとも1つの熱活性化触媒、並びに少なくとも1つの界面活性剤を含み、ここで、組成物は、水を含まない。より特定の実施形態では、少なくとも1つの溶媒は、水を含まない無水溶媒から成る。別のより特定の実施形態では、組成物は、0.2重量%未満の水を有する。別のより特定の実施形態では、組成物は、0重量%の水を有する。別のより特定の実施形態では、組成物は、外的な水を含まない。上記の実施形態のいずれかのうちのより特定の実施形態では、組成物はさらに、接着促進剤、末端封止剤、及び有機酸から成る群より選択される1つ以上の添加剤を含む。
上記の実施形態のいずれかのうちのより特定の実施形態では、組成物は、架橋性組成物である。
1つの代表的な実施形態では、架橋された膜が提供される。架橋された膜は、上記の実施形態のいずれかに従う組成物から形成される。より特定の実施形態では、架橋された膜は、1.5μm以上の厚さを有する。別のより特定の実施形態では、架橋された膜は、3.0μm以上の厚さを有する。上記の実施形態のいずれかのうちのより特定の実施形態では、架橋された膜は、350℃以上の温度で硬化される。上記の実施形態のいずれかのうちのより特定の実施形態では、架橋された膜は、390℃以上の温度で硬化される。上記の実施形態のいずれかのうちのより特定の実施形態では、架橋された膜は、400から1000nmの可視光波長範囲内の光に対して、95%以上の透過率を有する。
1つの代表的な実施形態では、表面を有するデバイスが提供される。表面は、上記の実施形態のいずれかに従う架橋された膜を含むか、又は上記の実施形態のいずれかから形成された架橋された膜を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、デバイスは、トランジスタ、発光ダイオード、カラーフィルター、光起電力セル、フラットパネルディスプレイ、湾曲ディスプレイ、タッチスクリーンディスプレイ、x線検出器、アクティブ又はパッシブマトリックスOLEDディスプレイ、アクティブマトリックス薄膜液晶ディスプレイ、電気泳動ディスプレイ、CMOSイメージセンサー、及びこれらの組み合わせから成る群より選択される。上記の実施形態のいずれかのうちのより特定の実施形態では、架橋された膜は、不動態化層、平坦化層、バリア層、又はこれらの組み合わせを形成する。
1つの実施形態では、組成物を形成する方法が提供される。この方法は、第一のシロキサン樹脂、第二のシロキサン樹脂、及び少なくとも1つの溶媒を混合して架橋性組成物を形成することを含み、ここで、第一のシロキサン樹脂は、アルキル基及びアリール基のうちの少なくとも1つを含み、第二のシロキサン樹脂は、アリール基を含む。より特定の実施形態では、第一のシロキサン樹脂のアルキル基は、メチル基を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、上記の実施形態のいずれかのうちのより特定の実施形態では、第一のシロキサン樹脂は、ジメチルシロキサンを含む。上記の実施形態のいずれかのうちのより特定の実施形態では、第一のシロキサン樹脂のアリール基は、フェニル基を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、第二のシロキサン樹脂のアリール基は、フェニル基を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、組成物はさらに、アルキル及びアリール基中の炭素原子の総数に基づいて、20%超から100%のアルキル基中に含有される炭素原子の合計割合を有する。
1つの代表的な実施形態では、組成物を形成する方法が提供される。この方法は、触媒の存在下、第一の溶媒中で第一のオルガノアルコキシシランを反応させて、アルキル基及びアリール基のうちの少なくとも1つを含む第一のケイ素系物質を生成すること、触媒の存在下、第二の溶媒中で第二のオルガノアルコキシシランを反応させて、アリール基を含む第二のケイ素系物質を生成すること、並びに第一及び第二のケイ素系物質を混合して組成物を形成することを含み、ここで、組成物は、第一及び第二のケイ素系物質中の炭素原子の総数に基づいて、20%超から100%のアルキル基中に含有される炭素原子の割合を有する。より特定の実施形態では、第一及び第二のオルガノアルコキシシランは、独立して、メチルトリメトキシシラン(MTMOS)、メチルトリエトキシシラン(MTEOS)、ジメチルジエトキシシラン(DMDEOS)、フェニルトリエトキシシラン(PTEOS)、ジメチルジメトキシシラン、フェニルトリメトキシシラン、及びこれらの組み合わせから成る群より選択される。
1つの代表的な実施形態では、組成物を形成する方法が提供される。この方法は、アルキル基及びアリール基を含む第一のシロキサン樹脂、アリール基を含む第二のシロキサン樹脂、溶媒、及び熱活性化触媒を混合して、架橋性組成物を形成すること、該組成物を基材上に堆積すること、並びに架橋性組成物を350℃以上の温度で硬化して、架橋された膜を形成することを含み、ここで、架橋された膜は、1.5μm以上の厚さを有する。より特定の実施形態では、第一のシロキサン樹脂は、メチルシロキサン及びフェニルシロキサンを含み、第二のケイ素含有樹脂は、フェニルシロキサンを含む。別のより特定の実施形態では、第一のシロキサン樹脂はさらに、ジメチルシロキサンを含む。
より特定の実施形態では、上記の実施形態のいずれかに従う方法、組成物はさらに、少なくとも1つの熱活性化触媒、及び少なくとも1つの界面活性剤を含む。上記の実施形態のいずれかのうちのより特定の実施形態では、架橋性組成物は、水を含まない。上記の実施形態のいずれかのうちのより特定の実施形態では、少なくとも1つの溶媒は、PGMEA及びPGPEから成る。上記の実施形態のいずれかのうちのより特定の実施形態では、組成物は、架橋性組成物である。
より特定の実施形態では、上記の実施形態のいずれかに従う方法はさらに、組成物を表面上に堆積させること、及び組成物を硬化して膜を形成することを含む。より特定の実施形態では、膜は、1.5μm以上の厚さを有する。上記の実施形態のいずれかのうちのより特定の実施形態では、架橋された膜は、3.0μm以上の厚さを有する。上記の実施形態のいずれかのうちのより特定の実施形態では、架橋性組成物を硬化することは、350℃以上の温度で硬化することを含む。上記の実施形態のいずれかのうちのより特定の実施形態では、架橋性組成物を硬化することは、390℃以上の温度で硬化することを含む。
より特定の実施形態では、上記の実施形態のいずれかに従って、第一のケイ素含有樹脂は、メチルシロキサン及びフェニルシロキサンを含み、第二のケイ素含有樹脂は、フェニルシロキサンを含み、並びに方法はさらに、少なくとも1000AMUの分子量を有するフェニルTEOS系ポリマーを、溶媒中、触媒の存在下で反応させて、第二のケイ素含有樹脂を形成することを含む。さらにより特定の実施形態では、触媒は、テトラオルガノアンモニウム化合物などの塩基性触媒である。
複数の実施形態が開示されるが、当業者であれば、本発明の実例としての実施形態を示し、記載する以下の詳細な記述から、本発明のさらに他の実施形態が明らかとなるであろう。従って、図面及び詳細な記述は、限定するものとしてではなく、本質的に実例として見なされるべきである。
図1は、例4に関連し、コーティングの絶対透過率(350〜800nm)を示す。 図2Aは、例4に関連し、400nmから1050nmにおけるコントロールコーティングの反射性を示す。 図2Bは、例4に関連し、400nmから1050nmにおける代表的コーティングの反射性を示す。 図3Aは、例6に関連し、加熱及び冷却サイクル時のコントロールコーティングにおける残留応力をMPaで示す。 図3Bは、例6に関連し、加熱及び冷却サイクル時の代表的コーティングにおける残留応力をMPaで示す。 図4Aは、例6に関連し、コーティングに対する温度の関数としての熱膨張係数を示す。 図4Bは、例6に関連し、コーティングに対する温度の関数としての熱膨張係数の差を示す。 図5は、例7に関連し、コーティングに対する熱重量分析の結果を示す。 図6Aは、例9に関連し、コーティングに対するナノ硬度をMPaで示す。 図6Bは、例9に関連し、コーティングに対する換算弾性率をGPaで示す。 図7Aは、例9に関連し、コントロールコーティングに対する深さ対荷重プロファイルを示す。 図7Bは、例9に関連し、コントロールコーティングに対するインデンテーションの走査型プローブ顕微鏡画像を示す。 図8Aは、例9に関連し、代表的コーティングに対する深さ対荷重プロファイルを示す。 図8Bは、例9に関連し、代表的コーティングに対するインデンテーションの走査型プローブ顕微鏡画像を示す。
複数の図全体にわたって、対応する符号は、対応する部分を示す。本明細書で示される実例は、特定の代表的な実施形態を実証するために提供されるものであり、そのような実例は、いかなる形であっても、範囲を限定するものとして解釈されてはならない。
I.ポリシロキサン製剤
1つの代表的な実施形態では、ポリシロキサン製剤は、1つ以上の溶媒、及び1つ以上のケイ素系化合物を含む。ある代表的な実施形態では、製剤はさらに、1つ以上の触媒を含む。ある代表的な実施形態では、製剤はさらに、1つ以上の界面活性剤を含む。ある代表的な実施形態では、製剤はさらに、接着促進剤、可塑剤、有機酸、及び一官能性シランなどの1つ以上のさらなる添加剤を含む。
a.溶媒
製剤は、1つ以上の溶媒を含む。代表的な溶媒としては、所望される温度で蒸発する、及び/又は本明細書で考察される成分を容易に溶媒和させる適切な純粋有機分子又はその混合物が挙げられる。溶媒はまた、適切な純粋極性及び非極性化合物、又はその混合物を含んでもよい。本明細書で用いられる場合、「純粋」の用語は、一定の組成を有する成分を意味する。例えば、純粋な水は、HOのみから成る。本明細書で用いられる場合、「混合物」の用語は、塩水を含む純粋ではない成分を意味する。本明細書で用いられる場合、「極性」の用語は、分子若しくは化合物の一か所又は全体にわたって不均等な電荷、部分的な電荷、又は自発的な電荷の分布を作り出す分子又は化合物の特性を意味する。本明細書で用いられる場合、「非極性」の用語は、分子若しくは化合物の一か所又は全体にわたって均等な電荷、部分的な電荷、又は自発的な電荷の分布を作り出す分子又は化合物の特性を意味する。
代表的な溶媒としては、ある場合では、組成物のギャップ充填性及び平坦化性を改善する目的で、単独で又は組み合わせて、溶液の粘度、分子間力、及び表面エネルギーを修飾することができる溶媒が挙げられる。しかし、適切な溶媒はまた、架橋効率に影響を与えること、熱安定性に影響を与えること、粘度に影響を与えること、及び/又は得られる層若しくは膜の他の層、基材、若しくは表面に対する接着性に影響を与えることによるなど、他の方法で組成物のプロファイルに影響を与える溶媒も含んでよいことは理解されるべきである。
代表的な溶媒としては、また、炭化水素溶媒ファミリーの化合物の一部ではない溶媒も挙げられ、アセトン、ジエチルケトン、メチルエチルケトンなどを含むケトン、アルコール、エステル、エーテル、及びアミンなどである。さらなる代表的な溶媒としては、乳酸エチル、プロピレングリコールプロピルエーテル(PGPE)、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、又はこれらの組み合わせが挙げられる。1つの代表的な実施形態では、溶媒は、プロピレングリコールモノメチルエーテルアセテートを含む。
1つの代表的な実施形態では、製剤は、少なくは、50重量%、55重量%、60重量%、多くは、80重量%、85重量%、90重量%の、又は50重量%から90重量%、55重量%から85重量%、若しくは65重量%から85重量%など、上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい1つ以上の溶媒を含む。組成物に添加する溶媒の適切な量の決定は、いくつかの因子に依存し、a)所望される層若しくは膜の厚さ、b)組成物中の固体の所望される濃度及び分子量、c)組成物の適用技術、並びに/又はd)スピンコーティング技術が用いられる場合は、スピン速度が挙げられる。加えて、製剤中の固体濃度(又は樹脂若しくはポリマー)が高い程、粘度が高くなる。従って、特定のコーティング適用技術において所望される場合、粘度を高めるために、固形分が増加(又は、溶媒の量が減少)されてもよい。加えて、粘稠製剤又は固形分がより高い製剤は、典型的には、2μm超などのより厚い膜厚を提供することになる。
本明細書で用いられる溶媒は、適切ないかなる不純物レベルを有していてもよい。ある実施形態では、用いられる溶媒は、約1ppm未満、約100ppb未満、約10ppb未満、約1ppb未満、約100ppt未満、約10ppt未満、及び場合によっては、約1ppt未満など、比較的低いレベルの不純物を有する。これらの溶媒は、これらの考慮される用途での使用に適する不純物レベルを有するものが購入されてよく、又はさらに不純物を除去し、約10ppb未満、約1ppb未満、約100ppt未満、若しくは適切な及び/若しくは所望されるこれらよりも低いレベルに到達するために、さらに精製される必要があり得る。
1つの代表的な実施形態では、製剤は、水を含まない。より特定の実施形態では、溶媒は、無水溶媒であり、ケイ素系化合物、並びにいずれの触媒、界面活性剤、接着促進剤、架橋剤、開始剤、又はその他の添加剤も、水を含まない無水溶媒中のものが提供される。ある代表的な実施形態では、「水を含まない」とは、0.2重量%、0.1重量%、0.05重量%、0.01重量%、又は0重量%未満の水を有する組成物を意味する。ある代表的な実施形態では、「水を含まない」とは、水を有しない組成物を意味する。ある代表的な実施形態では、「水を含まない」とは、ケイ素系化合物の加水分解縮合反応からある程度の水は形成され得るが、外的な水は添加されない組成物を意味する。
b.ケイ素系化合物
製剤は、架橋してポリシロキサンを形成することができる1つ以上のケイ素系化合物を含む。代表的なケイ素系化合物は、シロキサン、シルセスキオキサン、ポリシロキサン、又はポリシルセスキオキサンを含み、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、ジメチルシロキサン、ジフェニルシロキサン、メチルフェニルシロキサン、ポリフェニルシルセスキオキサン、ポリフェニルシロキサン、ポリメチルフェニルシロキサン、ポリメチルフェニルシルセスキオキサン、ポリメチルシロキサン、ポリメチルシルセスキオキサン、及びこれらの組み合わせなどである。ある実施形態では、少なくとも1つのケイ素系化合物は、ポリフェニルシルセスキオキサン、ポリフェニルシロキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、ポリメチルフェニルシロキサン、ポリメチルフェニルシルセスキオキサン、ポリメチルシロキサン、ポリメチルシルセスキオキサン、又はこれらの組み合わせを含む。
ある実施形態では、ケイ素系化合物において、炭素原子の総数が、メチル及びエチル基などのアルキル基の炭素原子と、フェニル基などのアリール基の炭素原子とに分割されている。ある実施形態では、アルキル基に含有される炭素原子の数は、アルキル及びアリール基に含有される炭素原子の総数に基づいて、10%超、12%、15%、20%、21%、25%、又は30%超、31%、40%、50%、60%、70%、75%、80%、85%、90%、95%、99%、及び100%未満、若しくは100%であり、又は10%超から100%未満、12%から100%未満、20%超から100%、若しくは30%超から100%未満などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい。
いかなる特定の理論にも束縛されるものではないが、アリール炭素の割合の増加は、ポリシロキサン化合物の立体障害を増加させ、架橋がより低く、より可撓性であるポリシロキサンコーティングが得られる結果となると考えられる。加えて、ジアルキルジアルコキシシラン又はジアリールジアルコキシシランなどの二官能性シランを用いることによるアルキル又はアリール炭素の割合の増加は、ポリシロキサン化合物の反応性官能基の数を減少させ、架橋がより低く、より可撓性であるポリシロキサンコーティングが得られる結果となる。しかし、ポリシロキサン化合物の可撓性が増加すると、化学薬品に対する耐性のより低い膜又はコーティングが作製される傾向もある。ある代表的な実施形態では、ケイ素系化合物は、形成されたポリシロキサンの可撓性を増加させるために、可塑剤又はその他の適切な物質を含む。
いくつかの考慮されるケイ素系化合物は、以下の式を有する少なくとも1つの反応体の加水分解縮合反応から形成された組成物を含み、
Si(OR
式中、Rは、アルキル、アルケニル、アリール、又はアラルキル基であり、xは、0から2の整数であり、並びにRは、アルキル基又はアシル基であり、yは、1から4の整数である。さらに考慮される物質としては、以下の一般式のシルセスキオキサンポリマーが挙げられ、
(CSiO1.5
式中、xは、約4を超える整数である。
ある代表的な実施形態では、ケイ素系物質は、1つ以上のポリシロキサン樹脂を含み、オハイオ州ペリーズバーグのTechneglas Technical Products社から入手可能であるGlass Resinポリシロキサン樹脂などである。1つの代表的な実施形態では、ポリシロキサン樹脂は、1つ以上のケイ素系モノマーの制限された加水分解及び縮合反応から形成されるケイ素系オリゴマーである。代表的な適するケイ素系モノマーとしては、Si−C結合を有するオルガノアルコキシシランが挙げられ、メチルトリメトキシシラン(MTMOS)、メチルトリエトキシシラン(MTEOS)、ジメチルジエトキシシラン(DMDEOS)、フェニルトリエトキシシラン(PTEOS)、ジメチルジメトキシシラン、及びフェニルトリメトキシシランなどである。その他の適するケイ素系のマーは、テトラエチルオルソシリケート(TEOS)など、Si−C結合を有しない。代表的な樹脂物質としては、メチルシロキサン、ジメチルシロキサン、フェニルシロキサン、メチルフェニルシロキサン、テトラエトキシシラン、及びこれらの混合物など、オルガノアルコキシシランから誘導されるガラス樹脂が挙げられる。
1つの代表的な実施形態では、ポリシロキサン樹脂は、直鎖状構造、環状構造、ケージ状構造、ラダー状構造、及び部分ラダー/部分ケージ状構造から成る群より選択される構造を有する。より特定の実施形態では、ポリシロキサン樹脂は、部分ラダー/部分ケージ状構造を有する。
ある代表的な実施形態では、ポリシロキサン樹脂は、1つ以上のアルキル基及び/又は1つ以上のアリール基を含む。アルキル基を含有する代表的なポリシロキサン樹脂としては、メチルシロキサン及びジメチルシロキサンが挙げられる。アリール基を含有する代表的なポリシロキサン樹脂としては、フェニルシロキサンが挙げられる。アルキル及びアリール基の両方を含有する代表的なポリシロキサン樹脂としては、メチルフェニルシロキサンが挙げられる。
1つの代表的な実施形態では、各ポリシロキサン樹脂は、小さくは、900原子質量単位(AMU)、950AMU、1000AMU、1100AMU、1150AMU、大きくは、2000AMU,3000AMU、4000AMU、5000AMU、10000AMUの、又は900AMUから10000AMU、1000AMUから10000AMU、若しくは900AMUから5000AMUなどの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい重量平均分子量を有する。より特定の実施形態では、ポリシロキサン樹脂は、メチルシロキサン及び/又はジメチルシロキサンなどのアルキル基を含有する第一のポリシロキサン樹脂、並びにフェニルシロキサンなどのアリール基を含有する第二のポリシロキサン樹脂を含む。1つの実施形態では、第一のポリシロキサン樹脂はさらに、フェニルシロキサンなど、アリール基を含有する。さらにより特定の実施形態では、第一のポリシロキサン樹脂は、小さくは、1000原子質量単位(AMU)、2000AMU、2200AMU、3000AMU、3800AMU、4000AMU、大きくは、4500AMU,4800AMU、5000AMU、7500AMU、10000AMUの、又は1000AMUから10000AMU、2000AMUから5000AMU、若しくは3800AMUから4800AMUなどの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい重量平均分子量を有し、第二のポリシロキサン樹脂は、小さくは、900原子質量単位(AMU)、950AMU、1000AMU、大きくは、1150AMU,2000AMU、2500AMU、5000AMUの、又は900AMUから5000AMU、900AMUから2000AMU、若しくは950AMUから1150AMUなどの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい重量平均分子量を有する。
ある代表的な実施形態では、ケイ素系物質は、1つ以上のオルガノアルコキシシランを含むか、又はそれから形成される。代表的なオルガノアルコキシシランとしては、メチルトリメトキシシラン(MTMOS)、メチルトリエトキシシラン(MTEOS)、ジメチルジエトキシシラン(DMDEOS)、フェニルトリエトキシシラン(PTEOS)、ジメチルジメトキシシラン、フェニルトリメトキシシラン、及び上述のものの組み合わせが挙げられる。
ある代表的な実施形態では、ケイ素系物質は、2つ以上の予備形成ポリシロキサン樹脂のブレンドを含む。より特定の実施形態では、2つ以上のポリシロキサン樹脂が組み合わされて、アルキル及びアリール基に含有される炭素原子の総数に基づいて、10%超、12%、20%超、21%、25%、30%超、31%、40%、50%、60%、70%、75%、80%、85%、90%、95%、99%、100%未満、100%であるか、又は10%超から100%、12%から100%、20%超から100%、若しくは30%超から100%未満などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよいアルキル基に含有される炭素原子の総数が提供されてもよい。
別のより特定の実施形態では、ケイ素系物質は、各々二官能性シランを含む1つ以上のポリシロキサン樹脂を含んでもよい。代表的な二官能性シランは、ジメチルシロキサンである。より特定の実施形態では、ケイ素系物質は、シロキサンの総モル数のモルパーセントとして、少なくは、0%、0.1%、0.5%、1%、2%、多くは、5%、10%、15%、20%の、又は0から20%若しくは0.1%から2%などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい二官能性シロキサンを含んでよい。
c.触媒
ある代表的な実施形態では、製剤は、1つ以上の触媒を含む。ある実施形態では、触媒は、熱活性化触媒である。本明細書で用いられる場合、熱活性化触媒とは、高められた温度など、特定の温度又はそれ以上で活性化される触媒を意味する。例えば、ある温度(室温など)では、組成物は低分子量を維持しており、従って、表面に対する良好な平坦化能が得られる。温度が上昇されると(50℃超など)、熱活性化触媒は、2つのSi−OH官能基間の縮合反応を触媒し、その結果、より密な構造となり、場合によっては、全体的な性能が向上される。適切な縮合触媒は、安定なシリケート溶液を維持することを補助することができる触媒を含む。金属イオンを含まない代表的な触媒は、アンモニウム化合物(四級アンモニウム塩など)、アミン、ホスホニウム化合物、又はホスフィン化合物などのオニウム化合物及び求核剤を含み得る。
1つの代表的な実施形態では、触媒は、光酸、光酸発生剤、又は金属系触媒ではない。
ある実施形態では、触媒は、比較的分子的に「小さい」か、又は四級アンモニウム塩などの比較的小さいカチオンを生成する触媒である。ある実施形態では、1つ以上の触媒は、酢酸テトラメチルアンモニウム(TMAA)、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラブチルアンモニウム(TBAH)、酢酸テトラブチルアンモニウム(TBAA)、酢酸セチルトリメチルアンモニウム(CTAA)、硝酸テトラメチルアンモニウム(TMAN)、その他のアンモニウム系触媒、アミン系及び/又はアミン生成触媒、並びにこれらの組み合わせから選択される。その他の代表的な触媒としては、塩化(2‐ヒドロキシエチル)トリメチルアンモニウム、水酸化(2‐ヒドロキシエチル)トリメチルアンモニウム、酢酸(2‐ヒドロキシエチル)トリメチルアンモニウム、ギ酸(2‐ヒドロキシエチル)トリメチルアンモニウム、硝酸(2‐ヒドロキシエチル)トリメチルアンモニウム、安息香酸(2‐ヒドロキシエチル)トリメチルアンモニウム、ギ酸テトラメチルアンモニウム、及びこれらの組み合わせが挙げられる。その他の代表的な触媒としては、塩化(カルボキシメチル)トリメチルアンモニウム、水酸化(カルボキシメチル)トリメチルアンモニウム、ギ酸(カルボキシメチル)トリメチルアンモニウム、及び酢酸(カルボキシメチル)トリメチルアンモニウムが挙げられる。
1つの代表的な実施形態では、製剤は、少なくは、0.001重量%、0.004重量%、0.01重量%、0.1重量%、0.3重量%、多くは、0.5重量%、1重量%、2重量%、5重量%、若しくは10重量%の、又は0.1重量%から10重量%若しくは1重量%から2重量%などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい1つ以上の触媒を含む。
ある代表的な実施形態では、1つ以上の触媒は、TMANを含む。TMANは、TMANを水、若しくはエタノール、プロピレングリコールプロピルエーテル(PGPE)などの有機溶媒に溶解することによって、又はTMAA若しくはTMAHを硝酸を用いることによってTMANに変換することによって提供されてよい。
d.界面活性剤
ある代表的な実施形態では、製剤は、1つ以上の界面活性剤を含む。界面活性剤は、表面張力を低下させるために添加されてよい。本明細書で用いられる場合、「界面活性剤」の用語は、HO若しくは他の液体に溶解された場合に表面張力を低下させる、又は2つの液体間若しくは液体と固体との間の界面張力を低下させるいずれの化合物をも意味する。考慮される界面活性剤としては、少なくとも1つのアニオン性界面活性剤、カチオン性界面活性剤、非イオン性界面活性剤、双性イオン性界面活性剤、又はこれらの組み合わせが挙げられ得る。界面活性剤は、直接組成物中に溶解されてよく、又は最終組成物の形成前に、組成物成分(少なくとも1つのケイ素系化合物、少なくとも1つの触媒、少なくとも1つの溶媒)のうちの1つと共に添加されてもよい。考慮される界面活性剤としては、BYK307(ポリエーテル修飾ポリジメチルシロキサン、BYK−Chemie社)などのポリエーテル修飾ポリジメチルシロキサン、ドデシルベンゼンスルホネート、テトラプロピレンベンゼンスルホネート、ドデシルベンゼンスルホネートなどのスルホネート、Fluorad FC−93及びL−18691(3M社)などのフッ素化アニオン性界面活性剤、FC−4430(3M社)、FC−4432(3M社)、及びL−18242(3M社)などのフッ素化非イオン性界面活性剤、臭化ドデシルトリメチルアンモニウム又は臭化セチルトリメチルアンモニウムなどの四級アミン、アルキルフェノキシポリエチレンオキシドアルコール、アルキルフェノキシポリグリシドール、アセチリン系アルコール(acetylinic alcohols)、Tergitol TMN−6(Dow社)及びTergitol minifoam 2×(Dow社)などのポリグリコールエーテル、Brij−30(Aldrich社)、Brij−35(Aldrich社)、Brij−58(Aldrich社)、Brij−72(Aldrich社)、Brij−76(Aldrich社)、Brij−78(Aldrich社)、Brij−98(Aldrich社)、及びBrij−700(Aldrich社)などのポリオキシエチレン脂肪エーテル、ココアミドプロピルベタインなどのベタイン、スルホベタイン、並びにジオクタノイルホスファチジルコリン及びレシチンなどの合成リン脂質、並びにこれらの組み合わせが挙げられ得る。
1つの代表的な実施形態では、製剤は、少なくは、0.001重量%、0.005重量%、0.01重量%、0.05重量%、多くは、0.1重量%、0.25重量%、0.5重量%、1重量%の、又は0.001重量%から1重量%若しくは0.001重量%から0.25重量%などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい1つ以上の界面活性剤を含む。組成物に添加される組成物修飾成分の適切な量の決定は、a)膜中の欠陥を最小限に抑えること、及び/又はb)良好な接着性と望ましい膜特性との間のバランスの良い膜とすることを含むいくつかの因子に依存する。
e.その他の添加剤
ある代表的な実施形態では、製剤は、接着促進剤、末端封止剤、及び有機酸などの1つ以上のさらなる添加剤を含んでよい。
1つの代表的な実施形態では、製剤は、層、コーティング、又は膜が周囲の基材、層、コーティング、膜、及び/又は表面に接着する能力に影響を与える目的で、1つ以上の接着促進剤を含む。接着促進剤は、a)焼成などのオプトエレクトロニクスコンポーネントの製造に一般的に用いられる温度での熱処理後に熱安定性を有すること、及び/又はb)物質層間の静電及びクーロン相互作用を促進し、さらに、ある実施形態では、理解されるファンデルワールス相互作用を促進することのうちの少なくとも1つであってよい。代表的な接着促進剤としては、アミノプロピルトリエトキシシラン(APTEOS)及びAPTEOSの塩、ビニルトリエトキシシラン(VTEOS)、グリシドキシプロピルトリメトキシシラン(GLYMO)、並びにメタクリルオキシプロピルトリエトキシシラン(MPTEOS)が挙げられる。その他の代表的な接着促進剤としては、3‐(トリエトキシシリル)プロピルコハク酸無水物、ジメチルジヒドロキシシラン、メチルフェニルジヒドロキシシラン、又はこれらの組み合わせが挙げられる。1つの代表的な実施形態では、製剤は、少なくは、0.001重量%、0.01重量%、0.1重量%、0.26重量%、多くは、1重量%、2.6重量%、5重量%、10重量%、20重量%の、又は0.001重量%から20重量%若しくは0.26重量%から2.6重量%などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい1つ以上の接着促進剤を含む。
1つの代表的な実施形態では、製剤は、ポリシロキサン分子上のシラノール基と反応することができる単一の反応性官能基を含む一官能性シランなどの1つ以上の末端封止剤を含む。代表的な末端封止剤としては、トリメチルエトキシシラン、トリエチルメトキシシラン、トリメチルアセトキシシラン、トリメチルシランなどのトリアルキルシランが挙げられる。1つの代表的な実施形態では、製剤は、ポリシロキサンの総モル数のパーセントとして、少なくは、0.1%、0.5%、1%、2%、多くは、5%、10%、15%、20%、若しくは25%の、又は2%から20%若しくは5%から10%などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい1つ以上の末端封止剤を含む。
1つの代表的な実施形態では、製剤は、1つ以上の有機酸を含む。ある実施形態では、有機酸添加剤は、揮発性であるか、又は高温で分解し、及び製剤の安定化を補助する。代表的な有機酸としては、p‐トルエンスルホン酸、クエン酸、ギ酸、酢酸、及びトリフルオロ酢酸が挙げられる。1つの代表的な実施形態では、製剤は、少なくは、0.1重量%、0.5重量%、1重量%、2重量%、多くは、5重量%、10重量%、15重量%、20重量%、若しくは25重量%の、又は2重量%から20重量%若しくは5重量%から10重量%などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい1つ以上の有機酸を含む。
II.ポリシロキサンコーティング
ある代表的な実施形態では、ポリシロキサン製剤は、エレクトロニクス、オプトエレクトロニクス、若しくはディスプレイデバイスの中又は上に位置する表面上にポリシロキサンコーティングを形成する。
ある代表的な実施形態では、ポリシロキサン製剤は、光透過性コーティングを形成する。より特定の実施形態では、光透過性コーティングは、400から1000nmの可視光波長範囲内の光に対する透過性を有する。ある実施形態では、光透過率は、80%、85%、90%、95%、97%、98%、99%、若しくはそれ以上という高さであるか、又は上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい。
ある代表的な実施形態では、1つ若しくはポリマー樹脂は、所望される屈折率を得るように選択される。1つの代表的な実施形態では、比較的低い屈折率を有するポリシロキサンコーティングを作製するために、100% メチルトリエトキシシラン樹脂など、比較的低い屈折率を有する樹脂の相対的モルパーセントが比較的高い。別の代表的な実施形態では、比較的高い屈折率を有するポリシロキサンコーティングを作製するために、100% フェニルトリエトキシシラン樹脂など、比較的高い屈折率を有する樹脂の相対的モルパーセントが比較的高い。別の代表的な実施形態では、比較的高い屈折率を有する第一の樹脂及び比較的低い屈折率を有する第二の樹脂の相対的モル比率は、第一及び第二の樹脂の屈折率の間の所望される屈折率を有するポリシロキサンコーティングが作製されるように選択される。
ある代表的な実施形態では、ポリシロキサン製剤は、小さくは、1.4未満、1.4、1.45、大きくは、1.5、1.55、1.56、1.6である、又は1.4未満から1.6若しくは1.4から1.56などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい屈折率を有するコーティングを形成する。
本開示のコーティングを提供することができる代表的なデバイスとしては、CMOSイメージセンサー、トランジスタ、発光ダイオード、カラーフィルター、光起電力セル、フラットパネルディスプレイ、湾曲ディスプレイ、タッチスクリーンディスプレイ、x線検出器、アクティブ又はパッシブマトリックスOLEDディスプレイ、アクティブマトリックス薄膜液晶ディスプレイ、電気泳動ディスプレイ、及びこれらの組み合わせが挙げられる。
ある代表的な実施形態では、ポリシロキサンコーティングは、不動態化層、バリア層、平坦化層、又はこれらの組み合わせを形成する。
ある代表的な実施形態では、ポリシロキサンコーティングは、小さくは、0.1μm、0.3μm、0.5μm、1μm、1.5μm、大きくは、2μm、2.5μm、3μm、3.5μm、4μm、若しくはそれ以上、又は上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい厚さを有する。
ある代表的な実施形態では、ポリシロキサンコーティングは、製剤を基材に適用し、続いて製剤を硬化することによって形成される。製剤を適用する代表的な方法としては、スピンコーティング、スプレーコーティング、スロットダイコーティング技術が挙げられる。硬化とは、ケイ素系オリゴマーなどのケイ素系物質が、触媒の存在下で反応して加水分解及び他のオリゴマーとの縮合を起こし、より高い分子量のポリマー又はマトリックスを形成する重合プロセスを意味する。1つの代表的な実施形態では、焼成工程が提供されて、溶媒の少なくとも一部又はすべてが除去される。ある実施形態では、焼成工程は、短くは、1分間、5分間、10分間、15分間、長くは、20分間、30分間、45分間、60分間、若しくはそれ以上であり、低くは、100℃、200℃、220℃、高くは、250℃、275℃、300℃、320℃、350℃、若しくはそれ以上の温度である。1つの代表的な実施形態では、硬化工程が提供されて、熱活性化触媒を活性化することなどにより、少なくとも1つのケイ素系物質が重合される。ある実施形態では、硬化工程は、短くは、10分間、15分間、20分間、長くは、30分間、45分間、60分間、若しくはそれ以上であり、低くは、250℃、275℃、300℃、高くは、320℃、350℃、375℃、380℃、400℃、若しくはそれ以上の温度である。
ある代表的な実施形態では、製剤の複数の層が、続けて適用され、硬化されて、多層コーティングが形成される。ある代表的な実施形態では、多層コーティングは、2、3、又は4層以上のポリシロキサンコーティング層を含む。
ある代表的な実施形態では、ポリシロキサンコーティングは、形成されたポリシロキサンコーティング上での追加のコーティング若しくは層の硬化又は堆積など、複数の加熱工程に対して耐性を有する。
III.ケイ素系化合物の前処理
ある代表的な実施形態では、ケイ素系化合物は、2つ以上の重合工程から形成されてよい。
1つの代表的な実施形態では、オリゴマー樹脂などの第一のケイ素系樹脂は、1つ以上のオルガノアルコキシシランの第一の重合工程から形成される。代表的なオルガノアルコキシシランとしては、メチルトリメトキシシラン(MTMOS)、メチルトリエトキシシラン(MTEOS)、ジメチルジエトキシシラン(DMDEOS)、フェニルトリエトキシシラン(PTEOS)、ジメチルジメトキシシラン、フェニルトリメトキシシラン、及び上述のものの組み合わせが挙げられる。代表的な第一の重合工程としては、無機酸若しくは有機酸で触媒される重合などの酸性触媒重合、又はアンモニウム化合物、アミン、ホスホニウム化合物、若しくはホスフィン化合物で触媒される重合などの塩基触媒重合が挙げられる。代表的な無機酸としては、硝酸、塩酸、硫酸、及びフッ化水素酸が挙げられる。代表的な有機酸としては、スルホン酸、トリフルオロスルホン酸、及びカルボン酸、さらには高められた温度への暴露によってスルホン酸を発生させることができる熱酸発生剤(TAG)が挙げられる。代表的な塩基性触媒としては、テトラオルガノアンモニウム化合物及びテトラオルガノホスホニウム化合物が挙げられ、酢酸テトラメチルアンモニウム(TMAA)、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラブチルアンモニウム(TBAH)、酢酸テトラブチルアンモニウム(TBAA)、酢酸セチルトリメチルアンモニウム(CTAA)、硝酸テトラメチルアンモニウム(TMAN)、トリフェニルアミン、トリオクチルアミン、トリドデシルアミン、トリエタノールアミン、酢酸テトラメチルホスホニウム、水酸化テトラメチルホスホニウム、トリフェニルホスフィン、トリメチルホスフィン、トリオクチルホスフィン、及びこれらの組み合わせなどである。1つの代表的な実施形態では、触媒は、硝酸などの無機酸である。
1つの代表的な実施形態では、第一のケイ素系樹脂は、小さくは、900原子質量単位(AMU)、950AMU、1000AMU、1100AMU、1150AMU、大きくは、2000AMU,3000AMU、4000AMU、5000AMU、10000AMUの、又は900AMUから10000AMU、1000AMUから10000AMU、若しくは900AMUから5000AMUなどの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい重量平均分子量を有する。
1つの代表的な実施形態では、第二のケイ素系樹脂は、第一のポリマー樹脂の第二の重合から形成される。代表的な第二の重合工程としては、第一の重合工程に関して述べたように、酸性触媒重合及び塩基触媒重合が挙げられ、アンモニウム化合物、アミン、ホスホニウム化合物、又はホスフィン化合物で触媒される重合などである。代表的な無機酸としては、硝酸、塩酸、硫酸、及びフッ化水素酸が挙げられる。代表的な有機酸としては、スルホン酸、トリフルオロスルホン酸、及びカルボン酸、さらには高められた温度への暴露によってスルホン酸を発生させることができる熱酸発生剤(TAG)が挙げられる。代表的な塩基性触媒としては、テトラオルガノアンモニウム化合物及びテトラオルガノホスホニウム化合物が挙げられ、酢酸テトラメチルアンモニウム(TMAA)、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラブチルアンモニウム(TBAH)、酢酸テトラブチルアンモニウム(TBAA)、酢酸セチルトリメチルアンモニウム(CTAA)、硝酸テトラメチルアンモニウム(TMAN)、トリフェニルアミン、トリオクチルアミン、トリドデシルアミン、トリエタノールアミン、酢酸テトラメチルホスホニウム、水酸化テトラメチルホスホニウム、トリフェニルホスフィン、トリメチルホスフィン、トリオクチルホスフィン、及びこれらの組み合わせなどである。1つの代表的な実施形態では、触媒は、TMAH又はTMANなどのテトラオルガノアンモニウム化合物である。
第二のケイ素系樹脂は、第一のケイ素系樹脂よりも大きい重量平均分子量を有する。1つの代表的な実施形態では、第二のケイ素系樹脂は、小さくは、1000AMU、1100AMU、1150AMU、大きくは、2000AMU,3000AMU、4000AMU、5000AMU、10000AMUの、又は1000AMUから5000AMU、2000AMUから5000AMU、若しくは2000AMUから4000AMUなどの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい重量平均分子量を有する。
1つの代表的な実施形態では、第二の重合は、乳酸エチル、プロピレングリコールプロピルエーテル(PGPE)、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、又はこれらの組み合わせなどの溶媒中で行われる。第一のケイ素系樹脂は、少なくは、10重量%、20重量%、30重量%、40重量%、多くは、45重量%、50重量%、60重量%の、又は10重量%から60重量%若しくは30重量%から45重量%などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい濃度で添加される。塩基性触媒は、少なくは、100ppm、200ppm、250ppm、多くは、300ppm、400ppm、500ppm、若しくはそれ以上の、又は100ppmから500ppm若しくは200ppmから300ppmなどの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい濃度で添加される。
1つの代表的な実施形態では、第二の重合は、低くは、60℃、65℃、70℃、75℃、高くは、80℃、90℃、100℃の、又は60℃から100℃若しくは70℃から100℃などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい温度で行われる。より特定の実施形態では、第二の重合混合物は、短くは、1時間、2時間、3時間、長くは、5時間、8時間、10時間、又は1時間から10時間、2時間から10時間、2時間から8時間、若しくは2から3時間などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい時間にわたってその温度に保持される。
1つの代表的な実施形態では、上述したようなポリシロキサン製剤は、第二のケイ素系樹脂及び1つ以上の溶媒を含む。ある代表的な実施形態では、製剤はさらに、上述したような1つ以上のさらなるケイ素系物質を含む。ある代表的な実施形態では、製剤はさらに、1つ以上の触媒を含む。ある代表的な実施形態では、製剤はさらに、1つ以上の界面活性剤を含む。ある代表的な実施形態では、製剤はさらに、接着促進剤、可塑剤、有機酸、及び一官能性シランなどの1つ以上のさらなる添加剤を含む。
1つの代表的な実施形態では、第二のケイ素系樹脂を含むポリシロキサン製剤から形成されるコーティングは、ポリシロキサン製剤を適用することによって形成される。1つの代表的な実施形態では、コーティングは、低くは、350℃、360℃、370°、高くは、375℃、380℃、385℃、390℃、若しくはそれ以上の温度でポリシロキサン製剤を硬化することによって形成される。
ある代表的な実施形態では、ポリシロキサンコーティングは、ガラス若しくはSiでコーティング又はキャップした基材などの基材に製剤を適用し、その製剤を硬化することによって形成される。1つの代表的な実施形態では、コーティングは、低くは、350℃、360℃、370°、高くは、375℃、380℃、385℃、390℃、若しくはそれ以上の温度でポリシロキサン製剤を硬化することによって形成される。
ある代表的な実施形態では、ポリシロキサンコーティングは、小さくは、0.1μm、0.2μm、0.5μm、0.8μm、1μm、1.2μm、1.5μm、2μm、大きくは、3μm、4μm、5μm、10μm、若しくはそれ以上の、又は0.1μmから10μm、1μmから5μm、1.2μmから5μm、若しくは4μm以上などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい厚さを有する。
ある代表的な実施形態では、空気中、350℃で1時間において、僅かに0.5重量%、僅かに0.2重量%、僅かに0.1重量%、僅かに0.09重量%、僅かに0.05重量%、若しくは僅かに0.02重量%の、又は0.5重量%から0.02重量%若しくは0.1重量%から0.05重量%などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよいガス放出量。ある代表的な実施形態では、空気中、390℃で1時間において、僅かに0.5重量%、僅かに0.2重量%、僅かに0.1重量%、僅かに0.09重量%、僅かに0.05重量%、若しくは僅かに0.02重量%の、又は0.5重量%から0.02重量%若しくは0.1重量%から0.05重量%などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよいガス放出量。
ある代表的な実施形態では、ポリシロキサンコーティングは、小さくは、約2.8、2.9、3.0、大きくは、約3.1、3.2の、又は2.8から3.2若しくは3.0から3.2などの上述の値のいずれか2つの間で定められるいずれの範囲内であってもよい誘電率を有する。
実施例
例1−アルキル炭素の含有量が異なる製剤
ポリマーA:フェニルTEOSを、酸触媒及び水の存在下、イソプロピルアルコール溶媒中、100℃で24時間反応させた。反応後、溶媒を留去して、固体ポリマーを得た。次に、ポリマーを、適切な溶媒系から溶解及び再析出させ、50℃で一晩真空乾燥し、粉砕して粉末とした。
ポリマーB:所定量のフェニルTEOS及びメチルTEOSを、酸触媒及び水及び0.5% DMDEOSの存在下、イソプロピルアルコール溶媒中、100℃で24時間反応させた。反応後、溶媒を留去して、固体ポリマーを得た。次に、ポリマーを、適切な溶媒系から溶解及び再析出させ、50℃で一晩真空乾燥し、粉砕して粉末とした。
製剤1:最終溶液重量に対して、1〜5重量%の界面活性剤及び1〜5重量%の触媒の存在下、PGMEA中、90重量%のポリマーB及び10重量%のポリマーA。スリット、ローラー、スプレー、又はスピンコーティングプロセスによって堆積されるべき所望される厚さを得るために、得られた製剤を、PGMEAで希釈する。
製剤2:95%のポリマーB及び5%のポリマーAを用いた以外は、製剤1と同様にして製剤を作製した。
製剤3:85%のポリマーB及び15%のポリマーAを用いた以外は、製剤1と同様にして製剤を作製した。
製剤4:50%のポリマーB及び50%のポリマーAを用いた以外は、製剤1と同様にして製剤を作製した。この製剤は、接着性の問題を有することが見出され、及び化学薬品に対する耐性を有していなかった。
比較製剤C:等モル比のMTEOS及びPTEOS、並びに約0.3mol%のDMDEOSを含むポリシロキサン樹脂、オハイオ州ペリーズバーグのTechneglas Technical Products社から入手可能であるGR−150Fを、所望される樹脂固体充填%でPGMEA溶媒中に溶解した。PGMEA溶媒、少量の希TMAN水溶液、及びBYK界面活性剤を添加することにより、コーティング製剤を形成した。各コーティングを、1000〜2500rpmで基材上にスピンコーティングして所望される厚さの膜を堆積させ、類似の条件下で硬化した。コーティングを、光学顕微鏡下、硬化完了直後にマイクロクラックが存在するかどうかについて、及び数日後に潜在的クラックが存在するかどうかについて検査した。
代表的な製剤5〜7:PTEOSから誘導されたポリシロキサン樹脂GR−950Fを、等モル比のMTEOS及びPTEOS、並びに約0.3mol%のDMDEOSを含むポリシロキサン樹脂GR−150Fと混合した。オハイオ州ペリーズバーグのTechneglas Technical Products社から入手可能である両方の樹脂を、所望される重量比及び所望される固体充填%でPGMEA溶媒中に溶解した。製剤5の場合、GR−950:GR−150Fの比は、1:9であり、製剤6の場合、比は、1:4であり、製剤7の場合、比は、1:1であった。PGMEA溶媒、少量の希TMAN水溶液、及びBYK界面活性剤を添加することにより、コーティング製剤を形成した。各コーティングを、1000〜2500rpmで基材上にスピンコーティングして所望される厚さの膜を堆積させ、類似の条件下で硬化した。コーティングを、光学顕微鏡下、硬化完了直後にマイクロクラックが存在するかどうかについて、及び数日後に潜在的クラックが存在するかどうかについて検査した。
代表的な製剤8〜10:等モル比のMTEOS及びPTEOS、並びに約5mol%(製剤8)、10mol%(製剤9)、又は15mol%(製剤10)のDMDEOSを含むオハイオ州ペリーズバーグのTechneglas Technical Products社から入手したポリシロキサン樹脂を、所望される樹脂固体充填%でPGMEA溶媒中に溶解した。PGMEA溶媒、少量の希TMAN水溶液、及びBYK界面活性剤を添加することにより、各樹脂のコーティング製剤を形成した。各コーティングを、1000〜2500rpmで基材上にスピンコーティングして所望される厚さの膜を堆積させ、類似の条件下で硬化した。コーティングを、光学顕微鏡下、硬化完了直後にマイクロクラックが存在するかどうかについて、及び数日後に潜在的クラックが存在するかどうかについて検査した。
代表的な製剤11及び12:ポリシロキサン樹脂GR−150Fを、第二のポリシロキサン樹脂GR−950F、並びに、等モル比のMTEOS及びPTEOS、並びに約10mol%のDMDEOSを含む第三のポリシロキサン樹脂と、95/2.5/2.5(製剤11)及び90/5/5(製剤12)の比で混合し、所望される樹脂固体充填%でPGMEA溶媒中に溶解した。PGMEA溶媒、少量の希TMAN水溶液、及びBYK界面活性剤を添加することにより、各樹脂の組み合わせのコーティング製剤を形成した。各コーティングを、1000〜2500rpmで基材上にスピンコーティングして所望される厚さの膜を堆積させ、類似の条件下で硬化した。コーティングを、光学顕微鏡下、硬化完了直後にマイクロクラックが存在するかどうかについて、及び数日後に潜在的クラックが存在するかどうかについて検査した。400℃で30分間の硬化後、製剤11から形成したコーティングは、2.4μmまでクラックを発生しない状態を維持し、一方製剤12から形成したコーティングは、2.8μmまでクラックを発生しない状態を維持した。
製剤中のアリール及びアルキル炭素の割合を、以下の表1に示す。製剤13及び14は、以下の例12及び13を意味する。
表1に示されるように、20%超のアルキル炭素を有する組成物を作製した。さらに表1に示されるように、様々なレベルの二官能性シロキサン含有量を有する組成物も作製した。
例2−クラックを含まない膜厚に対するポリシロキサン樹脂のブレンドの影響
例1で作製した比較製剤C(「比較製剤C」)及び製剤5(「製剤5」)のサンプルを、以下の表2に示されるように、シリコン基材上に様々な厚さで堆積させた。次に、2つの反復実験サンプルを、窒素雰囲気中、380℃で30分間硬化し、続いて、空気中、380℃で10分間の第二の硬化を行った。各硬化の後に、膜を検査し、膜にクラックが発生したかどうかを判定した。結果を以下の表2に示す。
表2に示されるように、比較製剤Cのサンプルは、シリコン上において、約2.3μmのクラック限界を有していた。対照的に、製剤5のサンプルは、約3.1μmのクラック限界を有していた。
続いて、例1で作製した比較製剤C及び製剤5のサンプルを、以下の表3に示されるように、銅/窒化ケイ素基材上に様々な厚さで堆積させた。次に、2つの反復実験サンプルを、窒素雰囲気中、380℃で30分間硬化し、続いて、空気中、380℃で10分間の第二の硬化を行った。各硬化の後に、膜を検査し、膜にクラックが発生したかどうかを判定した。結果を以下の表3に示す。
表3に示されるように、比較製剤Cのサンプルは、Cu/SiN上において、約2.3μmのクラック限界を有していた。対照的に、製剤5のサンプルは、約3.1μmのクラック限界を有していた。
次に、低い硬化温度の350℃について調べた。例1で作製した比較製剤C及び製剤5のサンプルを、続いて、以下の表4に示されるように、シリカ又はガラス基材上に様々な厚さで堆積させた。サンプルを、窒素中、350℃で1時間、表4に示される厚さで硬化し、膜を形成した。硬化後、膜を目視検査し、膜にクラックが発生したかどうかを判定した。結果を以下の表4に示す。
表4に示されるように、製剤5において硬化温度を350℃に低下させた結果、シリコン上では3.1μm、ガラス上では3μmもの厚さまでクラックが見られなかった。対照的に、比較製剤Cのサンプルでは、僅かに1.3μmでクラックが発生した。
3.1μm及び3.4μmの厚さの製剤5の膜を、上記のようにシリコン又はガラス上で硬化し、硬化の2、7、11、及び21日後に、潜在的クラックが存在するかどうかについて目視検査した。結果を表5に示す。
表5に示されるように、製剤5では、シリコン又はガラス上のいずれにおいても、3.4μmもの厚さで、硬化後21日間にわたってクラックが発生しなかった。
次に、約3.6μmでの製剤5の類似の例を、空気中、様々な温度で硬化した。結果を表6に示す。
表6に示されるように、温度を低下させることにより、300℃未満の温度では、約3.6μmもの厚さであっても、クラックの形成が引き起こされていない。
例1で作製した比較製剤C及び製剤5のサンプルを、以下の表7に示されるように、シリコン又はガラス基材上に様々な厚さで堆積させた。次に、サンプルを、窒素雰囲気中、380℃で30分間硬化し、続いて、第二の同一の硬化を行った。各硬化の後に、膜を検査し、膜にクラックが発生したかどうかを判定した。結果を以下の表7に示す。
例1で作製した比較製剤C、並びに製剤5、6、及び7を、以下の表8に示されるように、シリコン又はガラス基材上に様々な厚さで堆積させた。次に、サンプルを、350℃で60分間硬化した。硬化後、膜を目視検査し、膜にクラックが発生したかどうかを判定した。結果を以下の表8に示す。
表7及び8に示されるように、製剤5、6、及び7は、比較製剤Cの膜よりも高いクラック限界を有していた。
例2に示されるように、製剤5、6、及び7は、様々な基材上でクラックを発生させることなく、異なる条件下で硬化されて、比較製剤Cよりも非常に大きい厚さの膜を形成することが可能であった。製剤5の膜は、380℃の硬化温度では、3μm以上の厚さまで、それよりも低い硬化温度では、3.6μmまで安定であった。
例3−クラックを含まない膜厚に対する二官能性シロキサン含有量の影響
以下の表9に示されるように、例1で作製したコントロール比較製剤C、並びに製剤8、9、及び10のサンプルを、様々な厚さで基材上に堆積させ、空気中で硬化した。各サンプルに対するクラック限界値を表9に示す。
表9から分かるように、製剤8、9、及び10の各々に対するクラック限界値は、比較製剤Cの膜に対する厚さ1.3μmを超えていた。
例3に示されるように、製剤8、9、及び10は、異なる条件下で硬化された場合に、クラックを発生させることなく、比較製剤Cよりも非常に大きい厚さの膜を形成することが可能であった。
例4−膜の光学特性の研究
例1で作製した比較製剤C及び製剤5の6000Åサンプルを、各々、ガラス基材上に堆積させ、350℃で1時間硬化した。結果を図1及び以下の表10に示す。
表10に示されるように、比較製剤C及び製剤5の両方が、未コーティングのガラスよりも高い透過率を有していた。加えて、製剤5の非常により厚い3.1〜3.4μmのサンプルが、比較製剤Cの比較的薄い1.1μmのサンプルに類似する透過率を有していた。コントロール比較製剤C及び製剤5の膜は、類似する透過率の結果を有していた。
比較製剤C及び製剤5のサンプルを、基材上に堆積させ、空気中、230℃で30分間に基づき、続いて、窒素中、390℃で30分間硬化した。400nmから1050nmの反射性を特定した。比較製剤Cの結果を図2Aに、製剤5の結果を図2Bに示す。図から分かるように、これらのコーティングは、ほぼ同一の反射性プロファイルを有していた。
例4に示されるように、比較製剤C及び製剤5の膜は、類似の光学特性を有しており、このことから、樹脂ブレンドを用いることによる、及び/又はより高い含有量のジアルキルシロキサンを用いることによる修飾が、光学的設計の考慮に影響を与えないことが示唆される。
例5−製剤粘度の研究
例1で作製した比較製剤C及び製剤5の製剤の粘度を、25℃で特定した。結果を以下の表1に示す。
表11に示されるように、3つの製剤の各々は、類似する粘度を有しており、このことから、樹脂ブレンドを用いることによる、及び/又はより高い含有量のジアルキルシロキサンを用いることによる修飾が、スピンコーティング、スプレーコーティング、スロットダイコーティング技術による製剤のコーティングの加工性に影響を与えないことが示唆される。
例6−機械的特性の研究
例1で作製した比較製剤C及び製剤5の製剤のサンプルを、基材上に1.9μmで堆積させ、窒素中、390℃で30分間硬化した。これらのコーティングに、室温と400℃との間の加熱冷却サイクルを施した。温度の関数としての残留応力を、比較製剤Cのコーティングについては図3Aに、製剤5については図3Bに示す。各コーティングにおける冷却線の直線回帰も示す。図3に示されるように、製剤5のコーティングにおける冷却線の傾き(−0.0947Mpa/℃)は、比較製剤Cのコーティングの場合(−0.0989Mpa/℃)よりも小さい。いかなる特定の理論にも束縛されるものではないが、このことが、製剤5のより高いクラック限界に寄与しているものと考えられる。
様々な温度での比較製剤C及び製剤5のコーティングに対する熱膨張係数(CTE)を、表12、並びに図4A及び4Bに示す。
表12及び図4Bに示されるように、製剤5のコーティングに対する熱膨張係数が比較製剤Cのコーティングよりも低いというだけでなく、2つの値の差が、温度の上昇と共に増加している。特定のいかなる理論にも束縛されるものではないが、このことが、製剤5のより高いクラック限界に寄与しているものと考えられる。
例7−膜の熱安定性の研究
例1で作製した比較製剤C及び製剤5の製剤のサンプルを、基材上に堆積させ、380℃で30分間硬化した。室温から約380℃までコーティングを加熱して熱重量分析(TGA)を行った。結果を図5に示す。図5に示されるように、コーティングは、ほぼ同一のTGAプロファイルを有していた。
例8−膜収縮の研究
例1で作製した比較製剤C及び製剤5の製剤のサンプルを、基材上に堆積させ、空気中、230℃で30分間焼成し、続いて、空気中、380℃で1時間硬化した。焼成工程及び硬化工程の後に各コーティングの厚さを測定し、硬化の過程での収縮割合を特定した。結果を、以下の表13に示す。
表13に示されるように、両方のコーティング共に、硬化の過程での類似の収縮を示し、このことから、樹脂ブレンドを用いることによる、及び/又はより高い含有量のジアルキルシロキサンを用いることによる修飾が、平坦化性能に影響を与えないことが示唆される。
図6〜8に示されるように、比較製剤C及び製剤5の製剤は、類似の特性を有しており、このことから、樹脂ブレンドを用いることによる、及び/又はより高い含有量のジアルキルシロキサンを用いることによる修飾が、下流での熱への暴露の過程でのガス放出性能に影響を与えないことが示唆される。
例9−膜の機械的耐性の研究
例1で作製した比較製剤C及び製剤5の製剤のサンプルを、基材上に1.9μmで堆積させ、窒素中、390℃で30分間硬化した。これらのコーティング厚さを、N&K社のツール又はNanometrics社のツールを用いてエリプソメトリで測定した。
コーティングの硬度を、図6Aに示し、コーティングの弾性率を、図6Bに示す。図6A及び6Bに示されるように、2つのコーティングに対する硬度及び弾性率の値は、ほぼ同一である。
μNでの荷重に対するnmでの深さのプロファイルを、比較製剤Cのコーティングに対しては図7Aに、製剤5のコーティングに対しては図8Aに示す。インデンテーションの走査型プローブ顕微鏡画像を、比較製剤Cのコーティングに対しては図7Bに、製剤5のコーティングに対しては図8Bに示す。図7及び8から分かるように、プロファイル及びインデンテーションは、比較製剤C及び製剤5のコーティングに対して、ほぼ同一である。
製剤5をシリコン基材上に堆積させ、窒素又は空気中、350℃で1時間硬化した。ASTM D3359−09E2、テープ試験による接着性を測定するための標準試験法に従ってテープ試験を行い、下地とのコーティングの接着性を評価した。次に、基材を85℃に加熱し、15分間で−20℃に冷却し、これを3回行った。各サイクルの後、コーティングをクラックについて確認した。最終サイクルの後、テープ試験を再度行った。結果を以下の表14に示す。
表14に示されるように、製剤5のコーティングは、熱サイクルに起因するクラックは起こさず、熱サイクルの前後で非常に優れた接着性を示し、このことから、樹脂ブレンドを用いることによる、及び/又はより高い含有量のジアルキルシロキサンを用いることによる修飾が、接着性に影響を与えないことが示唆される。
例9に示されるように、比較製剤C及び製剤5の製剤は、類似の機械的耐性を有し、このことから、樹脂ブレンドを用いることによる、及び/又はより高い含有量のジアルキルシロキサンを用いることによる修飾が、機械的耐性に影響を与えないことが示唆される。
例10−膜の化学的耐性の研究
例1で作製した比較製剤C、製剤5、及び製剤8の製剤のサンプルを、基材上に堆積させ、230℃で硬化した。各サンプルを、TOK−106に70℃で10分間、又は2.38% TMAHに室温で10分間暴露した。結果を以下の表15に示す。負のエッチング速度は、膜膨潤の結果である。
表15に示されるように、これら3つの製剤は、TOK−106及びTMAH中での類似のエッチング速度を有している。
例1で作製した製剤5の製剤のサンプルを、シリコン上に堆積させ、記載のように1時間硬化した。初期厚さを測定し、続いて、コーティングを、NMP溶液に70℃で10分間暴露した。再度コーティングの測定を行い、エッチング速度(Å/分)を特定した。次に、コーティングを、ピラニア溶液、濃硫酸と過酸化水素との3:1混合物、に70℃で10分間暴露した。再度コーティングの測定を行い、エッチング速度(Å/分)を特定した。続いて、コーティングを、2.38% TMAH溶液に室温で10分間暴露した。再度コーティングの測定を行い、エッチング速度(Å/分)を特定した。湿式エッチング試験の結果を以下の表16に示す。負のエッチング値は、膜膨潤によるものである。
次に、例1で作製した製剤5の製剤のサンプルを、基材に堆積させ、空気中で硬化した。コーティングを、光学顕微鏡で目視検査し、初期透過率%と共に初期厚さを測定し、テープ試験を行って接着性を測定した。次に、コーティングを、TOK−106フォトレジスト剥離液に70℃で10分間暴露した。TOK−106溶液は、オレゴン州ヒルズボロのTokyo Ohka Kogyo America社から入手可能であるモノエタノールアミン及びDMSO溶媒を含むアミン系フォトレジスト剥離液である。コーティングを、再度光学顕微鏡下で目視検査し、再度厚さを測定し、エッチング速度(Å/分)を特定した。試験後透過率、テープ接着性、及びOM検査を行った。結果を以下の表17に示す。
表16及び17に示されるように、製剤5のコーティングは、NMP、ピラニア、及びTOK−106に対しては70℃で、TMAHに対しては室温で10分間耐性を示し、このことから、樹脂ブレンドを用いることによる、及び/又はより高い含有量のジアルキルシロキサンを用いることによる修飾が、化学的耐性に影響を与えないことが示唆される。
例10に示されるように、比較製剤C、製剤5、及び製剤8の製剤は、類似の化学的耐性を有している。
例11−膜のプラズマエッチング速度の研究
次に、例1で作製した比較製剤C及び製剤5の製剤のサンプルを、基材上に堆積させ、表18に挙げたRPMでスピンコーティングし、230℃で焼成し、続いて、以下の表13に示されるようにして硬化した。次に、コーティングを、200ワットの出力、200ミリトルの圧力、並びに15sccmのSF、20sccmのO、及び20sccmのArでプラズマエッチングした。結果を以下の表18に示す。
表18に示されるように、製剤5は、比較製剤Cに類似のプラズマエッチング速度を有し、このことから、樹脂ブレンドを用いることによる、及び/又はより高い含有量のジアルキルシロキサンを用いることによる修飾が、プラズマエッチング速度に影響を与えないことが示唆される。
例12−外的な水を含まない製剤
製剤13、オハイオ州ペリーズバーグのTechneglas Technical Products社から入手可能であるMTEOSから誘導されたポリシロキサン樹脂GR−650Fを、所望される固体充填%でPGMEA溶媒中に溶解し、2つのサンプルに分割した。第一のサンプルに、少量の希TMAN水溶液を添加した。第二のサンプルに、PGPE溶媒に溶解した等量のTMANを添加した。PGMEA溶媒及びBYK界面活性剤を添加することで、各サンプルからコーティング製剤を形成した。各コーティングを、1000〜1500rpmで基材上にスピンコーティングして、所望される厚さの膜を堆積させ、類似の条件下で硬化した。これら2つのコーティングに対する屈折率(1.4)及びテープ試験による接着性能(100%合格)は同一であり、このことから、コーティングの光学的又は物理的特性に影響を与えることなく、外的な水をまったく用いずにコーティング製剤を製剤可能であることが示唆される。
例13−接着促進剤を含む製剤
製剤14、オハイオ州ペリーズバーグのTechneglas Technical Products社から入手可能であるMTEOSから誘導されたポリシロキサン樹脂GR−650Fを、所望される固体充填%でPGMEA溶媒中に溶解した。少量の希TMAN水溶液、PGMEA溶媒、BYK界面活性剤、及びVTEOSを添加した。コーティングを、1000〜1500rpmで基材上にスピンコーティングして、所望される厚さの膜を堆積させ、類似の条件下で硬化した。
例14−既存ポリシロキサン樹脂の分子量の増加
製剤15−分子量1100AMUであるフェニルTEOS系ポリマーのPGMEA中45重量%溶液を、250ppmの塩基性触媒TBAHの存在下、100℃で2時間反応させた。反応後、得られた樹脂は、5000AMUの分子量を有していた。製剤15を、シリコン基材上にスピンコーティングし、380℃で1時間硬化した。得られたコーティングは、4μm超のクラック限界を有していた。
製剤16−製剤15、並びに4200AMUの分子量を有し、約50%のフェニルTEOS及び約50%のメチルTEOSから形成された第二のポリマーの混合物を、パターン化された薄膜トランジスタ(FTF)及びインターコネクト誘電体基材上に、スロットダイコーティングによって適用し、硬化した。得られたコーティングを、平坦化及び化学的耐性について試験した。コーティングは、完全に平坦化され、NMP(70℃で10分間)、2.38重量% TMAH(室温で10分間)、TOK−106(70℃で10分間)、DHF(室温で5分間)、及びピラニア(70℃で10分間)の溶媒に対する完全な耐性を有していた。350℃で4時間にわたる熱重量分析(等温)から、コーティングのガス放出が、0.09重量%以下であると特定された。
製剤17−分子量1100AMUであるフェニルTEOS系ポリマーのPGMEA中45重量%溶液を、250ppmの塩基性触媒TBAHの存在下、70℃で2〜3時間反応させた。反応後、得られた樹脂は、2500AMUの分子量を有していた。製剤15を、シリコン基材上にスピンコーティングし、380℃で1時間硬化した。得られたコーティングは、4μm超のクラック限界を有していた。
製剤18−製剤17、並びに4200AMUの分子量を有し、約50%のフェニルTEOS及び約50%のメチルTEOSから形成された第二のポリマーの混合物を、パターン化された薄膜トランジスタ(FTF)及びインターコネクト誘電体基材上に、スロットダイコーティングによって適用し、硬化した。得られたコーティングを、平坦化及び化学的耐性について試験した。コーティングは、完全に平坦化され、NMP(70℃で10分間)、2.38重量% TMAH(室温で10分間)、TOK−106(70℃で10分間)、DHF(室温で5分間)、及びピラニア(70℃で10分間)の溶媒に対する完全な耐性を有していた。
製剤19−分子量1100AMUであるフェニルTEOS系ポリマーのPGMEA中30重量%溶液を、200ppmの塩基性触媒TBAHの存在下、100℃で8時間反応させた。反応後、得られた樹脂は、6000AMUの分子量を有していた。
製剤20−分子量1100AMUであるフェニルTEOS系ポリマーのPGMEA中30重量%溶液を、300ppmの塩基性触媒TBAHの存在下、100℃で8時間反応させた。反応後、得られた樹脂は、8000AMUの分子量を有していた。
製剤21−分子量1100AMUであるフェニルTEOS系ポリマーのPGMEA中30重量%溶液を、400ppmの塩基性触媒TBAHの存在下、100℃で8時間反応させた。反応後、得られた樹脂は、ゲル化し、溶液から析出した。
製剤22−分子量1100AMUであるフェニルTEOS系ポリマー、並びに4200AMUの分子量を有し、約50%のフェニルTEOS及び約50%のメチルTEOSから形成された第二のポリマーのPGMEA中30重量%溶液を、200ppmの塩基性触媒TBAHの存在下、100℃で8時間反応させた。反応後、得られた樹脂は、室温でゲル化し、溶液から析出した。
本発明の範囲から逸脱することなく、考察した代表的な実施形態に対して様々な改変及び追加が行われてもよい。例えば、上述した実施形態は、特定の特徴に関するが、本発明の範囲には、特徴の異なる組み合わせを有する実施形態、及び上述した特徴のすべてを含んではいない実施形態も含まれる。

Claims (10)

  1. 1000AMUから10000AMUの重量平均分子量を有する、アルキル基及びアリール基を含む第一のケイ素含有樹脂、
    900AMUから5000AMUの重量平均分子量を有する、アリール基を含む第二のケイ素含有樹脂、
    少なくとも1つの溶媒、及び
    少なくとも1つの熱活性化触媒、
    を含む架橋性組成物。
  2. 少なくとも1つの界面活性剤をさらに含む、請求項1に記載の架橋性組成物。
  3. 前記第一のケイ素含有樹脂が、メチルシロキサン及びフェニルシロキサンを含み、前記第二のケイ素含有樹脂が、フェニルシロキサンを含む、請求項1に記載の架橋性組成物。
  4. 前記第一のケイ素含有樹脂が、ジメチルシロキサンをさらに含む、請求項1に記載の架橋性組成物。
  5. 前記第一及び第二のケイ素含有樹脂中の炭素原子の総数に基づいて、アルキル基中に含有される炭素原子の割合が、10%超から100%である、請求項1に記載の架橋性組成物。
  6. 1.5μm以上の厚さを有する、請求項1に記載の架橋性組成物から形成された架橋された膜。
  7. 請求項6に記載の架橋された膜を含むデバイスであって、
    トランジスタ、発光ダイオード、カラーフィルター、光起電力セル、フラットパネルディスプレイ、湾曲ディスプレイ、タッチスクリーンディスプレイ、x線検出器、アクティブ又はパッシブマトリックスOLEDディスプレイ、アクティブマトリックス薄膜液晶ディスプレイ、電気泳動ディスプレイ、CMOSイメージセンサー、及びこれらの組み合わせから成る群より選択され、前記架橋された膜が、不動態化層、平坦化層、バリア層、又はこれらの組み合わせを形成する、デバイス。
  8. 1000AMUから10000AMUの重量平均分子量を有する、アルキル基及びアリール基を含む第一のシロキサン樹脂、900AMUから5000AMUの重量平均分子量を有する、アリール基を含む第二のシロキサン樹脂、溶媒、及び、熱活性化触媒を混合して、架橋性組成物を形成すること、
    前記組成物を基材上に堆積させること、及び
    前記架橋性組成物を、350℃以上の温度で硬化して、1.5μm以上の厚さを有する架橋された膜を形成すること、
    を含む、組成物を形成する方法。
  9. 前記架橋された膜が、3.0μm以上の厚さを有する、請求項8に記載の方法。
  10. 前記第一のケイ素含有樹脂が、メチルシロキサン及びフェニルシロキサンを含み、前記第二のケイ素含有樹脂が、フェニルシロキサンを含み、前記方法が、少なくとも1000AMUの分子量を有するフェニルTEOS系ポリマーを、塩基性触媒の存在下、溶媒中で反応させて、前記第二のケイ素含有樹脂を形成することをさらに含む、請求項8に記載の方法。
JP2017536545A 2015-04-13 2016-03-02 オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング Active JP6803842B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562146593P 2015-04-13 2015-04-13
US62/146,593 2015-04-13
PCT/US2016/020373 WO2016167892A1 (en) 2015-04-13 2016-03-02 Polysiloxane formulations and coatings for optoelectronic applications

Publications (3)

Publication Number Publication Date
JP2018516998A true JP2018516998A (ja) 2018-06-28
JP2018516998A5 JP2018516998A5 (ja) 2019-03-14
JP6803842B2 JP6803842B2 (ja) 2020-12-23

Family

ID=57126335

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017536545A Active JP6803842B2 (ja) 2015-04-13 2016-03-02 オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング

Country Status (7)

Country Link
US (1) US10544329B2 (ja)
EP (1) EP3194502A4 (ja)
JP (1) JP6803842B2 (ja)
KR (1) KR102595033B1 (ja)
CN (1) CN106065278B (ja)
TW (1) TWI716384B (ja)
WO (1) WO2016167892A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020096168A (ja) * 2018-09-21 2020-06-18 日産化学株式会社 固体撮像素子用平坦化膜形成樹脂組成物
KR20230154957A (ko) 2021-03-25 2023-11-09 가부시키가이샤 닛폰 쇼쿠바이 폴리실세스퀴옥산 조성물, 및, 경화물

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10947412B2 (en) 2017-12-19 2021-03-16 Honeywell International Inc. Crack-resistant silicon-based planarizing compositions, methods and films
US11015082B2 (en) * 2017-12-19 2021-05-25 Honeywell International Inc. Crack-resistant polysiloxane dielectric planarizing compositions, methods and films

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010532792A (ja) * 2007-04-10 2010-10-14 ハネウェル・インターナショナル・インコーポレーテッド オプトエレクトロニクスデバイス用の組成物、層、及びフィルム、並びにこれらの使用
WO2011162294A1 (ja) * 2010-06-24 2011-12-29 積水化学工業株式会社 光半導体装置用封止剤及びそれを用いた光半導体装置
JP2012222202A (ja) * 2011-04-11 2012-11-12 Sekisui Chem Co Ltd 光半導体装置用ダイボンド材及びそれを用いた光半導体装置
JP2013137512A (ja) * 2011-11-29 2013-07-11 Shin Etsu Chem Co Ltd ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
JP2013167669A (ja) * 2012-02-14 2013-08-29 Shin Etsu Chem Co Ltd ケイ素含有表面改質剤、これを含むレジスト下層膜形成用組成物、及びパターン形成方法

Family Cites Families (931)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US35368A (en) 1862-05-27 Improvement in shutter-fastenings
US172896A (en) 1876-02-01 Improvement in hydraulic elevators
US35239A (en) 1862-05-13 Improved mode of securing chimneys to lamps
US58929A (en) 1866-10-16 Improved keeper for bolts
US106376A (en) 1870-08-16 Improvement in harrow and cultivator
US31987A (en) 1861-04-09 Machinist s instrument foe determining geometrical lines
US35447A (en) 1862-06-03 Coal oil lamp chimney
US677386A (en) 1900-08-10 1901-07-02 Chief Husker And Shredder Company Corn husker and shredder.
GB385241A (en) 1932-08-12 1932-12-22 L A Young Company Improvements in tubular tapered steel shafts particularly for golf clubs
GB601288A (en) 1945-02-19 1948-05-03 Dempster Brothers Inc Transporting and dumping equipment
US2783263A (en) 1954-11-22 1957-02-26 Dow Corning Halocarboxysilanes
CA586038A (en) 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
GB1316144A (en) 1963-08-21 1973-05-09 Mallory & Co Inc P R Capacitor having a cooperating dielectric
US3294737A (en) 1963-12-23 1966-12-27 Gen Electric Organopolysiloxanes
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3647508A (en) 1968-08-27 1972-03-07 King Seeley Thermos Co Method of making patterned metal coatings by selective etching of metal
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
US3635529A (en) 1969-06-24 1972-01-18 Walter R Nass Motor vehicle wheel assembly
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US3873361A (en) 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4053313A (en) 1975-06-03 1977-10-11 E. I. Du Pont De Nemours And Company Process for image reproduction using multilayer photosensitive solvent processable elements
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
US4413088A (en) 1978-11-30 1983-11-01 General Electric Co. Silicone resin coating composition especially suited for primerless adhesion to cast acrylics
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
JPS5850417B2 (ja) 1979-07-31 1983-11-10 富士通株式会社 半導体装置の製造方法
US4257826A (en) 1979-10-11 1981-03-24 Texas Instruments Incorporated Photoresist masking in manufacture of semiconductor device
US4290896A (en) 1980-05-27 1981-09-22 Dow Corning Corporation Dewatering fine coal slurries using organopolysiloxanes
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4483107A (en) 1980-06-17 1984-11-20 Konishiroku Photo Industry Co., Ltd. Polishing method for electrophotographic photoconductive member
ATE9811T1 (de) 1980-07-14 1984-10-15 Akzo N.V. Einen blockierten katalysator enthaltende waermehaertbare ueberzugszusammensetzung.
EP0046695B1 (en) 1980-08-26 1986-01-08 Japan Synthetic Rubber Co., Ltd. Ladder-like lower alkylpolysilsesquioxanes and process for their preparation
JPS5760330A (en) 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
US4399255A (en) 1980-12-22 1983-08-16 Avtex Fibers Inc. Alloy rayon fibers of regenerated cellulose and copolymeric acrylic acid salts
US4515828A (en) 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
US4423135A (en) 1981-01-28 1983-12-27 E. I. Du Pont De Nemours & Co. Preparation of photosensitive block copolymer elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4419437A (en) 1981-02-11 1983-12-06 Eastman Kodak Company Image-forming compositions and elements containing ionic polyester dispersing agents
US4312970A (en) 1981-02-20 1982-01-26 Dow Corning Corporation Silazane polymers from {R'3 Si}2 NH and organochlorosilanes
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
EP0076656B1 (en) 1981-10-03 1988-06-01 Japan Synthetic Rubber Co., Ltd. Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
JPS58171416A (ja) 1982-04-02 1983-10-08 Hitachi Ltd 耐熱性重合体
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
US4434127A (en) 1982-12-09 1984-02-28 Dow Corning Corporation Heat curable polydiorganosiloxane compositions having enhanced release upon cure
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
CA1255952A (en) 1983-03-04 1989-06-20 Akihiro Furuta Positive type photoresist composition
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
KR890003903B1 (ko) 1983-06-29 1989-10-10 가부시끼가이샤 히다찌세이사꾸쇼 패턴 형성 방법
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
US4617252A (en) 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
DE3344202A1 (de) 1983-12-07 1985-06-20 Merck Patent Gmbh, 6100 Darmstadt Positiv-fotoresistzusammensetzungen
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
GB8401016D0 (en) 1984-01-14 1984-02-15 Hagen Perennatorwerk Organopolysiloxane compositions
EP0152377B1 (de) 1984-02-10 1987-12-09 Ciba-Geigy Ag Härtbare Zusammensetzung und deren Verwendung
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
JPS60177029A (ja) 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4702990A (en) 1984-05-14 1987-10-27 Nippon Telegraph And Telephone Corporation Photosensitive resin composition and process for forming photo-resist pattern using the same
EP0163538B1 (en) 1984-05-30 1989-11-23 Fujitsu Limited Pattern-forming material and its production and use
GB8414867D0 (en) 1984-06-11 1984-07-18 Minnesota Mining & Mfg Pre-press proofing system
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4657965A (en) 1984-10-22 1987-04-14 Toshiba Silicone Co., Ltd. Silicone elastomer composition
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4670299A (en) 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4620986A (en) 1984-11-09 1986-11-04 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
KR900005404B1 (ko) 1985-03-07 1990-07-28 휴우즈 에어크라프트 캄파니 이온 빔 및 전자 빔 석판 인쇄용 폴리실록산 내식막
FR2579552B1 (fr) 1985-03-27 1990-06-08 Honda Motor Co Ltd Dispositif de direction des roues avant et arriere pour vehicule
US4745169A (en) 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
EP0204963B1 (en) 1985-05-10 1993-01-13 Hitachi, Ltd. Use of Alkali-Soluble Polyorganosilsesquioxane Polymers in a resist for preparing electronics parts.
US4663414A (en) 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4595599A (en) 1985-06-21 1986-06-17 Advanced Chemical Technologies Company Luminescent silane
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
JPS6243424A (ja) 1985-08-20 1987-02-25 Shin Etsu Chem Co Ltd シルセスキオキサン乳濁液の製造方法
US5034189A (en) 1985-08-27 1991-07-23 The Regents Of The University Of California Fluorescent probe for rapid measurement of analyte concentration
EP0217137B1 (en) 1985-08-29 1992-04-08 E.I. Du Pont De Nemours And Company Photopolymerizable composition of acrylic copolymer containing dicyclopentenyl acrylate or methacrylate
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
US4723978A (en) 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
KR910003169B1 (ko) 1985-11-12 1991-05-20 가부시끼가이샤 한도다이 에네르기 겐뀨소 반도체 장치 제조 방법 및 장치
DE3689949T2 (de) 1985-12-09 1995-03-16 Nippon Paint Co Ltd Druckmaterial auf der Basis eines lichtempfindlichen Harzes.
JP2619358B2 (ja) 1986-01-08 1997-06-11 株式会社日立製作所 感光性樹脂組成物
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
US4676867A (en) 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4806504A (en) 1986-09-11 1989-02-21 Fairchild Semiconductor Corporation Planarization method
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
DE3635821A1 (de) 1986-10-22 1988-04-28 Bayer Ag Mikrokapseln mit verbesserten waenden
US4898907A (en) 1986-12-03 1990-02-06 Dow Corning Corporation Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4822697A (en) 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4753855A (en) 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4911992A (en) 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4746693A (en) 1986-12-12 1988-05-24 Rca Corporation Polyalkylsilsesquioxane coating composition
JPH0819381B2 (ja) 1987-01-06 1996-02-28 日本合成ゴム株式会社 コーティング用組成物
US5328976A (en) 1987-01-09 1994-07-12 Allied-Signal Inc. Carbon-containing black glass monoliths
JPS63191868A (ja) 1987-02-05 1988-08-09 Showa Denko Kk コ−テイング剤
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
DE3810247A1 (de) 1987-03-26 1988-10-06 Toshiba Kawasaki Kk Lichtempfindliche beschichtungsmasse
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US4839427A (en) 1987-09-03 1989-06-13 Monsanto Company Resin systems cured with blocked acid catalysts
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
US4962996A (en) 1987-09-11 1990-10-16 Raychem Corporation Protected fiber optic waveguide
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
DE3789511T2 (de) 1987-10-24 1994-08-18 Ito Optical Ind Co Ltd Lösungsaufbringungsverfahren zur reflexverhinderung auf optischen teilen und verfahren unter anwendung einer derartigen lösung.
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US4849296A (en) 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
US4847162A (en) 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
JPH01185367A (ja) 1988-01-18 1989-07-24 Toshiba Silicone Co Ltd 表面処理されたポリメチルシルセスキオキサン粉末の製造方法
US4926383A (en) 1988-02-02 1990-05-15 National Semiconductor Corporation BiCMOS write-recovery circuit
EP0327311B1 (en) 1988-02-02 1994-09-14 Hitachi Chemical Co., Ltd. A coating fluid for forming an oxide coating
US5271768A (en) 1988-02-02 1993-12-21 Hitachi Chemical Co., Ltd. Coating for forming an oxide coating
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4943511A (en) 1988-08-05 1990-07-24 Morton Thiokol, Inc. High sensitivity mid and deep UV resist
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
EP0436639B1 (en) 1988-09-28 1998-01-14 Brewer Science, Inc. Multifunctional photolithographic compositions
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US4981530A (en) 1988-11-28 1991-01-01 International Business Machines Corporation Planarizing ladder-type silsesquioxane polymer insulation layer
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
DE68908872T2 (de) 1989-02-03 1994-02-10 Mitsubishi Metal Corp Verfahren zum Ziehen von Einkristallen.
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
EP0388343B1 (en) 1989-03-14 1996-07-17 International Business Machines Corporation Chemically amplified photoresist
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5045592A (en) 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
EP0423446B1 (en) 1989-10-17 1998-03-04 Shipley Company Inc. Near UV photoresist
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
CA2027031A1 (en) 1989-10-18 1991-04-19 Loren A. Haluska Hermetic substrate coatings in an inert gas atmosphere
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
JP2718231B2 (ja) 1990-01-10 1998-02-25 三菱電機株式会社 高純度末端ヒドロキシフェニルラダーシロキサンプレポリマーの製造方法および高純度末端ヒドロキシフェニルラダーポリシロキサンの製造方法
US4973526A (en) 1990-02-15 1990-11-27 Dow Corning Corporation Method of forming ceramic coatings and resulting articles
JPH03257027A (ja) 1990-03-05 1991-11-15 Matsushita Electric Works Ltd 光透過性多孔体の製造方法
US5043789A (en) 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
EP0449263B1 (en) 1990-03-28 1996-06-12 Japan Synthetic Rubber Co., Ltd. Polysiloxane-composite polymer particles
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
EP0458651B1 (en) 1990-05-25 1994-03-09 Matsushita Electric Industrial Co., Ltd. Photosensitive materials comprising organic photoconductive substances in a binder polymer having aromatic rings, OH groups and bromine joined at the aromatic ring or rings
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5116637A (en) 1990-06-04 1992-05-26 Dow Corning Corporation Amine catalysts for the low temperature conversion of silica precursors to silica
US5059448A (en) 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5340644A (en) 1990-10-05 1994-08-23 Hercules Incorporated Organosilicon compositions
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
DE69130280T2 (de) 1990-10-16 1999-04-08 Mitsui Chemicals Inc Verwendung eines hochlichtdurchlässigen staubschützenden Films, Verfahren zu dessen Herstellung und staubschützendes Element
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2991786B2 (ja) 1990-11-22 1999-12-20 三菱電機株式会社 シリコーン樹脂組成物
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
DE59106479D1 (de) 1990-12-13 1995-10-19 Ciba Geigy Ag Wässrige Dispersion schwerlöslicher UV-Absorber.
US5662109A (en) 1990-12-14 1997-09-02 Hutson; William H. Method and system for multi-dimensional imaging and analysis for early detection of diseased tissue
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5520855A (en) 1991-03-20 1996-05-28 Kabushiki Kaisha Toshiba Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
US5165955A (en) 1991-05-28 1992-11-24 Dow Corning Corporation Method of depositing a coating containing silicon and oxygen
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
JPH0570738A (ja) 1991-09-11 1993-03-23 Kawaken Fine Chem Co Ltd コーテイング組成物
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US5227334A (en) 1991-10-31 1993-07-13 Micron Technology, Inc. LPCVD process for depositing titanium nitride (tin) films and silicon substrates produced thereby
US5463492A (en) 1991-11-01 1995-10-31 Research Frontiers Incorporated Light modulating film of improved clarity for a light valve
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
EP0580857B1 (en) 1992-02-05 1998-05-20 Toray Industries, Inc. Multilayer coated article and use therein of a primer coating
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
JPH06333803A (ja) 1992-09-18 1994-12-02 Sharp Corp 投影型露光装置用フィルター
GB2277095B (en) 1992-09-24 1997-04-16 Kansai Paint Co Ltd Topcoating composition and film-forming process by use of the same
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
US5432007A (en) 1992-10-06 1995-07-11 Shizu Naito Solvent-free organosiloxane composition and its use
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5414069A (en) 1993-02-01 1995-05-09 Polaroid Corporation Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers
US5302849A (en) 1993-03-01 1994-04-12 Motorola, Inc. Plastic and grid array semiconductor device and method for making the same
US5387480A (en) 1993-03-08 1995-02-07 Dow Corning Corporation High dielectric constant coatings
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
US6336859B2 (en) 1993-03-31 2002-01-08 Progressive Games, Inc. Method for progressive jackpot gaming
US5328975A (en) 1993-04-02 1994-07-12 Ppg Industries, Inc. Ultraviolet radiation absorbing coating
FR2704766B1 (fr) 1993-05-06 1995-07-28 Salomon Sa Dispositif interface entre un ski et des éléments de fixation.
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
US5320868A (en) 1993-09-13 1994-06-14 Dow Corning Corporation Method of forming SI-O containing coatings
US5441765A (en) 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
DE4338360A1 (de) 1993-11-10 1995-05-11 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung von funktionellen glasartigen Schichten
EP0701121A4 (en) 1994-03-11 1997-09-03 Kawasaki Steel Co ASSESSMENT PROCESS FOR PRODUCING INSULATION COATING USED Siloxanes COATING LIQUID USED FOR THE PRODUCTION OF INSULATION COATING, PROCESS FOR PRODUCING THE LIQUID, METHOD FOR PRODUCING THE ISOLATION COATING FOR SEMICONDUCTOR ELEMENTS AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICES BY THE APPLICATION OF THE ABOVE PROCEDURES
DE69511141T2 (de) 1994-03-28 2000-04-20 Wako Pure Chem Ind Ltd Resistzusammensetzung für tiefe Ultraviolettbelichtung
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5494858A (en) 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5858547A (en) 1994-07-06 1999-01-12 Alliedsignal, Inc. Novolac polymer planarization films for microelectronic structures
US5729563A (en) 1994-07-07 1998-03-17 Hewlett-Packard Company Method and apparatus for optically and thermally isolating surface emitting laser diodes
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5527562A (en) 1994-10-21 1996-06-18 Aluminum Company Of America Siloxane coatings for aluminum reflectors
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
FR2729307B1 (fr) 1995-01-18 1997-04-18 Seppic Sa Utilisation d'esters d'acides gras ethoxyles comme composants auto-emulsionnables notamment utiles pour la preparation de produits de traitement phytosanitaires ou de medicaments a usage veterinaire ou humain
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
JP3542185B2 (ja) 1995-02-02 2004-07-14 ダウ コーニング アジア株式会社 シリコーンレジン、これを含む組成物およびその硬化方法
EP0727711A3 (en) 1995-02-17 1997-04-09 Ocg Microelectronic Materials Photoresist compositions containing supercritical fluid fractionated polymeric resin binders
JP3436435B2 (ja) 1995-02-22 2003-08-11 東レ・ダウコーニング・シリコーン株式会社 紫外線硬化型シリコーン組成物の硬化方法
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
US5635240A (en) 1995-06-19 1997-06-03 Dow Corning Corporation Electronic coating materials using mixed polymers
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
JP3824334B2 (ja) 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
US5693691A (en) 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
US5948318A (en) 1995-09-11 1999-09-07 Chisso Corporation Liquid crystal composition and liquid crystal display device
AU6973296A (en) 1995-09-12 1997-04-01 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US6770726B1 (en) 1995-09-12 2004-08-03 Gelest, Inc. β-substituted organosilsesquioxane polymers
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
US5580606A (en) 1995-10-06 1996-12-03 Singapore Institute Of Standards Etc. Method for forming interference anti-reflective coatings by plasma surface modification
US5693701A (en) 1995-10-26 1997-12-02 Dow Corning Corporation Tamper-proof electronic coatings
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5663286A (en) 1995-11-09 1997-09-02 H.B. Fuller Licensing And Financing, Inc. Nonwoven web comprising water soluble polyamides and articles constructed therefrom
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
EP0778300B1 (en) 1995-12-05 2001-01-31 Shin-Etsu Chemical Co., Ltd. Method for preparing crosslinked polycarbodiimides
US5837568A (en) 1995-12-12 1998-11-17 Sanyo Electric Co., Ltd. Manufacturing method of semiconductor devices
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5629437A (en) 1996-01-30 1997-05-13 Huls America Inc. Preparation and use of alkyleneoxysilane compositions
WO1997030362A1 (en) 1996-02-13 1997-08-21 Sola International, Inc. Color-neutral uv blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
JPH09306954A (ja) 1996-05-20 1997-11-28 Hitachi Ltd 半導体装置及びその実装方法並びに実装構造体
TW354392B (en) 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH1060280A (ja) 1996-08-14 1998-03-03 Japan Synthetic Rubber Co Ltd 水系分散体
US6072018A (en) 1996-09-30 2000-06-06 Virginia Tech Intellectual Properties, Inc. High abrasion resistant coating material
EP0917550A4 (en) 1996-10-25 1999-12-29 Blue River International L L C COMPOSITIONS FOR SILICON COATINGS AND USES THEREOF
US5767014A (en) 1996-10-28 1998-06-16 International Business Machines Corporation Integrated circuit and process for its manufacture
US6020410A (en) 1996-10-29 2000-02-01 Alliedsignal Inc. Stable solution of a silsesquioxane or siloxane resin and a silicone solvent
US5922299A (en) 1996-11-26 1999-07-13 Battelle Memorial Institute Mesoporous-silica films, fibers, and powders by evaporation
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
WO1998026019A1 (fr) 1996-12-13 1998-06-18 Matsushita Electric Works, Ltd. Composition d'emulsion de silicium et procede d'elaboration
DE69707635T2 (de) 1996-12-24 2002-08-08 Fuji Photo Film Co Ltd Zusammensetzung für Antireflexunterschichten und Verfahren zur Herstellung eines Resistmusters damit
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19707660A1 (de) 1997-02-26 1998-09-03 Heidelberger Druckmasch Ag Lichtvorhang
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
JP3415741B2 (ja) 1997-03-31 2003-06-09 東レ・ダウコーニング・シリコーン株式会社 電気絶縁性薄膜形成用組成物および電気絶縁性薄膜の形成方法
US5776559A (en) 1997-04-11 1998-07-07 Woolford; Esther Electric Christmas tree
US6143855A (en) 1997-04-21 2000-11-07 Alliedsignal Inc. Organohydridosiloxane resins with high organic content
US6743856B1 (en) 1997-04-21 2004-06-01 Honeywell International Inc. Synthesis of siloxane resins
US6043330A (en) 1997-04-21 2000-03-28 Alliedsignal Inc. Synthesis of siloxane resins
US6218497B1 (en) 1997-04-21 2001-04-17 Alliedsignal Inc. Organohydridosiloxane resins with low organic content
US6048804A (en) 1997-04-29 2000-04-11 Alliedsignal Inc. Process for producing nanoporous silica thin films
WO1998051752A1 (fr) 1997-05-13 1998-11-19 Kirin Beer Kabushiki Kaisha Materiau de revetement permettant d'obtenir un film de revetement vitreux, procede de formation d'un revetement a l'aide de celui-ci, et dispositif d'application de revetement
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
EP0881678A3 (en) 1997-05-28 2000-12-13 Texas Instruments Incorporated Improvements in or relating to porous dielectric structures
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
US20010024685A1 (en) 1997-06-19 2001-09-27 Boulton Jonathan M. Method for forming a protective coating and substrates coated with the same
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
US6448331B1 (en) 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US5962067A (en) 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
EP0911875A3 (en) 1997-10-23 2000-08-02 Texas Instruments Incorporated Integrated circuit dielectric and method of fabrication thereof
US6126733A (en) 1997-10-31 2000-10-03 Alliedsignal Inc. Alcohol based precursors for producing nanoporous silica thin films
US6090448A (en) 1997-10-31 2000-07-18 Alliedsignal Inc. Polyol-based precursors for producing nanoporous silica thin films
US5953627A (en) 1997-11-06 1999-09-14 International Business Machines Corporation Process for manufacture of integrated circuit device
US6177360B1 (en) 1997-11-06 2001-01-23 International Business Machines Corporation Process for manufacture of integrated circuit device
US6025232A (en) 1997-11-12 2000-02-15 Micron Technology, Inc. Methods of forming field effect transistors and related field effect transistor constructions
US6057239A (en) 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6255671B1 (en) 1998-01-05 2001-07-03 International Business Machines Corporation Metal embedded passivation layer structure for microelectronic interconnect formation, customization and repair
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
US6042994A (en) 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
ATE210951T1 (de) 1998-01-22 2002-01-15 Kettenbach Gmbh & Co Kg Unterfütterung für prothesen und verfahren zur herstellung
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
JP4387588B2 (ja) 1998-02-04 2009-12-16 メルク エンド カムパニー インコーポレーテッド 高スループットスクリーニングアッセイ用仮想ウェル
US6337089B1 (en) 1998-02-06 2002-01-08 Seiwa Kasei Company, Limited Microcapsule containing core material and method for producing the same
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US7361444B1 (en) 1998-02-23 2008-04-22 International Business Machines Corporation Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6147407A (en) 1998-03-27 2000-11-14 Lucent Technologies Inc. Article comprising fluorinated amorphous carbon and process for fabricating article
US6149778A (en) 1998-03-12 2000-11-21 Lucent Technologies Inc. Article comprising fluorinated amorphous carbon and method for fabricating article
US6177199B1 (en) 1999-01-07 2001-01-23 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with low organic content
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US6218020B1 (en) 1999-01-07 2001-04-17 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with high organic content
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US6344284B1 (en) 1998-04-10 2002-02-05 Organic Display Technology Organic electroluminescent materials and devices made from such materials
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
CN1300383A (zh) 1998-04-29 2001-06-20 部鲁尔科学公司 得自纤维素粘合剂的快速蚀刻、热固性抗反射涂料
TWI234787B (en) 1998-05-26 2005-06-21 Tokyo Ohka Kogyo Co Ltd Silica-based coating film on substrate and coating solution therefor
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6022812A (en) 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
WO2000003303A1 (fr) 1998-07-10 2000-01-20 Clariant International Ltd. Composition pour film empechant la reflexion de fond et nouveau colorant polymere utilise dans celle-ci
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6335296B1 (en) 1998-08-06 2002-01-01 Alliedsignal Inc. Deposition of nanoporous silica films using a closed cup coater
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6037275A (en) 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US20010006759A1 (en) 1998-09-08 2001-07-05 Charles R. Shipley Jr. Radiation sensitive compositions
US6280911B1 (en) 1998-09-10 2001-08-28 Shipley Company, L.L.C. Photoresist compositions comprising blends of ionic and non-ionic photoacid generators
JP3773664B2 (ja) 1998-09-11 2006-05-10 三菱電機株式会社 駆動制御装置、モジュール、および、複合モジュール
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6140254A (en) 1998-09-18 2000-10-31 Alliedsignal Inc. Edge bead removal for nanoporous dielectric silica coatings
JP3523081B2 (ja) 1998-09-21 2004-04-26 信越化学工業株式会社 有機珪素樹脂の製造方法及び該製造方法で得られた有機珪素樹脂を用いたポリウレタンフォームの製造方法
JP3852889B2 (ja) 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6537723B1 (en) 1998-10-05 2003-03-25 Nippon Telegraph And Telephone Corporation Photosensitive composition for manufacturing optical waveguide, production method thereof and polymer optical waveguide pattern formation method using the same
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
DE19852852A1 (de) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
WO2000031183A1 (en) 1998-11-24 2000-06-02 The Dow Chemical Company A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6506831B2 (en) 1998-12-20 2003-01-14 Honeywell International Inc. Novolac polymer planarization films with high temperature stability
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
US6383466B1 (en) 1998-12-28 2002-05-07 Battelle Memorial Institute Method of dehydroxylating a hydroxylated material and method of making a mesoporous film
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
KR20010101419A (ko) 1999-01-07 2001-11-14 크리스 로저 에이취. 유기히드리도실록산 수지로부터 생성된 유전막
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6137634A (en) 1999-02-01 2000-10-24 Intel Corporation Microlens array
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
US7709177B2 (en) 1999-02-23 2010-05-04 International Business Machines Corporation Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof
DE60021449T2 (de) 1999-02-26 2006-05-24 Showa Denko K.K. Photopolymerisationsinitiator für Farbfilter, Farbzusammensetzung und Farbfilter
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6313257B1 (en) 1999-03-23 2001-11-06 Lord Corporation Poly (mercaptopropylaryl) curatives
JP3543669B2 (ja) 1999-03-31 2004-07-14 信越化学工業株式会社 絶縁膜形成用塗布液及び絶縁膜の形成方法
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
FR2792323B1 (fr) 1999-04-19 2001-07-06 Centre Nat Etd Spatiales Composition de revetement transparent non mouillable et articles revetus obtenus
US6509259B1 (en) 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
WO2003044078A1 (en) 2001-11-15 2003-05-30 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6696538B2 (en) 1999-07-27 2004-02-24 Lg Chemical Ltd. Semiconductor interlayer dielectric material and a semiconductor device using the same
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
MX238958B (es) 1999-07-30 2006-07-27 Ppg Ind Ohio Inc Recubrimientos curados que tienen una mejorada resistencia al rayado, sustratos recubiertos y metodos relacionados con los mismos.
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US7888435B2 (en) 1999-08-04 2011-02-15 Hybrid Plastics, Inc. Process for continuous production of olefin polyhedral oligomeric silsesquioxane cages
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
US6318124B1 (en) 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
KR100703248B1 (ko) 1999-09-13 2007-04-03 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 전기 램프
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
WO2001024244A1 (en) 1999-09-24 2001-04-05 Infineon Technologies North America Corp. High etch selectivity etchant for doped silicate glass
US6410150B1 (en) 1999-09-29 2002-06-25 Jsr Corporation Composition for film formation, method of film formation, and insulating film
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US20040089238A1 (en) 1999-10-04 2004-05-13 Jerome Birnbaum Vacuum/gas phase reactor for dehydroxylation and alkylation of porous silica
US6472076B1 (en) 1999-10-18 2002-10-29 Honeywell International Inc. Deposition of organosilsesquioxane films
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6541107B1 (en) 1999-10-25 2003-04-01 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants
US6359096B1 (en) 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6313045B1 (en) 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
JP2001152023A (ja) 1999-11-25 2001-06-05 Jsr Corp 膜形成用組成物
US6592980B1 (en) 1999-12-07 2003-07-15 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6365266B1 (en) 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
EP1167313B1 (en) 1999-12-13 2015-09-23 Nippon Sheet Glass Co., Ltd. Low-reflection glass article
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US20030104225A1 (en) 2000-02-01 2003-06-05 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US20030157340A1 (en) 2000-02-01 2003-08-21 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
JP4195773B2 (ja) 2000-04-10 2008-12-10 Jsr株式会社 層間絶縁膜形成用組成物、層間絶縁膜の形成方法およびシリカ系層間絶縁膜
US6306736B1 (en) 2000-02-04 2001-10-23 The Regents Of The University Of California Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process
MXPA01011348A (es) 2000-02-08 2003-07-14 Adsil Lc Metodo para mejorar la eficiencia de la transferencia del calor utilizando recubrimientos de silano y articulos recubiertos producidos por el mismo.
EP1255806B1 (en) 2000-02-14 2006-09-27 The Procter & Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
US20050003215A1 (en) 2000-02-16 2005-01-06 Nigel Hacker Synthesis of siloxane resins
AU2001233290A1 (en) 2000-02-22 2001-09-03 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
JP2001240800A (ja) 2000-02-25 2001-09-04 Nippon Sheet Glass Co Ltd 所定表面形状を有する物品の製造方法
EP1268696A4 (en) 2000-02-28 2005-01-26 Adsil Lc SILANE COATING COMPOSITIONS COATING ARTICLES OBTAINED THEREFROM AND USES THEREOF
DE60138327D1 (de) 2000-02-28 2009-05-28 Jsr Corp Zusammensetzung zur Filmerzeugung, Verfahren zur Filmerzeugung und Filme auf Basis von Siliciumoxid
JP2001242803A (ja) 2000-02-29 2001-09-07 Sony Corp 表示装置及びその製造方法
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
WO2001074937A1 (en) 2000-03-30 2001-10-11 General Electric Company Transparent, flame retardant poly(arylene ether) blends
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US7265062B2 (en) 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US7128976B2 (en) 2000-04-10 2006-10-31 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
US6559070B1 (en) 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
EP1146099B1 (en) 2000-04-13 2006-03-22 JSR Corporation Coating composition, method for producing the same, cured product and coating film
US6461717B1 (en) 2000-04-24 2002-10-08 Shipley Company, L.L.C. Aperture fill
TW453612U (en) 2000-04-26 2001-09-01 Ritdisplay Corp Surface processing device of display panel
US6504525B1 (en) 2000-05-03 2003-01-07 Xerox Corporation Rotating element sheet material with microstructured substrate and method of use
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
US20030176614A1 (en) 2000-06-30 2003-09-18 Nigel Hacker Organohydridosiloxane resins with high organic content
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
JP2002043423A (ja) 2000-07-24 2002-02-08 Tokyo Ohka Kogyo Co Ltd 被膜の処理方法およびこの方法を用いた半導体素子の製造方法
JP4788852B2 (ja) 2000-07-25 2011-10-05 住友金属鉱山株式会社 透明導電性基材とその製造方法およびこの製造方法に用いられる透明コート層形成用塗布液と透明導電性基材が適用された表示装置
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
DE60120178T2 (de) 2000-08-03 2007-04-26 Ciba Speciality Chemicals Holding Inc. Photostabile, silylierte benzotriazol uv-absorber und zusammensetzungen, die mit diesen stabilisiert werden
WO2002016535A2 (en) 2000-08-18 2002-02-28 The Procter & Gamble Company Compositions and methods for odor and fungal control of protective garments
KR100795714B1 (ko) 2000-08-21 2008-01-21 다우 글로벌 테크놀로지스 인크. 마이크로일렉트로닉 장치의 제조에 있어서 유기 중합체유전체용 하드마스크로서의 유기 규산염 수지
JP4697363B2 (ja) 2000-08-21 2011-06-08 Jsr株式会社 膜形成用組成物および絶縁膜形成用材料
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
US6596467B2 (en) 2000-09-13 2003-07-22 Shipley Company, L.L.C. Electronic device manufacture
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
KR100382702B1 (ko) 2000-09-18 2003-05-09 주식회사 엘지화학 유기실리케이트 중합체의 제조방법
US6505362B1 (en) 2000-09-25 2003-01-14 Thomas Scipio Method and system for cushioning a mobile prone person
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
KR100382955B1 (ko) 2000-10-10 2003-05-09 엘지.필립스 엘시디 주식회사 액정표시장치용 어레이기판과 그 제조방법
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
DE10051725A1 (de) 2000-10-18 2002-05-02 Merck Patent Gmbh Wäßrige Beschichtungslösung für abriebfeste SiO2-Antireflexschichten
DE10051724A1 (de) 2000-10-18 2002-05-02 Flabeg Gmbh & Co Kg Thermisch vorgespanntes Glas mit einer abriebfesten, porösen SiO¶2¶-Antireflexschicht
GB2368068B (en) 2000-10-20 2003-05-21 Reckitt Benckiser Improvements in or relating to organic compositions
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
JP2002129103A (ja) 2000-10-23 2002-05-09 Jsr Corp 膜形成用組成物および絶縁膜形成用材料
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
JP4029556B2 (ja) 2000-11-01 2008-01-09 Jsr株式会社 感光性絶縁樹脂組成物およびその硬化物
US6602552B1 (en) 2000-11-14 2003-08-05 Basf Corporation Low temperature cure coating composition and method therefore
JP4632522B2 (ja) 2000-11-30 2011-02-16 Nec液晶テクノロジー株式会社 反射型液晶表示装置の製造方法
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
US6573328B2 (en) 2001-01-03 2003-06-03 Loctite Corporation Low temperature, fast curing silicone compositions
JP4862217B2 (ja) 2001-01-24 2012-01-25 Jsr株式会社 膜形成用組成物、膜の形成方法およびシリカ系膜
WO2002058699A1 (en) 2001-01-25 2002-08-01 Bristol-Myers Squibb Company Pharmaceutical forms of epothilones for oral administration
DE10103421A1 (de) 2001-01-26 2002-08-14 Ge Bayer Silicones Gmbh & Co Polyorganosiloxan-Zusammensetzung
US7026053B2 (en) 2001-01-29 2006-04-11 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
JP2002235037A (ja) 2001-02-13 2002-08-23 Jsr Corp 膜形成用組成物の製造方法、膜形成用組成物、膜の形成方法およびシリカ系膜
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US20020123592A1 (en) 2001-03-02 2002-09-05 Zenastra Photonics Inc. Organic-inorganic hybrids surface adhesion promoter
KR100496420B1 (ko) 2001-03-02 2005-06-17 삼성에스디아이 주식회사 2층구조의 소오스/드레인 전극을 갖는 박막 트랜지스터 및그의 제조방법과 이를 이용한 액티브 매트릭스형 표시소자및 그의 제조방법
US6685983B2 (en) 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
JP4545973B2 (ja) 2001-03-23 2010-09-15 富士通株式会社 シリコン系組成物、低誘電率膜、半導体装置および低誘電率膜の製造方法
JP2002285086A (ja) 2001-03-26 2002-10-03 Jsr Corp 膜形成用組成物、膜の形成方法およびシリカ系膜
JP3908552B2 (ja) 2001-03-29 2007-04-25 Nec液晶テクノロジー株式会社 液晶表示装置及びその製造方法
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6678026B2 (en) 2001-04-10 2004-01-13 Seiko Epson Corporation Liquid crystal device and electronic apparatus
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
US7056989B2 (en) 2001-05-01 2006-06-06 Korea Institute Of Science And Technology Polyalkylaromaticsilsesquioxane and preparation method thereof
US6599995B2 (en) 2001-05-01 2003-07-29 Korea Institute Of Science And Technology Polyalkylaromaticsilsesquioxane and preparation method thereof
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
KR100744955B1 (ko) 2001-05-21 2007-08-02 엘지.필립스 엘시디 주식회사 횡전계방식 액정표시장치용 어레이기판과 그 제조방법
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6740685B2 (en) 2001-05-30 2004-05-25 Honeywell International Inc. Organic compositions
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
AU2002305849B2 (en) 2001-06-05 2008-01-17 Flexplay Technologies, Inc. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
SG120873A1 (en) 2001-06-29 2006-04-26 Jsr Corp Acid generator, sulfonic acid, sulfonic acid derivatives and radiation-sensitive resin composition
JP4965033B2 (ja) 2001-06-29 2012-07-04 東レ・ダウコーニング株式会社 液状アルコキシシリル官能性シリコーン樹脂、その製造方法および硬化性シリコーン樹脂組成物
DE10135640A1 (de) 2001-07-21 2003-02-06 Covion Organic Semiconductors Lösungen organischer Halbleiter
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6596404B1 (en) 2001-07-26 2003-07-22 Dow Corning Corporation Siloxane resins
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (ja) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法
JP2003064307A (ja) 2001-08-28 2003-03-05 Hitachi Chem Co Ltd シリカ系被膜、シリカ系被膜形成用組成物、シリカ系被膜の製造方法及び電子部品
JP4972834B2 (ja) 2001-08-28 2012-07-11 日立化成工業株式会社 シロキサン樹脂
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
DE10146687C1 (de) 2001-09-21 2003-06-26 Flabeg Solarglas Gmbh & Co Kg Glas mit einer porösen Antireflex-Oberflächenbeschichtung sowie Verfahren zur Herstellung des Glases und Verwendung eines derartigen Glases
KR20030027694A (ko) 2001-09-25 2003-04-07 제이에스알 가부시끼가이샤 막형성 방법, 적층막, 절연막 및 반도체용 기판
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
JP3918942B2 (ja) 2001-10-10 2007-05-23 日産化学工業株式会社 リソグラフィー用反射防止膜形成組成物
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
JP4381636B2 (ja) 2001-11-05 2009-12-09 新日鐵化学株式会社 シリコーン樹脂組成物及びシリコーン樹脂成形体
US6617609B2 (en) 2001-11-05 2003-09-09 3M Innovative Properties Company Organic thin film transistor with siloxane polymer interface
KR20040075866A (ko) 2001-11-15 2004-08-30 허니웰 인터내셔날 인코포레이티드 포토리소그래피용 스핀-온 무반사 코팅
EP1478681A4 (en) 2001-11-16 2006-10-11 Honeywell Int Inc SPIN ON GLASS ANTIREFLECTION COATINGS FOR PHOTOLITHOGRAPHY
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
KR100635042B1 (ko) 2001-12-14 2006-10-17 삼성에스디아이 주식회사 전면전극을 구비한 평판표시장치 및 그의 제조방법
JP4233456B2 (ja) 2001-12-14 2009-03-04 旭化成株式会社 低屈折率薄膜形成用塗布組成物
JP2003183575A (ja) 2001-12-20 2003-07-03 Mitsui Chemicals Inc 保存安定性に優れる多孔質シリカフィルム形成用塗布液、該塗布液の製造方法、並びに、均一なメソ孔が規則的に配列された多孔質シリカフィルムの製造方法、該多孔質シリカフィルムおよびその用途
KR100652046B1 (ko) 2001-12-22 2006-11-30 엘지.필립스 엘시디 주식회사 액정표시소자 및 그 제조방법
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6831189B2 (en) 2002-01-08 2004-12-14 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US20030171607A1 (en) 2002-01-08 2003-09-11 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US20030176718A1 (en) 2002-01-08 2003-09-18 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US6924384B2 (en) 2002-01-08 2005-08-02 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6803476B2 (en) 2002-01-08 2004-10-12 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
AU2003201435A1 (en) 2002-01-17 2003-07-30 Silecs Oy Thin films and methods for the preparation thereof
US20050032357A1 (en) 2002-01-17 2005-02-10 Rantala Juha T. Dielectric materials and methods for integrated circuit applications
US7060634B2 (en) 2002-01-17 2006-06-13 Silecs Oy Materials and methods for forming hybrid organic-inorganic dielectric materials for integrated circuit applications
US7144827B2 (en) 2002-01-17 2006-12-05 Silecs Oy Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications
US7351725B2 (en) 2002-01-18 2008-04-01 Merck & Co., Inc. N-(benzyl)aminoalkylcarboxylates, phosphinates, phosphonates and tetrazoles as Edg receptor agonists
JP2003211070A (ja) 2002-01-21 2003-07-29 Toshiba Corp 塗膜の形成方法、半導体装置の製造方法および塗布液
CN100336137C (zh) 2002-01-28 2007-09-05 捷时雅株式会社 形成电介体的光敏组合物以及利用该组合物的电介体
AU2003207855A1 (en) 2002-02-05 2003-09-02 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
US20040077757A1 (en) 2002-02-06 2004-04-22 Toru Araki Coating composition for use in producing an insulating thin film
US7682701B2 (en) 2002-02-27 2010-03-23 Hitachi Chemical Co., Ltd. Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts
KR100819226B1 (ko) 2002-02-27 2008-04-02 히다치 가세고교 가부시끼가이샤 실리카계 피막형성용 조성물, 실리카계 피막 및 그제조방법 및 전자부품
US7687590B2 (en) 2002-02-27 2010-03-30 Hitachi Chemical Company, Ltd. Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts
JP4110797B2 (ja) 2002-02-27 2008-07-02 日立化成工業株式会社 シリカ系被膜形成用組成物、シリカ系被膜の製造方法及び電子部品
KR100994818B1 (ko) 2002-03-04 2010-11-16 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 단파장 이미지화용 네거티브 포토레지스트
JP3966026B2 (ja) 2002-03-06 2007-08-29 日立化成工業株式会社 シリカ系被膜形成用組成物、シリカ系被膜及びその製造方法、並びに電子部品
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6906157B2 (en) 2002-04-09 2005-06-14 Eastman Kodak Company Polymer particle stabilized by dispersant and method of preparation
US7381441B2 (en) 2002-04-10 2008-06-03 Honeywell International Inc. Low metal porous silica dielectric for integral circuit applications
AU2002309806A1 (en) 2002-04-10 2003-10-27 Honeywell International, Inc. New porogens for porous silica dielectric for integral circuit applications
US6984476B2 (en) 2002-04-15 2006-01-10 Sharp Kabushiki Kaisha Radiation-sensitive resin composition, forming process for forming patterned insulation film, active matrix board and flat-panel display device equipped with the same, and process for producing flat-panel display device
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
ATE401364T1 (de) 2002-04-18 2008-08-15 Lg Chemical Ltd Organisches silicatpolymer und dieses umfassender isolierfilm
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7122880B2 (en) 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
AU2002306240A1 (en) 2002-06-04 2003-12-19 Fujitsu Limited Antibacterial and anti-staining paint for building materia l and building material coated therewith
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
US20030230548A1 (en) 2002-06-18 2003-12-18 Wolfgang Sievert Acid etching mixture having reduced water content
KR100515583B1 (ko) 2002-06-27 2005-09-20 주식회사 엘지화학 유기실리케이트 중합체 및 이를 함유하는 절연막
JP3631236B2 (ja) 2002-07-12 2005-03-23 東京応化工業株式会社 シリカ系有機被膜の製造方法
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
DE10242418A1 (de) 2002-09-12 2004-03-25 Wacker-Chemie Gmbh Verfahren zur Herstellung von Organopolysiloxanharz
KR100489590B1 (ko) 2002-09-19 2005-05-16 엘지.필립스 엘시디 주식회사 투과형 유기전계발광 소자 및 그의 제조방법
JP4032234B2 (ja) 2002-09-30 2008-01-16 信越化学工業株式会社 ケイ素含有重合性化合物、その製造方法、高分子化合物、レジスト材料及びパターン形成方法
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
KR100860523B1 (ko) 2002-10-11 2008-09-26 엘지디스플레이 주식회사 횡전계방식 액정 표시 소자 및 그 제조방법
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
JP2004212946A (ja) 2002-10-21 2004-07-29 Rohm & Haas Electronic Materials Llc Siポリマー含有フォトレジスト
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
AU2003295517A1 (en) 2002-11-12 2004-06-03 Honeywell International Inc Anti-reflective coatings for photolithography and methods of preparation thereof
JP2004161875A (ja) 2002-11-13 2004-06-10 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁間膜及び半導体装置
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
EP1422565A3 (en) 2002-11-20 2005-01-05 Shipley Company LLC Multilayer photoresist systems
US20070027225A1 (en) 2002-12-03 2007-02-01 Lyu Yi Y Composition for preparing porous dielectric thin films
KR100533538B1 (ko) 2002-12-03 2005-12-05 삼성전자주식회사 새로운 기공형성물질을 포함하는 다공성 층간 절연막을형성하기 위한 조성물
GB2396244B (en) 2002-12-09 2006-03-22 Lg Philips Lcd Co Ltd Array substrate having color filter on thin film transistor s tructure for LCD device and method of fabricating the same
US7133088B2 (en) 2002-12-23 2006-11-07 Lg.Philips Lcd Co., Ltd. Liquid crystal display device and method of fabricating the same
KR100887671B1 (ko) 2002-12-23 2009-03-11 엘지디스플레이 주식회사 액정표시장치용 어레이기판과 그 제조방법
KR20040061292A (ko) 2002-12-30 2004-07-07 엘지.필립스 엘시디 주식회사 액정표시소자 제조방법
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
WO2004068555A2 (en) 2003-01-25 2004-08-12 Honeywell International Inc Repair and restoration of damaged dielectric materials and films
US20040166434A1 (en) 2003-02-21 2004-08-26 Dammel Ralph R. Photoresist composition for deep ultraviolet lithography
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
JP4370111B2 (ja) 2003-03-06 2009-11-25 日華化学株式会社 親水化処理剤組成物及び親水性保護膜形成方法
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
US7354751B2 (en) 2003-03-12 2008-04-08 Mitsukan Group Corporation Alcohol dehydrogenase gene of acetic acid bacterium
US20040180011A1 (en) 2003-03-13 2004-09-16 Wacker Chemical Corporation Cosmetic formulation comprising alkyl phenyl silsesquioxane resins
JP3674041B2 (ja) 2003-03-13 2005-07-20 日立化成工業株式会社 シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP4409515B2 (ja) 2003-04-09 2010-02-03 エルジー・ケム・リミテッド 絶縁膜形成用コーティング組成物、その組成物を使用した低誘電絶縁膜の製造方法、その組成物より製造される半導体素子用低誘電絶縁膜およびその絶縁膜からなる半導体素子
JP2004307694A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置。
KR100645682B1 (ko) 2003-04-17 2006-11-13 주식회사 엘지화학 유기실록산 수지 및 이를 이용한 절연막
WO2004101651A1 (en) 2003-05-08 2004-11-25 Honeywell International Inc. Minimization of coating defects for compositions comprising silicon-based compounds and methods of producing and processing
US7060637B2 (en) 2003-05-12 2006-06-13 Micron Technology, Inc. Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
KR100519948B1 (ko) 2003-05-20 2005-10-10 엘지.필립스 엘시디 주식회사 비정질 실리콘의 결정화 공정 및 이를 이용한 스위칭 소자
DK1479734T3 (da) 2003-05-20 2009-05-11 Dsm Ip Assets Bv Nanostruktureret overflade-coatingsproces, nanostrukturerede coatinger og artikler omfattende coatingen
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
KR100507967B1 (ko) 2003-07-01 2005-08-10 삼성전자주식회사 실록산계 수지 및 이를 이용한 반도체 층간 절연막
KR100504291B1 (ko) 2003-07-14 2005-07-27 삼성전자주식회사 게르마늄을 포함하는 실록산계 수지 및 이를 이용한반도체 층간 절연막 형성 방법
US7109519B2 (en) 2003-07-15 2006-09-19 3M Innovative Properties Company Bis(2-acenyl)acetylene semiconductors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
CA2543366A1 (en) 2003-08-01 2005-02-24 Dow Corning Corporation Silicone based dielectric coatings and films for photovoltaic applications
JP2007501110A (ja) 2003-08-04 2007-01-25 チバ スペシャルティ ケミカルズ ホールディング インコーポレーテッド 強く付着しているコーティングの製造方法
US6942083B2 (en) 2003-08-07 2005-09-13 Ford Global Technologies, Llc Viscous fan clutch actuated by a heating element and ambient air
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
JP2005099693A (ja) 2003-09-05 2005-04-14 Hitachi Chem Co Ltd 反射防止膜形成用組成物及びそれを用いた反射防止膜の製造方法、光学部品、太陽電池ユニット
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US7303855B2 (en) 2003-10-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Photoresist undercoat-forming material and patterning process
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
WO2005037907A1 (en) 2003-10-07 2005-04-28 Honeywell International Inc. Coatings and hard mask compositions for integrated circuit applications, methods of production and uses thereof
WO2005036270A1 (ja) 2003-10-07 2005-04-21 Hitachi Chemical Co., Ltd. 放射線硬化性組成物、その保存方法、硬化膜形成方法、パターン形成方法、パターン使用方法、電子部品及び光導波路
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
KR100979355B1 (ko) 2003-10-09 2010-08-31 삼성전자주식회사 다반응성 환형 실리케이트 화합물, 상기 화합물로부터제조된 실록산계 중합체 및 상기 중합체를 이용한 절연막제조방법
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
JP4564735B2 (ja) 2003-10-22 2010-10-20 旭硝子株式会社 研磨スラリーおよび半導体集積回路の製造方法
KR20050040275A (ko) 2003-10-28 2005-05-03 삼성전자주식회사 절연막 형성용 조성물 및 이를 이용한 절연막 또는 절연막패턴의 형성방법
US7192910B2 (en) 2003-10-28 2007-03-20 Sachem, Inc. Cleaning solutions and etchants and methods for using same
TWI360726B (en) 2003-10-30 2012-03-21 Nissan Chemical Ind Ltd Sublayer coating-forming composition containing de
JP4447283B2 (ja) 2003-11-05 2010-04-07 東京応化工業株式会社 シリカ系被膜形成用塗布液
JP2005139265A (ja) 2003-11-05 2005-06-02 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
EP1689824B1 (en) 2003-11-21 2016-10-12 BrisMat Inc. Silica films and method of production thereof
US7470634B2 (en) 2003-11-24 2008-12-30 Samsung Electronics Co., Ltd. Method for forming interlayer dielectric film for semiconductor device by using polyhedral molecular silsesquioxane
KR101007807B1 (ko) 2003-12-13 2011-01-14 삼성전자주식회사 다반응성 선형 실록산 화합물, 상기 화합물로부터 제조된실록산 중합체 및 상기 중합체를 이용한 절연막 제조방법
US20050136687A1 (en) 2003-12-19 2005-06-23 Honeywell International Inc Porous silica dielectric having improved etch selectivity towards inorganic anti-reflective coating materials for integrated circuit applications, and methods of manufacture
TWI237892B (en) 2004-01-13 2005-08-11 Ind Tech Res Inst Method of forming thin-film transistor devices with electro-static discharge protection
GB0401202D0 (en) 2004-01-20 2004-02-25 Ucl Biomedica Plc Polymer for use in conduits and medical devices
KR100621541B1 (ko) 2004-02-06 2006-09-14 삼성전자주식회사 듀얼다마신 배선 형성방법 및 듀얼다마신 공정에서 보호막제거용 식각액
DE102004008442A1 (de) 2004-02-19 2005-09-15 Degussa Ag Siliciumverbindungen für die Erzeugung von SIO2-haltigen Isolierschichten auf Chips
US20050196974A1 (en) 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
JP4792732B2 (ja) 2004-11-18 2011-10-12 株式会社日立製作所 反射防止膜及び反射防止膜を用いた光学部品及び反射防止膜を用いた画像表示装置
US7172913B2 (en) 2004-03-19 2007-02-06 Samsung Electronics Co., Ltd. Thin film transistor array panel and manufacturing method thereof
JP4494061B2 (ja) 2004-03-30 2010-06-30 東京応化工業株式会社 ポジ型レジスト組成物
KR100569220B1 (ko) 2004-04-06 2006-04-10 한국과학기술원 플라즈마 디스플레이 패널용 유전체 조성물
US7067841B2 (en) 2004-04-22 2006-06-27 E. I. Du Pont De Nemours And Company Organic electronic devices
US7177000B2 (en) 2004-05-18 2007-02-13 Automotive Systems Laboratory, Inc. Liquid crystal display cell structure and manufacture process of a liquid crystal display comprising an opening formed through the color filter and partially the buffer layer
CN100503756C (zh) 2004-05-18 2009-06-24 罗姆及海斯电子材料有限公司 与上涂光致抗蚀剂一起使用的涂料组合物
US7153783B2 (en) 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
KR101275635B1 (ko) 2004-07-16 2013-06-14 다우 코닝 코포레이션 방사선 민감성 실리콘 수지 조성물
US20080157065A1 (en) 2004-08-03 2008-07-03 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7015061B2 (en) 2004-08-03 2006-03-21 Honeywell International Inc. Low temperature curable materials for optical applications
JP2006045352A (ja) 2004-08-04 2006-02-16 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法並びにシリカ系被膜を備える電子部品
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US20060047034A1 (en) 2004-09-02 2006-03-02 Haruaki Sakurai Composition for forming silica-based film, method of forming silica-based film, and electronic component provided with silica-based film
KR100699996B1 (ko) 2004-09-02 2007-03-26 삼성에스디아이 주식회사 회로 측정용 패드를 포함하는 유기전계발광표시장치와 그제조방법
JP2005042118A (ja) 2004-09-07 2005-02-17 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜の製造方法及び電子部品
JP5143334B2 (ja) 2004-09-07 2013-02-13 日立化成工業株式会社 シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
KR101083228B1 (ko) 2004-10-07 2011-11-11 삼성코닝정밀소재 주식회사 칼릭스 아렌 유도체를 포함하는 나노 기공을 갖는 물질을형성하기 위한 조성물
DE102004049413A1 (de) 2004-10-08 2006-04-13 Volkswagen Ag Verfahren zur Beschichtung von metallischen Oberflächen
JP2005105282A (ja) 2004-10-15 2005-04-21 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP2005105281A (ja) 2004-10-15 2005-04-21 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP2005105283A (ja) 2004-10-27 2005-04-21 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP2005072615A (ja) 2004-10-29 2005-03-17 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜、シリカ系被膜の製造方法及び電子部品
JP2005105284A (ja) 2004-10-29 2005-04-21 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜、シリカ系被膜の製造方法及び電子部品
CN101052919B (zh) 2004-11-01 2011-05-25 日产化学工业株式会社 含磺酸酯的形成光刻用防反射膜的组合物
KR20060039628A (ko) 2004-11-03 2006-05-09 삼성코닝 주식회사 용매확산이 억제된 저유전 다공성 박막
JP5143335B2 (ja) 2004-11-12 2013-02-13 日立化成工業株式会社 シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
JP2005136429A (ja) 2004-11-12 2005-05-26 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその形成方法、並びにシリカ系被膜を備える電子部品
US20060255315A1 (en) 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2006183029A (ja) 2004-11-30 2006-07-13 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品
JP2006183028A (ja) 2004-11-30 2006-07-13 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品
KR101067596B1 (ko) 2004-12-01 2011-09-27 삼성코닝정밀소재 주식회사 저유전 다공성 박막의 제조방법
KR20060068348A (ko) 2004-12-16 2006-06-21 삼성코닝 주식회사 실록산계 중합체 및 상기 중합체를 이용한 절연막 제조방법
US20060132459A1 (en) 2004-12-20 2006-06-22 Huddleston Wyatt A Interpreting an image
JP2006213908A (ja) 2004-12-21 2006-08-17 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品
US20080260956A1 (en) 2004-12-21 2008-10-23 Haruaki Sakurai Film, Silica Film and Method of Forming the Same, Composition for Forming Silica Film, and Electronic Part
DE602005011393D1 (de) 2004-12-22 2009-01-15 Rohm & Haas Elect Mat Optische Trockenfilme und Verfahren zur Herstellung optischer Vorrichtungen mit Trockenfilmen
DE602005011394D1 (de) 2004-12-22 2009-01-15 Rohm & Haas Elect Mat Optische Trockenfilme und Verfahren zur Herstellung optischer Vorrichtungen mit Trockenfilmen
JP4021438B2 (ja) 2004-12-22 2007-12-12 クラリオン株式会社 デジタル信号処理方式
EP1674905B1 (en) 2004-12-22 2008-10-15 Rohm and Haas Electronic Materials, L.L.C. Methods of forming optical devices having polymeric layers
JP2006182811A (ja) 2004-12-24 2006-07-13 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
US7374812B2 (en) 2004-12-30 2008-05-20 3M Innovative Properties Company Low refractive index coating composition for use in antireflection polymer film coatings and manufacturing method
KR101202955B1 (ko) 2004-12-31 2012-11-19 삼성코닝정밀소재 주식회사 다공성 나노 입자를 포함하는 저유전 박막 형성용 조성물및 이를 이용한 저유전 박막의 제조방법
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
KR101119141B1 (ko) 2005-01-20 2012-03-19 삼성코닝정밀소재 주식회사 폴리머 나노 입자를 포함하는 저유전 박막 형성용 조성물및 이를 이용한 저유전 박막의 제조방법
JP4775543B2 (ja) 2005-01-24 2011-09-21 信越化学工業株式会社 オルガノシリコーンレジンエマルジョン組成物及びその製造方法、ならびに該組成物の被膜が形成された物品
KR101139157B1 (ko) 2005-02-07 2012-04-26 삼성전자주식회사 단일종의 입체이성질체 만으로 된 실록산 단량체 또는이의 실록산 중합체를 포함하는 저유전 박막 형성용조성물 및 이들을 이용한 저유전 박막의 제조방법
KR20060090483A (ko) 2005-02-07 2006-08-11 삼성코닝 주식회사 풀러렌을 포함하는 저유전 박막 형성용 조성물, 이를이용한 저유전 박막 및 저유전 박막의 제조방법
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品
JP2006249181A (ja) 2005-03-09 2006-09-21 Fuji Photo Film Co Ltd 絶縁材料形成用組成物の製造方法、絶縁材料形成用組成物およびこれを用いた絶縁膜
TWI338816B (en) 2005-03-11 2011-03-11 Shinetsu Chemical Co Photoresist undercoat-forming material and patterning process
JP4725160B2 (ja) 2005-03-30 2011-07-13 東レ株式会社 感光性シロキサン組成物、それから形成された硬化膜、および硬化膜を有する素子
WO2006128232A1 (en) 2005-05-31 2006-12-07 Xerocoat Pty Ltd Control of morphology of silica films
KR101289809B1 (ko) 2005-06-13 2013-07-29 질렉스 오와이 탄화수소 기를 브릿징으로 작용기화된 실란 모노머를중합하는 것을 포함하는 반도체 광학장치를 위한 폴리머를제조하는 방법
KR20060134304A (ko) 2005-06-22 2006-12-28 삼성전자주식회사 액정 표시 장치
US20070004587A1 (en) 2005-06-30 2007-01-04 Intel Corporation Method of forming metal on a substrate using a Ruthenium-based catalyst
US7326442B2 (en) 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
KR20070010618A (ko) 2005-07-19 2007-01-24 삼성전자주식회사 표시 장치 및 박막 트랜지스터 표시판
JP4677937B2 (ja) 2005-07-20 2011-04-27 セイコーエプソン株式会社 膜パターンの形成方法、デバイス、電気光学装置、電子機器、及びアクティブマトリクス基板の製造方法
KR101209046B1 (ko) 2005-07-27 2012-12-06 삼성디스플레이 주식회사 박막트랜지스터 기판과 박막트랜지스터 기판의 제조방법
KR20070014281A (ko) 2005-07-28 2007-02-01 삼성전자주식회사 액정 표시 장치
US20070023864A1 (en) 2005-07-28 2007-02-01 International Business Machines Corporation Methods of fabricating bipolar transistor for improved isolation, passivation and critical dimension control
KR20070014579A (ko) 2005-07-29 2007-02-01 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
KR20070015314A (ko) 2005-07-30 2007-02-02 삼성전자주식회사 액정표시장치 및 그의 제조 방법
KR100683791B1 (ko) 2005-07-30 2007-02-20 삼성에스디아이 주식회사 박막 트랜지스터 기판 및 이를 구비한 평판 디스플레이장치
JP4039446B2 (ja) 2005-08-02 2008-01-30 エプソンイメージングデバイス株式会社 電気光学装置及び電子機器
KR101237011B1 (ko) 2005-08-02 2013-02-26 삼성디스플레이 주식회사 액정 표시 장치
KR101247698B1 (ko) 2005-08-05 2013-03-26 삼성디스플레이 주식회사 액정 표시 장치
US20070030428A1 (en) 2005-08-05 2007-02-08 Samsung Electronics Co., Ltd. Liquid crystal display
KR101240644B1 (ko) 2005-08-09 2013-03-11 삼성디스플레이 주식회사 박막 트랜지스터 표시판
KR20070019457A (ko) 2005-08-12 2007-02-15 삼성전자주식회사 박막 트랜지스터 표시판 및 이를 포함하는 액정표시장치
TWI340607B (en) 2005-08-12 2011-04-11 Au Optronics Corp Organic electroluminescent display panel and fabricating method thereof
JP4563894B2 (ja) 2005-08-19 2010-10-13 富士通株式会社 シリカ系被膜の製造方法および半導体装置の製造方法
US20070134435A1 (en) 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
EP1973963B1 (en) 2006-01-17 2013-06-19 Dow Corning Corporation Thermally stable transparent silicone resin compositions and methods for their preparation and use
US20070197727A1 (en) 2006-02-16 2007-08-23 Laura Ann Lewin Multi component coating composition
US8258502B2 (en) 2006-02-24 2012-09-04 Dow Corning Corporation Light emitting device encapsulated with silicones and curable silicone compositions for preparing the silicones
JP2007254677A (ja) 2006-03-24 2007-10-04 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用組成物およびシリカ系被膜
US7855043B2 (en) 2006-06-16 2010-12-21 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
JP5202822B2 (ja) 2006-06-23 2013-06-05 東レ・ダウコーニング株式会社 硬化性オルガノポリシロキサン組成物および半導体装置
JP2008033016A (ja) 2006-07-28 2008-02-14 Tokyo Ohka Kogyo Co Ltd 多層レジスト用ポジ型レジスト組成物及びこれを用いたパターン形成方法
US20080032052A1 (en) 2006-08-04 2008-02-07 Kostantinos Kourtakis Low refractive index composition
US8158981B2 (en) 2006-09-25 2012-04-17 Hitachi Chemical Company, Ltd. Radiation-sensitive composition, method of forming silica-based coating film, silica-based coating film, apparatus and member having silica-based coating film and photosensitizing agent for insulating film
US20080185041A1 (en) 2007-02-02 2008-08-07 Guardian Industries Corp. Method of making a photovoltaic device with antireflective coating containing porous silica and resulting product
US7915353B2 (en) 2007-02-02 2011-03-29 Samsung Electronics Co., Ltd. Silica nanocomposite, method of preparing the silica nanocomposite, composite foam and method of preparing the composite foam
US8026040B2 (en) * 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
KR100845403B1 (ko) 2007-04-16 2008-07-10 유창국 유/무기 하이브리드 코팅제 및 그 제조방법과 열경화방법
KR101562681B1 (ko) 2007-06-15 2015-10-22 에스비에이 머티어리얼스 인코포레이티드 저유전율 유전체
US8652750B2 (en) 2007-07-04 2014-02-18 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US7931940B2 (en) 2007-08-28 2011-04-26 Hoya Corporation Production method of silica aerogel film, anti-reflection coating and optical element
JP5218411B2 (ja) 2007-09-06 2013-06-26 コニカミノルタアドバンストレイヤー株式会社 光学フィルム、偏光板及び液晶表示装置
US20100130348A1 (en) 2007-09-21 2010-05-27 Chul-Hyun Kang Photocatalytic composition for anti-reflection and the glass substrate coated with the composition
US20090101203A1 (en) 2007-10-23 2009-04-23 Guardian Industries Corp. Method of making an antireflective silica coating, resulting product, and photovoltaic device comprising same
EP2209839A1 (en) 2007-11-06 2010-07-28 Braggone OY Carbosilane polymer compositions for anti-reflective coatings
WO2009108574A2 (en) 2008-02-25 2009-09-03 Honeywell International Inc. Processable inorganic and organic polymer formulations, methods of production and uses thereof
TWI482827B (zh) 2008-04-16 2015-05-01 Eternal Materials Co Ltd 塗料組成物及其用途
JP5667740B2 (ja) 2008-06-18 2015-02-12 東レ・ダウコーニング株式会社 硬化性オルガノポリシロキサン組成物及び半導体装置
KR101113037B1 (ko) 2008-07-15 2012-02-27 주식회사 엘지화학 포지티브형 감광성 수지 조성물
CN102084301B (zh) 2008-07-24 2013-08-28 日产化学工业株式会社 涂布组合物和图案形成方法
CN102143990B (zh) 2008-09-02 2014-04-02 第一毛织株式会社 用于填充半导体装置内小间隙的化合物、包含该化合物的组合物及制造半导体电容器的方法
US8092905B2 (en) 2008-10-10 2012-01-10 E.I Du Pont De Nemours And Company Compositions containing multifunctional nanoparticles
US20150041959A1 (en) 2008-12-17 2015-02-12 Samsung Sdi Co., Ltd. Hardmask composition for forming resist underlayer film, process for producing a semiconductor integrated circuit device, and semiconductor integrated circuit device
KR101288572B1 (ko) 2008-12-17 2013-07-22 제일모직주식회사 보관안정성이 우수한 레지스트 하층막용 하드마스크 조성물
US9073782B2 (en) 2009-01-12 2015-07-07 Cleansun Energy Ltd. Substrate having a self cleaning anti-reflecting coating and method for its preparation
US8728710B2 (en) 2009-03-31 2014-05-20 Sam Xunyun Sun Photo-imageable hardmask with dual tones for microphotolithography
US20100255412A1 (en) 2009-04-06 2010-10-07 Sam Xunyun Sun Photo-imaging Hardmask with Negative Tone for Microphotolithography
US8911932B2 (en) 2009-04-13 2014-12-16 Sam Xunyun Sun Photo-imageable hardmask with positive tone for microphotolithography
US20110017144A1 (en) * 2009-07-22 2011-01-27 Calinski Michael D Marine Nursery Habitat
JP2011132416A (ja) 2009-12-25 2011-07-07 Nagase Chemtex Corp 熱硬化性樹脂組成物及び有機無機複合樹脂
JP5617476B2 (ja) 2010-09-22 2014-11-05 Jsr株式会社 シロキサンポリマー組成物、硬化膜及び硬化膜の形成方法
KR20140024244A (ko) 2010-11-02 2014-02-28 헨켈 차이나 컴퍼니 리미티드 히드로실리콘 수지 및 이의 제조 방법
JP2012097225A (ja) * 2010-11-04 2012-05-24 Daicel Corp 硬化性樹脂組成物及び硬化物
US20120196225A1 (en) 2011-01-27 2012-08-02 Namitek Specialty Materials Corp. Photo Patternable Coating Compositions of Silicones and Organic-Inorganic Hybrids
US20120237676A1 (en) 2011-03-14 2012-09-20 Intermolecular, Inc. Sol-gel based formulations and methods for preparation of hydrophobic ultra low refractive index anti-reflective coatings on glass
JP5863266B2 (ja) 2011-04-12 2016-02-16 メルクパフォーマンスマテリアルズIp合同会社 シロキサン樹脂含有塗布組成物
JP5674610B2 (ja) 2011-09-21 2015-02-25 日東電工株式会社 シリコーン樹脂シート、その製造方法、封止シートおよび発光ダイオード装置
US9011591B2 (en) * 2011-09-21 2015-04-21 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
KR20130042867A (ko) 2011-10-19 2013-04-29 삼성디스플레이 주식회사 보호막 용액 조성물, 박막 트랜지스터 표시판 및 박막 트랜지스터 표시판 제조 방법
US8894877B2 (en) 2011-10-19 2014-11-25 Lam Research Ag Method, apparatus and composition for wet etching
KR101560038B1 (ko) 2011-11-25 2015-10-15 주식회사 엘지화학 경화성 조성물
US9068086B2 (en) * 2011-12-21 2015-06-30 Dow Global Technologies Llc Compositions for antireflective coatings
US8871425B2 (en) 2012-02-09 2014-10-28 Az Electronic Materials (Luxembourg) S.A.R.L. Low dielectric photoimageable compositions and electronic devices made therefrom
US8961918B2 (en) 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP6079263B2 (ja) 2012-03-07 2017-02-15 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
JP6167588B2 (ja) 2012-03-29 2017-07-26 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
CN107966879B (zh) 2012-04-23 2021-06-01 日产化学工业株式会社 含有添加剂的含硅极紫外抗蚀剂下层膜形成用组合物
CN104321378B (zh) 2012-05-10 2016-09-07 埃克森美孚化学专利公司 组合物及其制备方法
DE112012006554B4 (de) 2012-06-19 2023-07-20 Dow Global Technologies Llc Hoch mischbare polymerblends, filme, folien, fasern und beschichtete gegenstände enthaltend dieselben, verfahren zur herstellung optischer folien enthaltend dieselben; polarisationsplatten enthaltend die optischen folien und flüssigkristallanzeigevorrichtungen enthaltend die polarisatoren
JP6047578B2 (ja) 2012-09-05 2016-12-21 信越ポリマー株式会社 帯電防止性剥離剤及び帯電防止性剥離フィルム
CN104981911B (zh) 2012-10-15 2017-12-22 陶氏环球技术有限责任公司 传导组合物
KR102153246B1 (ko) 2012-10-30 2020-09-07 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
CN105263990B (zh) 2013-03-14 2019-04-23 莫门蒂夫性能材料股份有限公司 高折射率硅氧烷
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
KR101492251B1 (ko) 2013-05-31 2015-02-16 이근수 개질된 폴리실록산계 공중합체, 이 공중합체를 포함하는 코팅 조성물, 이를 이용하여 얻을 수 있는 코팅 플라스틱 기판과 이의 제조 방법, 및 상기 개질된 폴리실록산계 공중합체의 제조방법
CN104419237A (zh) 2013-08-22 2015-03-18 3M创新有限公司 防腐液、防腐件及其制备方法
DE102013218134A1 (de) 2013-09-11 2015-03-12 Evonik Industries Ag Beschichtungsmittel enthaltend Polysiloxan-Quats
JP6237279B2 (ja) 2014-01-31 2017-11-29 国立大学法人 奈良先端科学技術大学院大学 保護膜を具備する薄膜トランジスタ基板およびその製造方法
US10030170B2 (en) 2014-02-24 2018-07-24 Xerox Corporation Wear resistant transparent coating
KR102363819B1 (ko) 2014-02-28 2022-02-17 주식회사 동진쎄미켐 실세스퀴옥산 복합 고분자 및 이의 제조방법
US9399720B2 (en) 2014-07-14 2016-07-26 Enki Technology, Inc. High gain durable anti-reflective coating
CN104177619B (zh) 2014-08-04 2017-05-31 深圳市明粤科技有限公司 Led封装用核壳结构的有机硅树脂合成方法
CN104262628B (zh) 2014-09-28 2016-08-24 吉林大学 主链含线型和笼型的有机硅氧烷聚醚砜树脂及其制备方法
US9738765B2 (en) 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
JP2015155541A (ja) 2015-02-25 2015-08-27 国立大学法人 熊本大学 シロキサンポリマー架橋硬化物
US20170260419A1 (en) 2016-03-14 2017-09-14 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications, methods of production, and uses thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010532792A (ja) * 2007-04-10 2010-10-14 ハネウェル・インターナショナル・インコーポレーテッド オプトエレクトロニクスデバイス用の組成物、層、及びフィルム、並びにこれらの使用
WO2011162294A1 (ja) * 2010-06-24 2011-12-29 積水化学工業株式会社 光半導体装置用封止剤及びそれを用いた光半導体装置
JP2012222202A (ja) * 2011-04-11 2012-11-12 Sekisui Chem Co Ltd 光半導体装置用ダイボンド材及びそれを用いた光半導体装置
JP2013137512A (ja) * 2011-11-29 2013-07-11 Shin Etsu Chem Co Ltd ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
JP2013167669A (ja) * 2012-02-14 2013-08-29 Shin Etsu Chem Co Ltd ケイ素含有表面改質剤、これを含むレジスト下層膜形成用組成物、及びパターン形成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020096168A (ja) * 2018-09-21 2020-06-18 日産化学株式会社 固体撮像素子用平坦化膜形成樹脂組成物
JP7319588B2 (ja) 2018-09-21 2023-08-02 日産化学株式会社 固体撮像素子用平坦化膜形成樹脂組成物
KR20230154957A (ko) 2021-03-25 2023-11-09 가부시키가이샤 닛폰 쇼쿠바이 폴리실세스퀴옥산 조성물, 및, 경화물

Also Published As

Publication number Publication date
KR20160122056A (ko) 2016-10-21
CN106065278B (zh) 2021-08-10
WO2016167892A1 (en) 2016-10-20
TWI716384B (zh) 2021-01-21
US20180022957A1 (en) 2018-01-25
JP6803842B2 (ja) 2020-12-23
EP3194502A4 (en) 2018-05-16
KR102595033B1 (ko) 2023-10-26
EP3194502A1 (en) 2017-07-26
US10544329B2 (en) 2020-01-28
TW201704346A (zh) 2017-02-01
CN106065278A (zh) 2016-11-02

Similar Documents

Publication Publication Date Title
KR101203225B1 (ko) 막 형성용 조성물, 막 형성용 조성물의 제조 방법, 절연막형성용 재료, 막의 형성 방법 및 실리카계 막
WO2008124711A1 (en) Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US20070054136A1 (en) Film forming composition, insulating film and production process of the insulating film
US20110077364A1 (en) Composition containing silicon-containing polymer, cured product of the composition, silicon-containing polymer, and method of producing the silicon-containing polymer
KR20060053974A (ko) 실리카계 막 및 그의 형성 방법, 반도체 장치의 절연막형성용 조성물, 및 배선 구조체 및 반도체 장치
JP2007070520A (ja) 膜形成用組成物、絶縁膜およびその製造方法
US20110223329A1 (en) Films and method of production thereof
JP6803842B2 (ja) オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング
TWI794370B (zh) 抗裂的基於矽之平坦化組成物、方法及膜
JP2002129103A (ja) 膜形成用組成物および絶縁膜形成用材料
JP4697363B2 (ja) 膜形成用組成物および絶縁膜形成用材料
JP4996832B2 (ja) シリカ系コーティング剤、それを用いたシリカ系薄膜および構造体
JP2006183029A (ja) シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品
TWI283254B (en) Film-forming composition containing carbosilane-based polymer and film obtained from the same
JP2002167438A (ja) ケイ素ポリマー、膜形成用組成物および絶縁膜形成用材料
JP2002097414A (ja) 膜形成用組成物および絶縁膜形成用材料
JP2006183028A (ja) シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品
TWI824039B (zh) 聚矽氧烷組成物及二氧化矽質膜之製造方法
TWI798312B (zh) 抗裂性聚矽氧烷介電平面化組成物、方法、及膜
JP2006183027A (ja) シリカ系被膜形成用組成物、シリカ系被膜の形成方法、シリカ系被膜、及び、電子部品
JP2006241304A (ja) 膜形成用組成物、絶縁膜およびその製造方法
WO2019082803A1 (ja) 樹脂組成物、その硬化膜、それを具備する半導体素子および半導体素子の製造方法
JP2015147376A (ja) ガラス複合体

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190201

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200116

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200918

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201026

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201106

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201201

R150 Certificate of patent or registration of utility model

Ref document number: 6803842

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250