CN110473762B - 通过控制离子能量分布来处理基板的方法和处理腔室 - Google Patents

通过控制离子能量分布来处理基板的方法和处理腔室 Download PDF

Info

Publication number
CN110473762B
CN110473762B CN201910392379.8A CN201910392379A CN110473762B CN 110473762 B CN110473762 B CN 110473762B CN 201910392379 A CN201910392379 A CN 201910392379A CN 110473762 B CN110473762 B CN 110473762B
Authority
CN
China
Prior art keywords
generator
electrical conductor
electrically coupled
bias
series
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910392379.8A
Other languages
English (en)
Other versions
CN110473762A (zh
Inventor
L·多尔夫
O·鲁赫尔
R·丁德萨
J·罗杰斯
S·斯利尼瓦萨恩
A·K·米什拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202410192697.0A priority Critical patent/CN118016500A/zh
Publication of CN110473762A publication Critical patent/CN110473762A/zh
Application granted granted Critical
Publication of CN110473762B publication Critical patent/CN110473762B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/248Components associated with high voltage supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3438Electrodes other than cathode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3444Associated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3348Problems associated with etching control of ion bombardment energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本发明涉及通过控制离子能量分布来处理基板的方法和处理腔室。本公开内容的实施方式描述了一种电极偏置方案,其能够维持几乎恒定的鞘层电压,并因此在基板的表面处产生单能IEDF,因而能够精确控制IEDF的形状和在基板表面中形成的特征的轮廓。

Description

通过控制离子能量分布来处理基板的方法和处理腔室
技术领域
本文所述实施方式总的来说涉及用在半导体制造中的等离子体处理腔室。
背景技术
可靠地产生高纵横比特征是下一代的半导体器件的超大规模集成(VLSI)和极大规模集成(ULSI)的关键技术挑战之一。形成高纵横比特征的一种方法使用等离子体辅助蚀刻工艺(诸如反应性离子蚀刻(RIE)等离子体工艺)以在基板的材料层(诸如,电介质层)中形成高纵横比开口。在典型的RIE等离子体工艺中,等离子体是在RIE处理腔室中形成,并且来自等离子体的离子朝向基板的表面加速,以便在设置在形成于基板表面上的掩模层下方的材料层中形成开口。
典型的反应性离子蚀刻(RIE)等离子体处理腔室包括射频(RF)偏置发生器,其向“功率电极”(嵌入“静电吸盘”(ESC)组件中的金属底板,更通常称作“阴极”),供应RF电压。图1A描绘在典型处理腔室中供应给功率电极的典型RF电压的曲线图。功率电极通过电介质材料(例如,陶瓷材料)层电容耦合到处理系统的等离子体,所述电介质材料层是ESC组件的一部分。向功率电极施加RF电压导致在基板的处理表面之上形成电子排斥等离子体鞘层(也称作“阴极鞘层”),所述基板在处理期间被定位在ESC组件的基板支撑表面上。等离子体鞘层的非线性、类二极管性质导致施加的RF场的整流,使得在基板与等离子体之间出现直流(DC)电压降或“自偏置”,从而使得相对于等离子体电势而言基板电势为负。这一电压降确定了朝向基板加速的等离子体离子的平均能量,并因此确定了蚀刻各向异性。更具体来说,离子方向性、特征轮廓以及对掩模和终止层的蚀刻选择性受离子能量分布函数(IEDF)控制。在具有RF偏置的等离子体中,IEDF通常具有低能量和高能量的两个峰值,以及在其间的一些离子群,如图1B中所示。IEDF的两个峰值之间的离子群的存在反映了基板与等离子体之间的电压降在RF偏置频率下振荡的事实。当使用较低频率(例如,2MHz)的RF偏置发生器来获得较高的自偏置电压时,这两个峰值之间的能量差可能是明显的;并且由于低能量峰值处的离子引起的蚀刻轮廓更为各向同性,这可能潜在地导致特征壁弯曲。与高能离子相比,低能离子在到达被蚀刻的特征的底部处的拐角处(例如,由于充电效应)不太有效,但会导致掩模材料的溅射较少。这在高纵横比的蚀刻应用(诸如硬掩模开口或电介质模蚀刻)中是重要的。
随着特征大小继续减小并且纵横比增大,同时特征轮廓控制要求变得更加严格,更加期望在处理期间在基板表面处具有良好控制的离子能量分布函数(IEDF)。单峰值IEDF可用于构建任何IEDF,包括具有受独立控制的峰高和能量的双峰值IEDF,这有益于高精度的等离子体处理。作者已经注意到,产生单峰值IEDF(诸如图5C中所示的单峰值IEDF 520)需要在等离子体与基板之间具有几乎恒定的电势差(即,几乎恒定的鞘层电压),因为鞘层电压确定在处理期间的基板表面处的离子能量。假设几乎恒定的等离子体电势(所述几乎恒定的等离子体电势在处理等离子体的过程中通常不高于接地电势几十伏特),这需要在基板表面处相对于接地维持几乎恒定的负电势。作者已进一步注意到,这无法通过简单地将DC电压施加到功率电极来实现。这是因为在存在电子排斥等离子体(阴极)鞘层的情况下,由于鞘层电场将电子排斥远离基板,所以来自体等离子体的离子电流不会被来自体等离子体的电子电流平衡。因此,来自体等离子体的不平衡净电流(等于离子电流)不断地给基板表面充电,这最终导致所有施加的DC电压跨基板和ESC组件(即,吸盘电容器)的电介质层下降而不是如所期望的那样跨等离子体鞘层(即,鞘层电容器)下降。
因此,本领域需要新颖的偏置方法,其能够维持几乎恒定的鞘层电压(等于基板电压相对于接地的值,假设等离子体电势接近零)并因此在基板的表面处产生单能IEDF;因而能够精确控制IEDF的形状和在基板表面中形成的特征的轮廓。
发明内容
本文提供的本公开内容的实施方式可以包括一种处理基板的方法,所述方法使得能够维持几乎恒定的鞘层电压长达基板处理时间的约90%。所执行的方法将导致单(窄)峰值离子能量分布函数(IEDF),所述单(窄)峰值离子能量分布函数(IEDF)可进一步用于产生具有任意形状的IEDF。本文中,所述方法包括在设置在基板支撑件上的基板的表面之上产生等离子体,以及在设置在基板支撑件内的偏置电极处建立脉冲电压波形。脉冲电压波形是使用通过第二电导体耦合到偏置电极的脉冲偏置发生器在偏置电极处建立。脉冲偏置发生器包括同时耦合到第二电导体的脉冲发生器和电流返回输出级。脉冲发生器通过以预定速率重复地闭合和断开其内部开关,在预定长度的规则重复的时间间隔期间在所述脉冲发生器的输出(即,到接地)上维持预定的正电压。脉冲发生器包括恒定电压源、开关和缓冲器。当闭合时,开关将基本恒定的电压源的正输出电耦合到脉冲发生器的输出,所述脉冲发生器的输出通过第一电导体同时耦合到第二电导体。跨脉冲发生器的输出的缓冲器(例如“反激”二极管)在开关的断开后的电感部件(诸如第一和第二电导体)快速释放磁能期间最小化(或“缓冲”)可能的电压尖峰。本文中,电流返回输出级的第一端通过第一电导体电耦合到纳秒脉冲发生器的正输出并同时电耦合到第二电导体,并且电流返回输出级的第二端电耦合到接地。
在一些实施方式中,脉冲电压波形包括多个脉冲电压循环,其中每个脉冲电压循环包括鞘层塌陷阶段、吸盘电容器再充电阶段、鞘层形成阶段和离子电流阶段。在塌陷阶段期间,开关闭合,并且鞘层电容通过脉冲发生器所供应的电流放电。在吸盘电容器再充电阶段期间,开关被维持在闭合位置,并且通过来自脉冲发生器的电流向偏置电极提供正电荷。在鞘层形成阶段期间,开关断开,并且电流通过电流返回输出级从鞘层和杂散电容通过电流返回输出级流到接地。在离子电流阶段期间,开关被维持在断开位置,并且同样地通过电流返回输出级从等离子体流到接地的离子电流导致正电荷在基板表面上累积并逐渐使鞘层和吸盘电容器放电,因此缓慢降低了鞘层电压降。
在一些实施方式中,鞘层塌陷阶段、再充电阶段和鞘层形成阶段具有介于约200ns与约300ns之间的组合持续时间。在一些实施方式中,在开关保持闭合的时间期间,脉冲发生器的正输出电压在约0.1kV与约10kV之间。在一些实施方式中,开关保持在闭合位置达每个脉冲电压循环的约10ns至约100ns之间。在一些实施方式中,每个脉冲电压循环具有约2μs与约3μs之间的持续时间。在一些实施方式中,组合的鞘层塌陷阶段和再充电阶段占脉冲电压循环的小于约10%。在一些实施方式中,偏置电极通过电介质材料的层与基板支撑件的基板支撑表面间隔开,并且其中基板支撑件的电介质材料的层和设置在基板支撑件上的基板的组合串联电容介于约5nF与约12nF之间。在一些实施方式中,夹持电源在连接点处耦合到外部电导体,并且其中具有介于约40nF与约80nF之间的电容的阻塞电容器与脉冲偏置发生器串联设置在脉冲偏置发生器与连接点之间。在一些实施方式中,具有大于约1兆欧的电阻的阻塞电阻器设置在夹持电源与连接点之间。
在另一实施方式中,一种处理腔室包括腔室盖、一个或多个侧壁以及腔室基座,其共同限定处理容积。所述处理腔室进一步包括:基板支撑件,所述基板支撑件设置在处理容积中,其中基板支撑件包括偏置电极,所述偏置电极通过电介质材料层与所述基板支撑件的基板支撑表面分离开;以及脉冲偏置发生器,所述脉冲偏置发生器通过第二电导体耦合到偏置电极。脉冲偏置发生器包括脉冲发生器和电流返回级。脉冲发生器包括:电压源;开关,所述开关在闭合时将电压源的正输出电耦合到脉冲发生器的输出,其中脉冲发生器的输出通过第一电导体耦合到第二电导体;以及在脉冲发生器的输出上的缓冲器。所述电压源可为恒定电压源。本文中,电流返回输出级的第一端同时电耦合到第二电导体并且通过第一电导体电耦合到脉冲发生器的正输出,并且电流返回输出级的第二端电耦合到接地。在一些实施方式中,所述处理腔室包括电感耦合等离子体(ICP)或电容耦合等离子体(CCP)等离子体发生器。
本公开内容的实施方式可以进一步包括一种处理腔室,所述处理腔室包括:基板支撑件,所述基板支撑件包括偏置电极,所述偏置电极通过电介质材料层与基板支撑件的基板支撑表面分离开;以及偏置发生器,所述偏置发生器通过电导体耦合到偏置电极。偏置发生器包括脉冲发生器,所述脉冲发生器包括具有正端子和负端子的电压源,其中负端子耦合到接地;开关,所述开关在闭合时将正端子电连接到电导体的一端;以及缓冲器,所述缓冲器连接在电导体的端与接地之间。偏置发生器也包括电流返回输出级,其中电流返回输出级的第一端电耦合到电导体,并且电流返回输出级的第二端电耦合到接地。电导体可进一步包括串联连接的第一电导体和第二电导体,其中第一电导体的一端连接到电压源的正端子,并且第二电导体的一端连接到偏置电极。在一些配置中,第一电导体为在偏置发生器内发现的“内部”电导体,并且第二电导体是设置在偏置发生器与偏置电极之间的“外部”电导体。
本公开内容的实施方式可以进一步包括一种处理基板的方法,其包括在设置于基板支撑件上的基板的表面之上产生等离子体,以及使用偏置发生器来偏置设置在基板支撑件内的偏置电极,所述偏置发生器通过电导体耦合到偏置电极。偏置发生器包括:脉冲发生器,所述脉冲发生器包括具有正端子和负端子的电压源,其中负端子耦合到接地;以及开关,所述开关在闭合时将正端子电连接到电导体;以及电流返回输出级,其中电流返回输出级的第一端电耦合到电导体,并且电流返回输出级的第二端电耦合到接地。将偏置电极偏置的方法包括通过重复闭合开关达第一时间周期并随后多次断开开关达第二时间周期来在偏置电极处产生脉冲电压波形,其中闭合开关导致在第一时间周期期间由电压源将相对于接地的正电压施加到电导体,并且断开开关导致电流在第二时间周期的至少一部分期间通过电流返回输出级从偏置电极流到接地。所述方法还可以包括由所产生的等离子体在第一时间周期的结束时基本上消除形成于基板表面之上的鞘层电压降,以及使电流在第二时间周期期间从偏置电极通过电流返回输出级流到接地。所述方法还可以包括形成等离子体电势,并且所述第一时间周期包括:具有第一持续时间的鞘层塌陷阶段,其中在第一持续时间的结束处,形成于基板表面之上的电势基本上等于所产生的等离子体的等离子体电势;以及具有第二持续时间的吸盘电容再充电阶段,其中在第一持续时间和第二持续时间已经顺序完成之后消除由所产生的等离子体在基板表面之上形成的鞘层电压降。第二时间周期可以包括:具有第三持续时间的鞘层形成阶段,其中通过电流返回输出级从偏置电极流到接地的电流在第三持续时间期间发生;以及具有第四持续时间的离子电流阶段,其中第四持续时间长于组合的第一、第二和第三持续时间。
本公开内容的实施方式进一步包括一种处理腔室,所述处理腔室包括:基板支撑件,所述基板支撑件包括偏置电极,所述偏置电极通过电介质材料层与基板支撑件的基板支撑表面分离开;以及偏置发生器,所述偏置发生器通过电导体耦合到偏置电极。偏置发生器包括脉冲发生器,所述脉冲发生器包括具有正端子和负端子的电压源,其中负端子耦合到接地;以及开关,所述开关在闭合时将正端子电连接到电导体的一端。偏置发生器也包括电流返回输出级,其中电流返回输出级的第一端电耦合到电导体,并且电流返回输出级的第二端电耦合到接地。处理腔室还包括计算机可读介质,所述计算机可读介质具有存储于所述计算机可读介质上的指令,所述指令用于在由处理器执行时执行处理基板的方法,所述方法包括:在设置于基板支撑件上的基板的表面之上产生等离子体;以及使用偏置发生器将偏置电极偏置,其中将偏置电极偏置包括通过重复闭合开关达第一时间周期并随后多次断开开关达第二时间周期而在偏置电极处产生脉冲电压波形,并且其中闭合开关导致相对于接地的正电压在第一时间周期期间被施加到电导体的端部,并且断开开关导致电流在第二时间周期的至少一部分期间通过电流返回输出级从偏置电极流到接地。电导体可进一步包括串联连接的第一电导体和第二电导体,其中第一电导体的一端连接到电压源的正端子,并且第二电导体的一端连接到偏置电极。
本公开内容的实施方式进一步包括一种处理腔室,所述处理腔室包括:基板支撑组件,所述基板支撑组件包括偏置电极和基板支撑表面,其中所述偏置电极通过电介质材料的层与所述基板支撑表面分离开,其中所述层具有介于约0.1mm与约1mm之间的厚度;和偏置发生器,所述偏置发生器使用发生器耦合组件电耦合到电导体的发生器端,并且所述电导体的电极端使用电极耦合组件电耦合到所述偏置电极,其中所述偏置发生器被配置为在所述偏置电极处建立脉冲电压波形,并且所述偏置发生器包括:脉冲发生器,所述脉冲发生器电耦合到所述电导体的所述发生器端;和电流返回输出级,其中所述电流返回输出级的第一端电耦合到所述电导体,和所述电流返回输出级的第二端电耦合到接地。
如上所述的处理腔室,进一步包括:夹持电源,所述夹持电源使用电源耦合组件电耦合到所述电导体的所述发生器端。
如上所述的处理腔室,其中所述电源耦合组件包括阻塞电阻器,所述阻塞电阻器具有大于约1兆欧的电阻。
如上所述的处理腔室,其中包括所述偏置电极和所述电介质材料的所述层的平行板状结构具有介于约5nF与约50nF之间的有效电容。
如上所述的处理腔室,其中所述基板支撑组件进一步包括基板支撑件和支撑基座,其中所述基板支撑件包括电介质材料。
如上所述的处理腔室,其中所述基板支撑件具有第二表面,所述第二表面定位为与所述基板支撑表面相对,所述支撑基座定位为与所述第二表面相邻,并且所述支撑基座包括多个冷却通道,所述多个冷却通道被配置为接收来自冷却剂源的流体,和所述基板支撑组件进一步包括绝缘器板,所述绝缘器板设置在接地板与所述支撑基座之间。
如上所述的处理腔室,其中所述偏置电极设置在所述基板支撑组件的所述基板支撑件内。
如上所述的处理腔室,其中所述基板支撑件具有第二表面,所述第二表面定位在所述基板支撑表面下方并且与所述基板支撑表面相对,并且所述偏置电极设置在所述第二表面下方。
如上所述的处理腔室,其中所述支撑基座被配置为用作偏置电极。
如上所述的处理腔室,其中所述脉冲发生器的第一端电耦合到所述电导体的所述发生器端,并且所述脉冲发生器的第二端电耦合到接地。
如上所述的处理腔室,其中所述发生器耦合组件包括选自由以下部件所组成的群组的所述部件中的一个:电容器;串联的电容器与电导体;电感器;以及串联的电感器与电导体。
如上所述的处理腔室,其中所述发生器耦合组件或所述电极耦合组件包括电导体。
如上所述的处理腔室,其中所述电极耦合组件包括选自由以下部件所组成的群组的所述部件中的一个:电容器;串联的电容器与电导体;电感器;以及串联的电感器与电导体。
如上所述的处理腔室,其中所述发生器耦合组件包括电容器,所述电容器具有在约40nF至约80nF的范围内的电容。
如上所述的处理腔室,其中所述电导体包括第一电导体和第二电导体,所述第一电导体和第二电导体串联电耦合,其中所述第一电导体的一端使用所述发生器耦合组件电耦合到所述偏置发生器的输出,并且所述第二电导体的一端使用所述电极耦合组件电耦合到所述偏置电极。
本公开内容的实施方式进一步包括一种处理基板的方法,包括:在基板的表面之上产生等离子体,所述基板设置于基板支撑组件的基板支撑表面上;和使用偏置发生器来偏置设置在所述基板支撑组件内的偏置电极,所述偏置发生器使用发生器耦合组件电耦合到电导体的发生器端,并且所述电导体的第二端使用电极耦合组件电耦合到所述偏置电极,其中所述偏置电极通过电介质材料的层与所述基板支撑表面分离开,其中所述层具有介于约0.1mm与约1mm之间的厚度;所述偏置发生器被配置为在所述偏置电极处建立脉冲电压波形,并且所述脉冲电压波形包括一系列重复循环,所述一系列重复循环中的每个循环内的波形具有在第一时间间隔期间发生的第一部分和在第二时间间隔期间发生的第二部分,正电压脉冲仅在所述第一时间间隔期间存在,和所述偏置发生器包括:脉冲发生器,所述脉冲发生器电耦合到所述电导体的所述发生器端;和电流返回输出级,其中所述电流返回输出级的第一端电耦合到所述电导体,和所述电流返回输出级的第二端电耦合到接地,以及其中,在所述第二时间间隔的至少一部分期间,电流通过所述电流返回输出级从所述偏置电极流到接地。
如上所述的方法,其中包括所述偏置电极和所述电介质材料的所述层的平行板状结构具有介于约5nF与约50nF之间的有效电容。
如上所述的方法,进一步包括:夹持电源,所述夹持电源使用电源耦合组件电耦合到所述电导体的所述发生器端。
如上所述的方法,其中所述电源耦合组件包括阻塞电阻器,所述阻塞电阻器具有大于约1兆欧的电阻。
如上所述的方法,其中所述电导体包括第一电导体和第二电导体,所述第一电导体和第二电导体串联电耦合,其中所述第一电导体的一端使用所述发生器耦合组件电耦合到所述偏置发生器的输出,并且所述第二电导体的一端使用所述电极耦合组件电耦合到所述偏置电极。
如上所述的方法,其中所述基板支撑组件进一步包括基板支撑件和支撑基座,其中所述基板支撑件包括电介质材料。
如上所述的方法,其中所述基板支撑件具有第二表面,所述第二表面定位为与所述基板支撑表面相对,所述支撑基座定位为与所述第二表面相邻,并且所述支撑基座包括多个冷却通道,所述多个冷却通道被配置为接收来自冷却剂源的流体,和所述基板支撑组件进一步包括绝缘器板,所述绝缘器板设置在接地板与所述支撑基座之间。
如上所述的方法,其中所述偏置电极设置在所述基板支撑组件的所述基板支撑件内。
如上所述的方法,其中所述基板支撑件具有第二表面,所述第二表面定位在所述基板支撑表面下方并且与所述基板支撑表面相对,并且所述偏置电极设置在所述第二表面下方。
如上所述的方法,其中所述支撑基座被配置为用作偏置电极。
如上所述的方法,其中所述脉冲发生器的第一端电耦合到所述电导体的所述发生器端,并且所述脉冲发生器的第二端电耦合到接地。
如上所述的方法,其中所述发生器耦合组件包括选自由电容器、串联的电容器与电导体、电感器以及串联的电感器与电导体所组成的群组的所述部件中的一个。
如上所述的方法,其中所述发生器耦合组件或所述电极耦合组件包括电导体。
如上所述的方法,其中所述电极耦合组件包括选自由电容器、串联的电容器与电导体、电感器以及串联的电感器与电导体所组成的群组的所述部件中的一个。
如上所述的方法,其中所述发生器耦合组件包括电容器,所述电容器具有在约40nF至约80nF的范围内的电容。
本公开内容的实施方式进一步包括一种处理基板的方法,包括:在基板的面向等离子体的表面之上产生等离子体,所述基板设置于基板支撑组件上;和使用偏置发生器来偏置设置在所述基板支撑组件内的偏置电极,所述偏置发生器电耦合到电导体的发生器端,所述电导体的电极端电耦合到所述偏置电极,其中所述偏置发生器用于在所述偏置电极处建立脉冲电压波形,其中所述脉冲电压波形具有一系列重复循环,使得每个循环内的波形具有在第一时间间隔期间发生的第一部分和在第二时间间隔期间发生的第二部分,正电压脉冲仅在所述第一时间间隔期间存在,所述脉冲电压波形在所述第二时间间隔的至少一部分期间是基本上恒定的,和所述第二时间间隔大于所述第一时间间隔。
如上所述的方法,其中:所述第一部分进一步包括正电压脉冲,所述正电压脉冲导致鞘层电压降的恢复,其中鞘层在所述第一部分的结束处形成于所述基板的面向等离子体的所述表面之上。
如上所述的方法,其中在所述一系列重复循环中的一个循环期间形成的鞘层电压降基本上等于在所述一系列重复循环中的后一个循环期间形成的鞘层电压降。
如上所述的方法,其中所述第二部分进一步包括由来自所述等离子体的离子电流确定的基本上恒定的电压。
如上所述的方法,其中所述第二部分进一步包括由来自所述等离子体的离子电流确定的基本上恒定的电压以及DC夹持电压。
如上所述的方法,其中形成在所产生的等离子体内的局部等离子体电势是在不存在等离子体鞘层的情况下与所述基板的所述面向等离子的表面相邻的所述等离子体中的电势或者是在存在等离子体鞘层的情况下与等离子体鞘层边界相邻的所述等离子体中的电势,和所述第一部分进一步包括:正电压跳变,所述正电压跳变在具有鞘层塌陷持续时间的鞘层塌陷阶段期间导致鞘层塌陷,其中在所述鞘层塌陷持续时间的结束处,所述基板的所述表面处的电势基本上等于所产生的等离子体的所述局部等离子体电势;和负电压跳变,所述负电压跳变在具有鞘层形成持续时间的鞘层形成阶段期间导致鞘层形成,其中在所述鞘层形成持续时间的结束处,具有鞘层电压降的鞘层形成在所述基板的所述面向等离子体的表面之上。
如上所述的方法,其中所述第一时间间隔介于约200ns与约400ns之间。
如上所述的方法,其中所述第一时间间隔小于所述一系列重复循环中的一个循环的约20%。
如上所述的方法,其中所述偏置发生器的脉冲发生器的第一端电耦合到电导体的所述发生器,并且所述脉冲发生器的第二端电耦合到接地。
如上所述的方法,其中所述偏置发生器使用发生器耦合组件电耦合到所述电导体的所述发生器端,并且所述发生器耦合组件包括选自由以下部件所组成的群组的所述部件中的一个:电容器;串联的电容器与电导体;电感器;以及串联的电感器与电导体。
如上所述的方法,其中所述偏置发生器使用发生器耦合组件电耦合到所述电导体的所述发生器端,并且所述发生器耦合组件包括电导体。
如上所述的方法,其中所述电导体使用电极耦合组件电耦合到所述偏置电极,并且所述电极耦合组件包括选自由以下部件所组成的群组的所述部件中的一个:电容器;串联的电容器与电导体;电感器;以及串联的电感器与电导体。
如上所述的方法,其中所述电导体使用电极耦合组件电耦合到所述偏置电极,并且所述电极耦合组件包括电导体。
如上所述的方法,其中所述偏置发生器使用发生器耦合组件电耦合到所述电导体的所述发生器端,并且所述发生器耦合组件包括电容器,所述电容器具有介于约40nF至约80nF的范围内的电容。
如上所述的方法,其中所述第一部分进一步包括:正电压跳变,所述正电压跳变在具有鞘层塌陷持续时间的鞘层塌陷阶段期间导致鞘层塌陷,其中在所述鞘层塌陷持续时间的结束处,所述基板的所述表面处的电势基本上等于所产生的等离子体的局部等离子体电势;和负电压跳变,所述负电压跳变在具有鞘层形成持续时间的鞘层形成阶段期间导致鞘层形成,其中在所述鞘层形成持续时间的结束处,具有鞘层电压降的鞘层形成在所述基板的所述面向等离子体的表面之上。
附图说明
因此,可以详细地理解本公开内容的上述特征的方式,可以通过参考实施方式获得以上简要概述的本公开内容的更具体描述,其中一些实施方式在附图中进行说明。然而,应注意,附图仅仅说明本公开内容的典型实施方式,并且因此不应被视为对其范围的限制,因为本公开内容可以允许其他同等有效的实施方式。
图1A说明根据现有技术的提供给等离子体处理腔室的偏置电极的RF电压波形。
图1B说明根据现有技术的在常规处理腔室中执行的等离子体工艺期间在基板表面处的离子能量分布函数(IEDF)。
图2为根据一个实施方式的被配置为实践本文所述方法的示例处理腔室的示意性横截面图。
图3为根据一个实施方式的本文所述的脉冲电压偏置方案的功能上等效的近似电路图。
图4为根据一个实施方式的使用本文所述的脉冲电压偏置方案来处理基板的方法的流程图。
图5A至图5C说明图4中所阐述的方法。
图6为关于图2至图3所描述的偏置方案的简化电路图。
图7A至图7H说明本文所述的偏置方案的数值模拟的结果。
图8展示通过本文提出的脉冲电压偏置方案的实际实施所产生的测得的基板电压波形的示波器轨迹。
为了便于理解,在可能的情况下,使用相同的参考数字来表示各图中所共有的相同元件。预期一个实施方式的元件和特征可以有益地并入其他实施方式中而无需另外叙述。
具体实施方式
本文所述实施方式适用于所有等离子体辅助处理腔室或等离子体增强处理腔室以及对基板的等离子体辅助处理或等离子体增强处理的方法。更具体来说,本公开内容的实施方式描述了一种电极偏置方案,其能够维持几乎恒定的鞘层电压,并因此在基板的表面处产生单能IEDF;因而能够精确控制IEDF的形状和在基板表面中形成的特征的轮廓。贯穿本公开内容使用以下定义:(1)除非指定基准,否则所有电势均以接地为基准;(2)任何物理点(如基板或偏置电极)处的电压同样被定义为该点相对于接地(零电势点)的电势;(3)阴极鞘层暗指电子排斥的、离子加速的鞘层,其对应于相对于等离子体的负基板电势;(4)鞘层电压(有时也称作“鞘层电压降”)Vsh被定义为等离子体与相邻表面(例如,基板或腔室壁的相邻表面)之间的电势差的绝对值;以及(5)基板电势是面向等离子体的基板表面处的电势。
我们提出脉冲电压偏置方案(诸如,关于图2和图3所描述的偏置方案),其中脉冲偏置发生器(诸如,图2中的脉冲偏置发生器240)用于建立在偏置电极(诸如,夹持极204)处的脉冲电压波形(诸如,图5A中所示的脉冲电压波形500),所述偏置电极通过ESC组件内的电介质材料的薄层(此薄层形成ESC电容器,CESC)与所述基板分离开。此脉冲电压偏置方案实现维持几乎恒定的鞘层电压长达基板处理时间的约90%,这导致单(窄)峰值IEDF(诸如,图5C中的IEDF 520),其可以进一步用于产生具有任意形状的IEDF。
我们注意到使用其他偏置方案来在偏置电极(诸如夹持极)处建立脉冲电压波形(诸如,在偏置电极(诸如,夹持极)处的波形500(在图5A中说明))的可能性,所述偏置电极通过ESC组件内的电介质层的薄层与基板分离开。因此,我们单独提出(而不指定偏置方案)在所述偏置电极处建立诸如波形500之类的脉冲电压波形使得能够维持几乎恒定的鞘层电压长达基板等离子体处理时间的约90%,这导致单(窄)峰值IEDF,其可用于产生具有任意形状的IEDF。
在图2所说明的腔室图中展示上文提出的脉冲电压偏置方案的一个实施方式;在图3中说明此偏置方案的等效电路;并且在图6中展示此等效电路的简化形式。对图6中所示的简化电路以数值方式进行建模,以产生图7A至图7H所示的结果。
图2展示根据一个实施方式的包括上文提出的脉冲电压偏置方案的腔室图(稍后将在文中给出对图2的更详细描述)。本文所述的偏置方案基本上由以下主要部件组成:
(1)纳秒脉冲发生器214,纳秒脉冲发生器214通过以预定速率重复地闭合和断开纳秒脉冲发生器214的内部开关来在预定长度的规则重复的时间间隔期间在纳秒脉冲发生器214的输出(即,接地)上维持预定的、基本上恒定的正电压。图2展示纳秒脉冲发生器的简化的、功能上等效的示意性表示。在图2中,纳秒脉冲发生器被简化为部件的最小组合,所述部件对于理解纳秒脉冲发生器在偏置电极(诸如,夹持极204)处建立所期望的脉冲电压波形(诸如,波形500)方面的作用是重要的。这些部件通常包括内部电压源、高重复率开关和反激二极管。需要理解的是,实际的纳秒脉冲发生器可以包括任何数目的内部部件,并且可以基于比图2的电路更复杂的电路。又,图2的示意图仅提供了纳秒脉冲发生器及其电路的部件的功能上等效的表示,因为需要解释其操作的基本原理、其与处理容积中的等离子体的相互作用、以及其在在偏置电极(诸如,夹持极204)处建立脉冲电压波形(诸如,波形500)方面的作用。从图2中所示的示意图可以推断,当开关S1从断开(“关”)位置移动到闭合(“开”)位置时,它将纳秒脉冲发生器的输出连接到其内部电压源,所述内部电压源产生基本上恒定的输出电压。事实上,如可从图3中所示的纳秒脉冲发生器的一种实现的更详细(但仍然是简化)的等效电路看出的,所述开关实际上将内部电池连接到升压输出变压器。这一细节对于理解纳秒脉冲发生器的基本操作原理和其在偏置电极(诸如,夹持极204)处建立脉冲电压波形(诸如,波形500)的功能并不重要,但它具有重要的实际意义,将在后面进行描述。反激二极管(也可用不同的缓冲器电路代替)的目的是为了抑制或“缓冲”由开关S1断开所引起的可能的电压尖峰,然后快速释放累积在电感元件中的磁能。这些电感元件包括:(A)外部电导体,诸如具有组合的电感Ltransm的传输线206,以及(B)脉冲偏置发生器240的部件,包括连接纳秒脉冲发生器214和电流返回输出级215的内部电导体,其具有组合电感Linternal。当开关S1保持在闭合位置并且纳秒脉冲发生器将电流提供给系统时,磁能在时间间隔期间累积在电感元件中。在开关S1处于闭合(“开”)位置并且基本上恒定的正输出电压(等于Vm)得以维持的时间间隔期间,纳秒脉冲发生器输出电压V0的幅值Vm可以高达几千伏(例如,0.1KV至10kV)。将开关保持在闭合(“开”)位置并且基本上恒定的正输出电压得以维持的时间间隔称作“脉冲宽度”τp,并且它可以长达几十纳秒(例如,10ns至100ns)。又,将开关从断开(“关”)位置转换到闭合(“开”)位置的时间间隔称作“上升时间”,τrise,并且它也可以是几十纳秒(例如,25ns至50ns)。当开关从断开位置转换到闭合位置时,纳秒脉冲发生器的输出电压逐渐增加,直至达到Vm为止。最后,将从断开(“关”)到闭合(“开”)位置(反之亦然)的两个连续转换之间的时间长度称作“周期”,T,并且其等于脉冲重复频率的倒数,例如,其可以高达400kHz。我们注意到以下几点:(a)在本文提出的脉冲电压偏置方案中,纳秒脉冲发生器主要用作电荷注入器(电流源),而不是用作恒压源;因此,没有必要对其输出电压的稳定性强加严格的要求,因为即使开关保持在闭合(“开”)位置,它也可以随时间变化;(b)纳秒脉冲发生器基本上是一个来源,而不是吸收源,因为它只在一个方向上使电流通过(所以它只能充电,而不能使(例如)电容器放电);(c)当开关保持在断开(“关”)位置时,纳秒脉冲发生器的输出的电压V0不受内部电压源控制,而是由其内部部件与其他电路元件的相互作用来确定;以及(d)名称“纳秒脉冲发生器”源于如下事实:当它工作在低杂散电容/电感(主要是电阻性负载)时,它在其输出处产生电压波形,所述电压波形可以被描述为一系列接地基准正电压脉冲。
(2)电流返回输出级215,其中一端215B接地,并且另一端215A通过内部电导体连接到纳秒脉冲发生器的正输出并同时连接到外部电导体。将纳秒脉冲发生器与电流返回输出级和内部电导体的组合在这里称作“脉冲偏置发生器”240,并且其既是来源也是吸收源,因为它在两个方向上使电流通过。电流返回输出级可包括以下元件:(a)电阻器,(b)串联连接的电阻器和电感器,或(c)包括并联电容器的电气元件的更复杂组合,所述电气元件的更复杂组合允许正电流流向地面。
(3)将脉冲偏置发生器240的输出连接到夹持极的外部电导体。脉冲偏置发生器240的输出为点215A,这里纳秒脉冲发生器214的输出通过内部电导体连接到电流返回输出级215。外部电导体可以包括:(a)同轴传输线206,其可包括具有电感Lf1es的柔性同轴缆线,所述柔性同轴缆线与具有电感Lrigid的刚性同轴传输线串联;(b)绝缘的高压耐电晕连接线;(c)裸线;(d)金属棒;(e)电连接器;或(f)(a)至(e)中的电气元件的任何组合。注意,内部电导体可以包括与外部电导体相同的基本元件。夹持极通常是嵌入静电吸盘中的金属板,并通过电介质材料的薄层(例如,约0.3mm厚)与等离子体分离开。夹持极可以是嵌入在图2中所示的ESC组件的静电吸盘部分(即,ESC基板支撑件205)内的偏置电极204。外部导体(诸如传输线206)和偏置电极204具有一些组合的到接地的杂散电容,Cs
图3描绘本文提出的脉冲电压偏置方案的功能上等效的简化电路300,包括处理容积中的等离子体。又,图6描绘电路600,其为电路300的进一步简化版本。这些电路仅用于建模脉冲偏置发生器(诸如,240)与处理腔室308的相互作用的主要方面;解释其基本操作原理,且其作用是在偏置电极(诸如,204)处建立脉冲电压波形(诸如,500);描述在脉冲电压波形(诸如,500)的不同阶段期间发生的伴随物理现象;并且大致解释了本文提出的脉冲电压偏置方案的基本操作原理。在实践中,本文所述的脉冲电压偏置方案与处理容积中的等离子体的相互作用可能伴随着复杂的物理现象(例如,由诸如外部和内部电导体的电感元件的存在所引起的高频振荡),这里大致省略了这些考虑。然而,需要理解的是,虽然关于脉冲电压波形(诸如,500)的阶段501至504的论述(稍后在文中进行)大致基于其中省略考虑一些更复杂物理现象的简化电路模型600,但那些现象对于理解本文提出的脉冲电压偏置方案的基本操作原理并不重要。另外,尽管图5A至图5B和图7A至图7H中的波形是通过使用OrCAD P-Spice Designer软件(具有用于生成每个图集的不同电路参数集)数值模拟简化电路600而产生的,但是通过建模揭示的主要的基础物理现象(即,鞘层塌陷、ESC再充电、鞘层形成以及通过离子电流对基板表面充电)与实际系统有关。分别在图3和图6中示出的等效电路300和600中,根据以下解释,在图2的腔室图中显示的所有相关物理部件均由分立电路元件表示。
首先,静电吸盘中的电介质层和放置在其表面上的经处理的基板(例如,电容大于10nF的0.8mm厚的硅掺杂板)将夹持极与等离子体分开,并且在图3和图6中的电路中由具有电容Ce(例如,约7nF至10nF)的单个吸盘电容器303(实际上是两个串联的电容器)表示。换句话说,我们认为基板(通常由半导体和/或电介质材料的薄层制成)是ESC电介质层的一部分,并且每当我们提到吸盘电容Ce时,我们暗指Ce是ESC(即,CESC)和基板(即,Cwafer)的组合的串联电容。因为基板电容Cwafer通常很大(大于10nF),或者基板可以是导电的(无限电容),所以串联电容主要由实际CESC确定。
其次,夹持极204、脉冲偏压发生器240和将它们连接在一起的外部电导体(诸如,传输线206)具有:(A)一些组合的到接地的杂散电容,其在电路600中由具有电容Cs(例如,约500pF)的单个杂散电容器302表示;以及(B)一些电感,其在电路600中由用于内部电导体和脉冲偏置发生器240的其他部件的电感器Linternal(例如,约300nH)以及用于外部电导体(诸如,传输线206)的Ltransm(例如,约500nH)表示。电流返回输出级215在电路600中由单个电阻器Rros(例如,约150欧姆)表示。
第三,我们使用标准的电等离子体模型,其将处理容积中的整个等离子体表示为3个串联元件:
I.与基板相邻的电子排斥阴极鞘层304(我们有时也将其称作“等离子体鞘层”或仅称作“鞘层”)。阴极鞘层在图3和图6中是由常规的3部分电路元件表示的,所述常规的3部分电路元件包括:(a)二极管DSH,其在断开时表示鞘层塌陷,(b)电流源Ii(例如,约0.5A至5A),其表示在鞘层存在的情况下流向基板的离子电流,和(c)电容器CSH(例如,对于高纵横比应用而言,约100pF至300pF),其表示在偏置循环的主要部分(约90%)(即,离子电流阶段)的鞘层,在此期间发生离子加速和蚀刻。
II.体等离子体305,其在图3和图6中由单个电阻器Rpl表示(例如,约5至10欧姆),
III.形成在腔室壁处的电子排斥壁鞘层306。所述壁鞘层同样在图3和图6中由3部分电路元件表示,所述3部分电路元件包括:(a)二极管Dw,(b)表示到壁的离子电流的电流源Iiw(例如,约5A至10A),和(c)电容器Cw(例如,约5nF至10nF),当没有电子排斥阴极鞘层并且壁鞘层电容器由纳秒脉冲发生器推动通过ESC的大电流充电时,所述电容器Cw主要在ESC再充电阶段502(在本文中稍后描述)期间表示壁鞘层。由于阴极鞘层远比壁鞘层厚(归因于高电压),并且总壁面积远大于基板面积,因此我们选择了Cw>>CSH。接地金属壁的内表面被认为是涂覆有电介质材料的薄层,在图3和图6中由大电容器Ccoat(例如,约300nF至1000nF)表示。
图4描绘根据一个实施方式的说明使用本文所述脉冲电压偏置方案来处理基板的方法400的流程图。在活动401处,方法400包括在设置于基板支撑件上的基板表面之上产生等离子体。在活动402处,方法400包括使用脉冲偏置发生器在设置于基板支撑件内的偏置电极处建立脉冲电压波形,所述脉冲偏置发生器使用外部电导体(诸如,传输线206)耦合到偏置电极。
图5A说明在偏置电极处建立的脉冲电压波形500。图5A中所示的脉冲电压波形500导致图5B中所示的基板电压波形510,并因此能够使鞘层电压保持几乎恒定达基板处理时间的约90%。图5A至图5B中所绘的电压波形500和510以及图7A至图7H中所示的波形是通过使用OrCAD P-Spice Designer软件来数值模拟简化电路600而产生的。选择了用于产生图5A至图5B的电路参数以清楚地说明波形500和510的不同阶段(例如,波形周期被设定为1μs)。相反,选择了用于生成图7A至图7H的参数以演示本文提出的脉冲电压偏置方案的潜在实际实施(例如,波形周期被设定为2.5μs)。我们注意到,图5A至图5B和图7A至图7H中所说明的波形应该被解释为实验上可观察波形的简化的示意表示,诸如,图8中所示的一种波形。实际波形可以显著更复杂并含有在图5A至图5B和图7A至图7H中未示出的诸多精细尺度特征(例如,由诸如外部和内部电导体之类的电感元件的存在所引起的高频振荡)。然而,这些精细尺度特征对于理解确定由本文提出的脉冲电压偏置方案产生的实际脉冲电压波形的一般形状的基础物理现象而言不是重要的。因此,虽然以下论述大致基于图5A至图5B和图7A至图7H中所示的电路600和模拟波形,但是在脉冲电压波形循环的阶段501-504期间发生的主要基础物理现象(即,鞘层塌陷、ESC再充电、鞘层形成和由离子电流对基板表面充电)与实际系统有关。
在图5A中,脉冲电压波形500包括在电压偏移的顶部上以周期T(例如,2.5微秒)重复的周期性的一系列的短正脉冲。每个周期(重复循环)内的波形包括以下各者:
(1)正电压跳变以对系统的杂散电容器充电并使阴极鞘层塌陷,即,鞘层塌陷阶段501,在此期间鞘层电容器放电并且基板电势达到局部等离子体电势的水平(如图5B中所说明的)。鞘层塌陷阶段501使得能够通过在ESC再充电阶段502期间从等离子体提供的电子对吸盘电容器快速再充电。开关S1(参见图6)闭合并保持在闭合(“开”)位置达阶段501的持续时间,从而允许纳秒脉冲发生器(诸如,214)在其输出上维持基本恒定的正电压并将电流供应给系统。阶段501的持续时间T1远短于离子电流阶段504(以下进行描述)的持续时间T4或整个周期T,并且通常大约为几十纳秒(例如,20ns至50ns)。这是因为阶段501期间的等离子体电流是由电子携载——即,电子云向基板移动并逐渐扫过离子空间电荷,因而消除了鞘层电压降——并且因为所述两种物质之间的质量比非常大因此电子速度远大于离子速度。
(2)在ESC再充电阶段502期间,通过在离子电流阶段504(以下进行描述)期间快速注入与基板表面上累积的总电荷的值相等且极性相反的电荷,对吸盘电容器Ce再充电。在阶段501期间,纳秒脉冲发生器214在其输出上维持基本恒定的正电压(开关S1保持在“开”位置)。类似于阶段501,阶段502的持续时间T2远短于离子电流阶段504(以下进行描述)的持续时间T4或整个周期T,并且通常为大约几十纳秒(例如,30ns至80ns)。这是因为阶段502期间的等离子体电流也是由电子携载——即,在没有阴极鞘层的情况下,电子到达基板并积累表面电荷,从而对电容器Ce充电。
(3)负电压跳变(VOUT)以在鞘层形成阶段503期间使处理腔室的杂散电容器放电,重新形成鞘层并设定鞘层电压(VSH)的值。图6中的开关S1在鞘层形成阶段503的开始处断开,并且电感元件快速(例如,在约10纳秒内)将它们存储的磁能释放到吸盘电容器Ce和杂散电容器Cs中。电感元件可以包括由电感Linternal表示的脉冲偏置发生器240(例如,内部导体)的内部部件,和由电路600中编号为309的电感Ltransm表示的外部导体(例如,传输线206)。在磁能释放期间,对应的电流流过反激二极管或者具有抑制(或“缓冲”)可能的电压尖峰的类似功能的不同缓冲器电路。从如图7B中所示的纳秒脉冲发生器输出电压V0的时间曲线图可以看出,在磁能释放期间,纳秒脉冲发生器(诸如,214)的内部电压源不维持正输出电压(开关S1保持在“关”位置),因此它短暂地塌缩到零下几伏以允许反激二极管使电流通过。此处我们注意到,若没有反激二极管(或具有“缓冲”可能的电压尖峰的类似功能的不同部件),则需要通过电阻式电流返回输出级来释放磁能,从而导致R上的达几纳秒的不切实际的大的负电压(例如,-20kV,其可能损坏脉冲偏置发生器240的内部部件),而不是塌陷到接近零的值。在释放磁能并且通过Ltransm的电流降至零(以及通过内部Linternal)后,其反转方向并通过电流返回输出级从等离子体和杂散电容器流到接地(反向偏置的反激二极管阻止电流流过自身),因而使杂散电容器Cs放电,并对鞘层电容器Csh充电(即,重新形成鞘层)。在图5B中可以清楚地识别鞘层形成的开始(Csh的充电)作为基板电势开始降低到低于局部等离子体电势的点。类似于阶段501,阶段503的持续时间T3远短于离子电流阶段504(以下进行描述)的持续时间T4或整个周期T,并且通常大约为100ns至300ns。这是因为阶段503期间的等离子体电流同样由电子携载——即,电子云移动远离基板并逐渐暴露离子空间电荷,因而形成鞘层并产生鞘层电压降。我们注意到(1)T3主要由杂散电容以及包括电流返回输出级的元件(例如,电阻器)的值确定;以及(2)负电压跳变VOUT和建立的鞘层电压VSH是由Vm(在阶段501至502期间纳秒脉冲发生器输出电压的幅值)确定,并且总脉冲宽度τtot=τrisep=T1+T2。为了解释τtot(实际所控制的参数)对VOUT和VSH的影响,我们注意到T2和阶段502期间偏置电极电压ΔVs,2的增加这两者都主要由Vm和离子电流Ii来确定。因此,对于给定的Vm和Ii,总脉冲宽度τtot控制T1,这进而确定了在阶段501期间的基板电压的增加ΔVsub,1以及偏置电极电压并且因此VOUT=ΔVs,1+ΔVs,2且/>
(4)具有持续时间T4的长的(约为循环持续时间T的85%至90%)离子电流阶段504,在其期间纳秒脉冲发生器214同样不在其输出上维持正电压(开关S1保持在“关”状态),并且离子电流通过电流返回输出级从等离子体流到接地。离子电流引起正电荷在基板表面上的积累,并逐渐使鞘层和吸盘电容器放电,缓慢降低鞘层电压降并使基板电势更为接近零。这导致图5B中所示的基板电压波形510中的电压降ΔVsh。所产生的鞘层电压降是脉冲电压波形500需要移动到在上述(1)至(3)中所描述的下一个循环的原因,在所述下一个循环期间纳秒脉冲发生器214去除在离子电流阶段期间累积的电荷(或恢复初始ESC电荷)并且重新建立所期望的鞘层电压VSH。注意,每当存在电子排斥阴极鞘层和来自体等离子体的不平衡净电流(等于离子电流),表面电荷和鞘层电压降就会累积。如先前所解释,这是因为来自体等离子体的离子电流未被来自体等离子体的电子电流平衡,原因是鞘层电场将电子排斥离开基板。因此,表面电荷累积和电压降的产生也发生在鞘层形成阶段503期间,在所述鞘层形成阶段503期间从一开始就存在非零鞘层电压降。
从以上(1)至(4)可以看出,构成脉冲电压波形(诸如,脉冲电压波形500)的单个电压脉冲的“电子电流”阶段501至503的组合持续时间为约200ns至400ns,其对应于约10%至15%的相对较短的占空比。脉冲电压波形500的短占空比特性是对于所有等离子体而言典型的大的离子-电子质量比的结果。因此,在本文提出的脉冲电压偏置方案中,脉冲偏置发生器仅在每个周期的短部分期间与等离子体主动地相互作用,从而允许阴极鞘层在其余时间内自然演化。通过有效地使用基本等离子体性质,此偏置方案使得能够维持几乎恒定的鞘层电压长达处理时间的约90%,这导致了单峰值IEDF(诸如,图5C中的IEDF 520)。相反,在常规的偏置方案中,所施加的RF电压(具有诸如图1A中所示的一个波形)在整个RF周期内调制阴极鞘层,因此总是不当地改变鞘层电压降并导致双峰值IEDF(诸如,图1B中所示的IEDF)。
本文提出的脉冲电压偏置方案使得能够维持特定的基板电压波形,诸如,图5B中所示的基板电压波形510,所述波形可以被描述为在负电压偏移512的顶部上的周期性的一系列的短正脉冲511。在每个脉冲(具有为T5=T1+T2+T3的总持续时间)期间,基板电势达到局部等离子体电势,并且鞘层短暂地塌陷。然而,对于每一个循环(具有循环持续时间T)的约90%而言,鞘层电压降保持几乎恒定并且近似等于最负的基板电势VSH的绝对值(图5B),这因此确定了基板表面处的平均离子能量。在偏置循环的鞘层塌陷阶段501期间,来自纳秒脉冲发生器(例如,214)的电流在并联连接的处理等离子体与杂散电容器Cs之间分开,大致根据比率CSH/Cs并且不是非常显著。因此并且因为Cw通常是非常大的,所以在阶段501期间在壁鞘层上累积的电压降相对较小。结果,近壁等离子体电势Vw(其等于壁鞘层电压降与壁电介质涂层上的预期为小(由于非常大的Ccoat)的电压降的总和(图6))保持接近零(图7F)。因而,局部(近基板)等离子体电势Vpl(等于近壁等离子体电势与体等离子体上的电压降之和(图6))主要是由后者确定,并且其增大略高于零(图5B和图7F)。又,在ESC再充电阶段502期间,没有电子排斥阴极鞘层,并且壁鞘层电容器被由纳秒脉冲发生器(例如,214)推动通过ESC的大电流充电到大量的电压(例如,几百伏)。由于近壁等离子体电势的增加、以及在体等离子体上存在相对大的电压降(由相同的大电流引0起),局部(近基板)等离子体电势Vpl以及基板电势Vsub经历了高达所建立的鞘层电压VSH的约1/3的大量增加。最后,在鞘层形成阶段503期间,通过处理等离子体的电流再次(如在阶段501中)由比率CSH/Cs确定,并且相对较小(也快速衰减),在体等离子体上的所得电压降也是如此。因此,局部(近基板)等离子体电势保持近似等于近壁等离子体电势,并且它们都在较接近阶段503的结束处放松到接近零的值,因为壁鞘层主要通过到腔室壁的离子电流来放电。由于在阶段501至503期间局部等离子体电势扰动,所建立的鞘层电压VSH仅构成阶段503的结束处的基板电压波形510中的总负跳变V'SH的约75%。负跳变V'SH定义了给定Vm和τtot下的最大鞘层电压(仅在接近无限Cw和接近零Rpl时可得到),并且它接近偏置电极电压波形500中的负跳变V'SH~VOUT。后者是因为在阶段503期间,吸盘电容器仅将其初始电荷的一小部分(∝CSH/Ce<<1)转移到鞘层,从而在电极与基板之间维持几乎恒定的电势差。在实践中可以使用VSH/VOUT约0.75-0.8的关系从测量到的VOUT估计VSH
A.实践考虑
有效的简化电路600和此电路的数值模拟结果分别展示于图6和图7A至图7H中。我们注意到,为了模拟具有有限闭合时间的非理想开关,在实际PSPICE模型中,我们用一个具有最大电压Vm和有限上升时间的梯形电压脉冲(与开关控制电压脉冲P1同步)来替代恒定电压源Vm。在表1中给出建模中所使用的所有电路参数:
表1
Vm τrise rp T Linternal Ltransim Rros Cs Ce CSH Ii Rpl Cw Itw Ccoat
4175V 25ns 65ns 2.5μs 300nH 400nH 150Ω 500pF 7nF 150pF 1.5A 7.5Ω 5nF 5.5A 1μF
图7A说明建模出的纳秒脉冲发生器输出电压随时间的变化V0(t)(和3个波形循环)。图7B为图7A的一部分的详细视图。图7C说明偏置电极处的建模出的电压Vs(t),即,跨Cs的电压,如图6的电路600中所示。图7D是图7C的一部分的详细视图。图7E说明建模出的基板电势Vsub、局部(近基板)等离子体电势Vpl和近壁等离子体电势Vw,如图6中所示。图7F是图7D的一部分的详细视图。图7G说明通过外部导体(诸如,传输线206)的建模出的电流,所述外部导体将脉冲偏置发生器耦合到偏置电极,IL(t),即,通过图6的电路600中的电感Ltransm的电流。图7H是图7G的一部分的详细视图。
图7E和图7F中的数值结果清楚地表明,使用脉冲偏置发生器240(包括纳秒脉冲发生器214和电流返回输出级215)在大部分波形周期内产生几乎恒定的鞘层(和基板)电压,因此产生一个窄的单峰值IEDF(诸如图5C中所示的单峰值IEDF 520)。用于获得图7A至图7H中的结果的脉冲重复频率是400kHz,并且对应的波形周期是2.5微秒。图7E和图7F的基板电势波形包括小电压降(在图5B中展示为ΔVsh),所述小电压降在离子电流阶段504的过程中累积并且可以如下进行估计。因为在离子电流阶段504期间:(a)偏置电极(即,夹持极)处的电压保持恒定在由电流返回输出级中的电阻器Rros所确定的水平,Vesc=Ii*Rros,以及(b)等离子体电势也保持恒定(接近零)——可以容易地获得在离子电流阶段504的持续时间T4(接近波形周期T)内的鞘层电压降ΔVsh由下式给出:其中Ii为流过鞘层的离子电流。此公式反映了离子电流在鞘层电容器CSH与吸盘电容器Ce之间分裂的事实,并且需要使其两者放电以便改变鞘层电压。上述公式可用于选择适当的参数以有效地操作本文提出的脉冲电压偏置方案,且允许确定其适用性限制。
例如,从维持几乎恒定的鞘层电压VSH的目标出发,我们立刻得到了相对较小的电压降的要求,即,对于给定的离子电流(通常为0.5A至5A)、Ce和T而言,它给出了鞘层电压的范围,对此本文提出的脉冲电压偏置方案是最有用的。此要求示出,此偏置方案在产生窄的单峰值IEDF(即,图5C中的IEDF 520)中的有效性随着所期望的鞘层电压和离子能量而增加,这使得它特别适用于(例如)如“硬掩模开口”和“电介质模蚀刻”这样的有挑战性的高纵横比应用。更准确地说,使用本文所述的偏置方案产生的“单能”IEDF中的单个能量峰值的相对宽度是由比率ΔVsh/VSH确定,或者实际上是由Ce、Ii和T确定。
上述要求还暗指本文提出的脉冲电压偏置方案在脉冲电压波形(例如,图5A中的电压波形500)的较高脉冲重复频率(PRF)(或较短周期T)下工作得更好。的确,从方程式(1)可以看出,电压降ΔVsh的值随着周期T而增加。又,电压降的增加导致使用本文提出的脉冲电压偏置方案所产生的单峰值IEDF的相对宽度的增加,ΔVsh/VSH,因而削弱了精确控制使用此单峰值IEDF所产生的任意IEDF的形状的能力。然而,我们注意到,PRF的选择必须与两个额外考虑因素相平衡。即:(a)产生高压纳秒脉冲的挑战随着切换频率而大大增加,以及(b)期间离子被加速朝向基板表面并且发生离子轰击基板表面(例如,在蚀刻工艺期间发生蚀刻)的离子电流阶段504的持续时间T4需要比鞘层塌陷阶段501、ESC再充电阶段502和鞘层形成阶段503的组合持续时间T1+T2+T3长得多。此组合持续时间是仅由电路元件Cs、Rros、Lros、Linternal、Ltransm(图6)确定而与脉冲重复频率无关,并且通常为约200ns至400ns。实践中,对于高达几安培的离子电流和几纳法(例如,7nF至10nF)的离子电流而言,400kHz是脉冲重复频率的合理选择;条件是所期望的鞘层电压VSH远大于ΔVsh(例如,针对上述参数VSH约3kV至8kV)。
从上述要求中还可以清楚地看出,具有大Ce是有益的,这就是为什么当脉冲电压被施加到夹持极而不是支撑基座207(图2)时本文提出的脉冲电压偏置方案最有效的原因,在常规的等离子体反应器中通常将RF功率施加到支撑基座207。实践中,为了有效地实施所提出的偏置方案,Ce需要大约为几纳法。对于高纵横比应用来说典型的约100pF至300pF的CSH,这也自动暗指Ce>>CSH,这对于在给定的VOUT下最大化V'SH是重要的。
我们注意到,在本文提出的脉冲电压偏置方案中,电压切换仅发生在纳秒脉冲发生器内,并且仅在驱动输出升压变压器的初级侧的相对较小的电压(例如,100V至800V)下发生。与先前提出的方案相比,此方案提供了显著的实际益处,先前方案中通常存在需要在全鞘层电压下(即,例如数千伏特下)切换的第二开关(定位在电阻输出级处)。在这些先前提出的偏置方案中存在第二开关大大降低了系统的鲁棒性,并且在实践中将它们的可扩展性限制到高纵横比应用所需要的足够高的鞘层电压(例如,VSH为约4000V至8000V)。作者无法识别能够在RF频率(例如,400kHz)下切换且同时具有例如8000V的高电压的商用开关。这里需要提到的是,图3中的阻塞二极管的目的是防止返回电流在阶段503和504期间流过升压变压器的次级绕组而不是电流返回输出级。
作者进一步注意到,电流返回输出级215可包含电抗元件的组合,如电感器和电容器(例如,串联电感器),而不限制其产生几乎恒定的鞘层电压的有效性。我们还注意到,电流返回输出级中的电阻器(例如,图6中的电阻器Rros)的值需要基于功率平衡考虑与最小化RC放电时间tstab≈Rros(Cs+CSK)的要求相结合来确定,所述RC放电时间确定鞘层形成阶段503的持续时间T3。本文提出的脉冲电压偏置方案的其他益处包括纳秒脉冲发生器的商业可用性。
本文提出的脉冲电压偏置方案也可以容易地与标准用于夹持的高压模块(HVM)集成,即,将基板“电夹紧”到ESC基板支撑件的基板接收表面,如图2和图3中所示。夹持基板允许用氦气(He)填充基板接收表面与基板的非设备(non-device)侧表面之间的间隙,这么做是为了在这两者之间提供良好的热接触并允许通过调节ESC基板支撑件的温度进行基板温度控制。将HVM所产生的DC夹持电压与脉冲偏置发生器(诸如,240)在偏置电极(诸如,夹持极204)处产生的脉冲电压相结合将导致脉冲电压波形(诸如,500)的额外电压偏移等于DC夹持电压。通过选择适当大的Chvm和Rhvm,可以使HVM对脉冲偏置发生器的操作的影响可以忽略不计。电路300中的阻塞电容器Chvm的主要功能是保护脉冲偏置发生器免受HVM DC电压的影响,HVM DC电压因此在Chvm上下降并且不扰乱脉冲偏置发生器输出。需要选择Chvm的值以使得仅阻塞HVM DC电压时,它不会对脉冲偏置发生器的高频输出电压产生任何负载。通过选择足够大的Chvm(例如,40nF至80nF),我们可以使其对于400kHz信号几乎透明,因为它比系统中的任何其他相关的电容都大得多,并且相比于其他相关的电容器(诸如,Ce、CSH)上的电压降而言此元件上的电压降非常小。又,阻塞电阻器Rhvm的目的是阻止高频脉冲偏置发生器的电压并且最小化其在HVM DC电压源中感应出的电流。此阻塞电阻器Rhvm需要足够大以有效地最小化通过它的电流。例如,Rhvm>1兆欧足以使从脉冲偏置发生器到HVM中的400kHz电流可忽略不计:Ihvm约为VOUT/Rhvm在波形周期内被平均时,其峰值大约为5mA,并且约低10倍。大约为0.5mA至1mA的所得平均感应电流确实远小于HVM电源的典型极限制,所述典型极限为约5mA的DC电流。上述估计是针对Vout约为5kV作出,其中Vout(见图5A)是:在鞘层塌陷阶段501和ESC充电阶段502期间,当开关S1保持闭合(“开”)位置且纳秒脉冲产生器214保持其输出上的基本恒定正电压时,夹持极204上的正电压跳变。也要注意,当选择Rhvm时,考虑到典型的HVM泄漏电流Ileak为大约数十微安,需要记住Rhvm不能过大从而确保Ileak*Rhvm<<Vhvm,这不应该太难以满足。
图8展示通过本文提出的脉冲电压偏置方案的实际实施所产生的测量到的基板电压波形的示波器轨迹。使用通过电气(真空)馈通耦合到直接接触传感器的Lecroy PPE4kV(100:150MΩ/6gF,4kVpp,400MHz)高压示波器探针,在10mT下在主要为O2的等离子体中(其中到晶片的离子电流为约1.35A)进行测量。传感器包括涂有氧化铝珠的KaptonTM涂层线,其使用具有导电粘合剂的铝带的足够大贴片(用于良好的电容耦合)连接到低电阻率硅晶片;连接部位进一步被KaptonTM胶带和氧化铝浆料覆盖。使用来自函数发生器的测试信号对这个诊断进行了台架测试,并且还使用铝晶片独立地验证了基板电势测量。从图8可见,实验观察到的基板电压波形与图7E所示的模型产生的波形很好地一致。对于使用类似地通过电气(真空)馈通耦合到Lecroy PPE4kV探针的浮动Langmuir探针在腔室盖附近测量到的等离子体电势的示波器迹线(未示出),也观察到模型与实验之间的良好一致。即,测量到的波形示出,在离子电流阶段504开始时等离子体电势放松至几乎为零。这些测量表明,本文提出的脉冲电压偏置方案确实可用于产生几乎恒定的鞘层(基板)电压长达基板处理时间的90%,这又导致可以用于产生具有任意形状的IEDF的窄的单峰值IEDF(即,图5C中的IEDF520)。
B.图2的详细描述:腔室图
图2是根据一个实施方式的被配置为实践本文提出的偏置方案的处理腔室的示意性横截面图。在此实施方式中,处理腔室是等离子体处理腔室,诸如,反应离子蚀刻(RIE)等离子体腔室。在一些其他实施方式中,处理腔室是等离子体增强的沉积腔室,例如,等离子体增强化学气相沉积(PECVD)腔室、等离子体增强物理气相沉积(PEPVD)腔室或者等离子体增强原子层沉积(PEALD)腔室。在一些其他实施方式中,处理腔室是等离子体处理腔室,或基于等离子体的离子注入腔室,例如等离子体掺杂(PLAD)腔室。本文中,处理腔室包括电感耦合等离子体(ICP)源,其电耦合到射频(RF)电源。在其他实施方式中,等离子体源是电容耦合等离子体(CCP)源,诸如,设置在处理容积中面向基板支撑件的源电极,其中源电极电耦合到RF电源。
处理腔室200具有腔室主体213,所述腔室主体213包括腔室盖223、一个或多个侧壁222和腔室基座224,上述部件限定处理容积226。被设置成穿过腔室盖223的气体入口228用于从与其流体连通的处理气源219将一种或多种处理气体提供到处理容积226。本文中,被配置为从处理气体点燃并维持处理等离子体201的等离子体发生器包括一个或多个感应线圈217,所述一个或多个感应线圈217被设置成在处理容积226外部靠近腔室盖223。一个或多个感应线圈217经由RF匹配电路230电耦合到RF电源218。等离子体发生器用于使用处理气体以及由感应线圈217和RF电源218产生的电磁场来点燃并维持等离子体201。处理容积226通过真空出口220流体耦合到一个或多个专用真空泵,所述真空出口220将处理容积226维持在低于大气压的条件下并从中排出处理和/或其他气体。设置在处理容积226中的基板支撑组件236是设置在支撑轴238上,所述支撑轴238密封地延伸穿过腔室基座224。
基板203通过一个或多个侧壁222中的一个中的开口(未示出)被装载到处理容积226中以及从处理容积226移除,所述开口在基板203的等离子体处理期间用门或阀(未示出)密封。本文中,使用升降销系统(未示出)将基板203传送到ESC基板支撑件205的接收表面并从ESC基板支撑件205的接收表面传送基板203。
基板支撑组件236包括支撑基座207和ESC基板支撑件205,所述ESC基板支撑件205与支撑基座207热耦合并设置在支撑基座207上。通常,在基板处理期间,支撑基座207用于调节ESC基板支撑件205的温度、以及设置在ESC基板支撑件205上的基板203温度。在一些实施方式中,支撑基座207包括设置在支撑基座207中的一个或多个冷却通道(未示出),所述冷却通道流体地耦合到诸如具有相对高电阻的制冷剂源或水源之类的冷却剂源(未示出)并且与冷却剂源(未示出)流体连通。在一些实施方式中,ESC基板支撑件205包括加热器(未示出),诸如嵌入其电介质材料中的电阻加热元件。本文中,支撑基座207由耐腐蚀的导热材料形成,诸如耐腐蚀的金属,例如铝、铝合金或不锈钢,并且支撑基座207以粘合剂或通过机械构件耦合到基板支撑件。通常,ESC基板支撑件205由电介质材料形成,诸如块状烧结陶瓷材料,例如耐腐蚀金属氧化物或金属氮化物材料,例如氧化铝(Al2O3)、氮化铝(AlN)、氧化钛(TiO)、氮化钛(TiN)、氧化钇(Y2O3)、其混合物、或其组合。在本文实施方式中,ESC基板支撑件205进一步包括嵌入ESC基板支撑件205的电介质材料中的偏置电极204。在一种配置中,偏置电极204是夹持极,所述夹持极用于将基板203紧固(夹持)到ESC基板支撑件205的支撑表面并使用本文所述的脉冲电压偏置方案相对于处理等离子体201来偏置基板203。通常,偏置电极204由一个或多个导电部件形成,诸如一个或多个金属网、箔、板或其组合。本文中,偏置电极204电耦合到高压模块216,所述高压模块216使用诸如同轴传输线206(例如,同轴缆线)之类的电导体向所述高压模块216提供夹持电压,诸如介于约-5000V与约5000V之间的静态DC电压。
支撑基座207通过绝缘器板211与腔室基座224电隔离,并且接地板212插在绝缘器板211与腔室基座224之间。在一些实施方式中,处理腔室200进一步包括石英管210或者套环,其围绕基板支撑组件236以防止ESC基板支撑件205和/或支撑基座207与腐蚀性处理气体或等离子体、清洁气体或等离子体或者其副产物接触。通常,石英管210、绝缘板211和接地板由衬里208限定。本文中,与ESC基板支撑件205的基板接收表面大致共面的等离子体罩209防止了等离子体在衬里208与一个或多个侧壁222之间的容积中形成。
本文中,偏置电极204通过ESC基板支撑件205的电介质材料层与ESC基板支撑件205的基板接收表面间隔开,并因此与基板203间隔开。通常,电介质材料层具有介于约0.1mm与约1mm之间的厚度,诸如介于约0.1mm与约0.5mm之间,例如为约0.3mm。本文中,偏置电极204使用外部导体(诸如,传输线206)电耦合到脉冲偏置发生器240。在本公开内容的文本中较早地详细描述了脉冲偏置发生器240及其部件。如以上所提及,可选择电介质材料和层厚度以使得电介质材料层的电容Ce例如介于约5nF与约12nF之间,诸如介于约7nF与约10nF之间。
通常,处理腔室200的处理容积226中的低中性填充压力导致设置在其中的表面之间(诸如,在ESC基板支撑件205的电介质材料与设置在其基板接收表面上的基板203之间)的不良热传导,这降低了ESC基板支撑件205在加热或冷却基板203方面的有效性。因此,在一些工艺中,将导热惰性传热气体(通常为氦)引入设置在基板203的非元件侧与ESC基板支撑件205的基板接收表面之间的真空(未示出),以改进其间的热传递。由传热气源(未示出)提供的传热气体通过被设置成穿过支撑基座207并进一步被设置成穿过ESC基板支撑件205的气体连通路径(未示出)流到后侧容积。
处理腔室200进一步包括系统控制器232。本文中的系统控制器232包括中央处理单元(CPU)233、存储器234和支持电路235。系统控制器232用于控制用于处理包括本文所述的基板偏置方法的基板203的处理序列。CPU 233为通用计算机处理器,其被配置为用在用于控制处理腔室和与之有关的子处理器的工业环境中。本文所述的存储器234可以包括随机存取存储器、只读存储器、软盘或硬盘、或者本地或远程的其他合适形式的数字存储器。支持电路235常规上耦合到CPU 233,并且包括高速缓存、时钟电路、输入/输出系统、电源等、以及其组合。软件指令和数据可以被编码并存储在存储器234中,用于给CPU 233内的处理器下指令。系统控制器232可读的程序(或计算机指令)确定哪些任务可由处理腔室200中的部件执行。优选可由系统控制器232读取的程序包括代码,所述代码在由处理器执行时执行与监视和执行本文所述的电极偏置方案有关的任务。所述程序将包括用于控制处理腔室200内的各种硬件和电部件的指令,以执行用于实现本文所述的电极偏置方案的各种处理任务和各种处理序列。
虽然前文针对本公开内容的实施方式,但是可以在不脱离本公开内容的基本范围的情况下设计本公开内容的其他和另外的实施方式,并且本公开内容的范围由所附权利要求确定。

Claims (73)

1.一种处理基板的方法,包括:
在基板的表面之上产生等离子体,所述基板设置于基板支撑组件上;和
使用偏置发生器来偏置设置在所述基板支撑组件内的偏置电极,所述偏置发生器使用发生器耦合组件电耦合到电导体的发生器端,所述电导体的电极端使用电极耦合组件电耦合到所述偏置电极,所述偏置发生器能够以纳秒时间量级进行脉冲并用于在所述偏置电极处建立脉冲电压波形,并且所述脉冲电压波形包括一系列重复循环,
其中所述一系列重复循环中的每个循环内的波形具有在第一时间间隔期间发生的第一部分和在第二时间间隔期间发生的第二部分,
其中正电压脉冲仅在所述第一时间间隔期间存在,所述第一时间间隔小于所述一系列重复循环中的循环的持续时间的20%,并且所述第二部分包括由来自所述等离子体的离子电流确定的基本上恒定的电压,
其中所述偏置发生器包括:
脉冲发生器,所述脉冲发生器电耦合到所述电导体的所述发生器端;和
电流返回输出级,其中
所述电流返回输出级的第一端电耦合到所述电导体的所述发生器端,和
所述电流返回输出级的第二端电耦合到接地,和
其中,在所述第二时间间隔的至少一部分期间,电流通过所述电流返回输出级从所述偏置电极流到接地。
2.如权利要求1所述的方法,其中:
所述波形的所述第一部分进一步包括正电压脉冲,所述正电压脉冲导致鞘层电压降的恢复,其中鞘层在所述第一时间间隔的结束处形成于所述基板的面向等离子体的表面之上。
3.如权利要求1所述的方法,其中所述第一时间间隔具有介于200ns与400ns之间的持续时间。
4.如权利要求3所述的方法,其中所述一系列重复循环中的所述循环具有介于2μs与3μs之间的持续时间。
5.如权利要求1所述的方法,其中所述正电压脉冲介于0.1kV与10kV之间。
6.如权利要求1所述的方法,其中所述偏置电极通过电介质材料的层与所述基板支撑组件的基板支撑表面间隔开,并且其中包括所述偏置电极和所述电介质材料的所述层的平行板状结构具有介于5nF与50nF之间的有效电容。
7.如权利要求1所述的方法,进一步包括:
使用夹持电源将DC电压施加到所述偏置电极,所述夹持电源使用电源耦合组件电耦合到所述电导体的所述发生器端。
8.如权利要求7所述的方法,其中所述电源耦合组件包括阻塞电阻器,所述阻塞电阻器具有大于1兆欧的电阻。
9.如权利要求1所述的方法,其中所述电导体进一步包括第一电导体和第二电导体,所述第一电导体和所述第二电导体串联电耦合,其中所述第一电导体的一端电耦合到所述偏置发生器的输出,并且所述第二电导体的一端电耦合到所述偏置电极。
10.如权利要求1所述的方法,其中所述脉冲发生器的第一端电耦合到所述电导体的所述发生器端,并且所述脉冲发生器的第二端电耦合到接地。
11.如权利要求1所述的方法,其中所述发生器耦合组件包括选自由电容器、串联的电容器与电导体、电感器以及串联的电感器与电导体所组成的群组中的部件。
12.如权利要求1所述的方法,其中所述电极耦合组件包括选自由电容器、串联的电容器与电导体、电感器以及串联的电感器与电导体所组成的群组中的部件。
13.如权利要求1所述的方法,其中所述发生器耦合组件包括电容器,所述电容器具有在40nF至80nF的范围内的电容。
14.一种处理腔室,包括:
基板支撑组件,所述基板支撑组件包括偏置电极,所述偏置电极通过电介质材料的层与所述基板支撑组件的基板支撑表面分离开;和
偏置发生器,所述偏置发生器使用发生器耦合组件电耦合到电导体的发生器端,并且所述电导体的电极端使用电极耦合组件电耦合到所述偏置电极,所述偏置发生器能够以纳秒时间量级进行脉冲并用于在所述偏置电极处建立脉冲电压波形,并且所述脉冲电压波形包括一系列重复循环,
其中所述一系列重复循环中的每个循环内的波形具有在第一时间间隔期间发生的第一部分和在第二时间间隔期间发生的第二部分,
其中正电压脉冲仅在所述第一时间间隔期间存在,所述第一时间间隔小于所述一系列重复循环中的循环的持续时间的20%,并且所述第二部分包括由来自等离子体的离子电流确定的基本上恒定的电压,
其中所述偏置发生器包括:
脉冲发生器,所述脉冲发生器电耦合到所述电导体的所述发生器端;和
电流返回输出级,其中
所述电流返回输出级的第一端电耦合到所述电导体的所述发生器端,和
所述电流返回输出级的第二端电耦合到接地,和
其中所述电导体包括第一电导体和第二电导体,所述第一电导体和所述第二电导体串联电耦合,其中所述第一电导体的一端使用所述发生器耦合组件电耦合到所述偏置发生器的输出,并且所述第二电导体的一端使用所述电极耦合组件电耦合到所述偏置电极。
15.如权利要求14所述的处理腔室,进一步包括:
电感耦合的等离子体源或电容耦合的等离子体源,所述电感耦合的等离子体源或电容耦合的等离子体源被配置为在所述基板支撑组件的所述基板支撑表面之上产生等离子体,和
所述脉冲发生器的电压源,所述脉冲发生器的所述电压源包括基本上恒定的电压源。
16.如权利要求14所述的处理腔室,进一步包括:
夹持电源,所述夹持电源使用电源耦合组件电耦合到所述电导体的所述发生器端。
17.如权利要求16所述的处理腔室,其中所述电源耦合组件包括阻塞电阻器,所述阻塞电阻器具有大于1兆欧的电阻。
18.如权利要求14所述的处理腔室,其中包括所述偏置电极和所述电介质材料的所述层的平行板状结构具有介于5nF与50nF之间的有效电容。
19.如权利要求14所述的处理腔室,其中所述电介质材料的所述层具有介于0.1mm与1mm之间的厚度。
20.如权利要求14所述的处理腔室,其中所述脉冲发生器的第一端电耦合到所述电导体的所述发生器端,并且所述脉冲发生器的第二端电耦合到接地。
21.如权利要求14所述的处理腔室,其中所述发生器耦合组件包括选自由电容器、串联的电容器与电导体、电感器以及串联的电感器与电导体所组成的群组中的部件。
22.如权利要求14所述的处理腔室,其中所述电极耦合组件包括选自由电容器、串联的电容器与电导体、电感器以及串联的电感器与电导体所组成的群组中的部件。
23.一种处理腔室,包括:
基板支撑组件,所述基板支撑组件包括偏置电极,所述偏置电极通过电介质材料的层与所述基板支撑组件的基板支撑表面分离开;
偏置发生器,所述偏置发生器使用发生器耦合组件电耦合到电导体的发生器端,并且所述电导体的电极端使用电极耦合组件电耦合到所述偏置电极,所述偏置发生器能够以纳秒时间量级进行脉冲,其中所述偏置发生器包括:
脉冲发生器,所述脉冲发生器电耦合到所述电导体的所述发生器端;和
电流返回输出级,其中
所述电流返回输出级的第一端电耦合到所述电导体的所述发生器端,和
所述电流返回输出级的第二端电耦合到接地,和
计算机可读介质,所述计算机可读介质具有存储于所述计算机可读介质上的指令,所述指令用于在由处理器执行时执行处理基板的方法,所述方法包括:
在基板的表面之上产生等离子体,所述基板设置于所述基板支撑组件上;和
使用所述偏置发生器来偏置所述偏置电极,
其中偏置所述偏置电极在所述偏置电极处建立了脉冲电压波形,和其中
所述脉冲电压波形包括一系列重复循环,
所述一系列重复循环中的每个循环内的波形具有在第一时间间隔期间发生的第一部分和在第二时间间隔期间发生的第二部分,和
正电压脉冲仅存在于所述第一时间间隔期间,所述第一时间间隔小于所述一系列重复循环中的循环的持续时间的20%,并且所述第二部分包括由来自所述等离子体的离子电流确定的基本上恒定的电压,
其中所述电导体包括第一电导体和第二电导体,所述第一电导体和第二电导体串联电耦合,其中所述第一电导体的一端使用所述发生器耦合组件电耦合到所述偏置发生器的输出,并且所述第二电导体的一端使用所述电极耦合组件电耦合到所述偏置电极。
24.如权利要求23所述的处理腔室,其中
所述正电压脉冲导致鞘层电压降的恢复,所述鞘层电压降在所述第一时间间隔的结束处形成在所述基板的面向等离子体的表面之上,和
在所述第二时间间隔的至少一部分期间,电流通过所述电流返回输出级从所述偏置电极流到接地。
25.如权利要求23所述的处理腔室,其中所述第一时间间隔具有介于200ns与400ns之间的持续时间。
26.如权利要求23所述的处理腔室,其中所述一系列重复循环中的所述循环具有拥有介于2μs与3μs之间的持续时间的周期。
27.如权利要求23所述的处理腔室,其中所述脉冲发生器的第一端电耦合到所述电导体的所述发生器端,并且所述脉冲发生器的第二端电耦合到接地。
28.如权利要求23所述的处理腔室,其中所述发生器耦合组件包括选自由电容器、串联的电容器与电导体、电感器以及串联的电感器与电导体所组成的群组中的部件。
29.如权利要求23所述的处理腔室,其中所述电极耦合组件包括选自由电容器、串联的电容器与电导体、电感器以及串联的电感器与电导体所组成的群组中的部件。
30.如权利要求23所述的处理腔室,其中所述发生器耦合组件包括电容器,所述电容器具有在40nF至80nF的范围内的电容。
31.一种处理腔室,包括:
基板支撑组件,所述基板支撑组件包括偏置电极和基板支撑表面,其中所述偏置电极通过电介质材料的层与所述基板支撑表面分离开,其中所述层具有介于0.1mm与1mm之间的厚度;和
偏置发生器,所述偏置发生器使用发生器耦合组件电耦合到电导体的发生器端,并且所述电导体的电极端使用电极耦合组件电耦合到所述偏置电极,
其中所述偏置发生器能够以纳秒时间量级进行脉冲并被配置为在所述偏置电极处建立脉冲电压波形,并且所述脉冲电压波形包括一系列重复循环,
其中所述一系列重复循环中的每个循环内的波形具有在第一时间间隔期间发生的第一部分和在第二时间间隔期间发生的第二部分,
其中正电压脉冲仅在所述第一时间间隔期间存在,所述第一时间间隔小于所述一系列重复循环中的循环的持续时间的20%,并且所述第二部分包括由来自等离子体的离子电流确定的基本上恒定的电压,
其中所述偏置发生器包括:
脉冲发生器,所述脉冲发生器电耦合到所述电导体的所述发生器端;和
电流返回输出级,其中
所述电流返回输出级的第一端电耦合到所述电导体的所述发生器端,和
所述电流返回输出级的第二端电耦合到接地。
32.如权利要求31所述的处理腔室,进一步包括:
夹持电源,所述夹持电源使用电源耦合组件电耦合到所述电导体的所述发生器端。
33.如权利要求32所述的处理腔室,其中所述电源耦合组件包括阻塞电阻器,所述阻塞电阻器具有大于1兆欧的电阻。
34.如权利要求31所述的处理腔室,其中包括所述偏置电极和所述电介质材料的所述层的平行板状结构具有介于5nF与50nF之间的有效电容。
35.如权利要求31所述的处理腔室,其中所述基板支撑组件进一步包括基板支撑件和支撑基座,其中所述基板支撑件包括所述电介质材料的所述层。
36.如权利要求35所述的处理腔室,其中
所述基板支撑件具有第二表面,所述第二表面定位为与所述基板支撑表面相对,
所述支撑基座定位为与所述第二表面相邻,并且所述支撑基座包括多个冷却通道,所述多个冷却通道被配置为接收来自冷却剂源的流体,和
所述基板支撑组件进一步包括绝缘器板,所述绝缘器板设置在接地板与所述支撑基座之间。
37.如权利要求35所述的处理腔室,其中所述偏置电极设置在所述基板支撑组件的所述基板支撑件内。
38.如权利要求35所述的处理腔室,其中所述基板支撑件具有第二表面,所述第二表面定位在所述基板支撑表面下方并且与所述基板支撑表面相对,并且所述偏置电极设置在所述第二表面下方。
39.如权利要求35所述的处理腔室,其中所述支撑基座被配置为用作偏置电极。
40.如权利要求31所述的处理腔室,其中所述脉冲发生器的第一端电耦合到所述电导体的所述发生器端,并且所述脉冲发生器的第二端电耦合到接地。
41.如权利要求31所述的处理腔室,其中所述发生器耦合组件包括选自由以下部件所组成的群组中的部件:电容器;串联的电容器与电导体;电感器;以及
串联的电感器与电导体。
42.如权利要求31所述的处理腔室,其中所述发生器耦合组件或所述电极耦合组件包括电导体。
43.如权利要求31所述的处理腔室,其中所述电极耦合组件包括选自由以下部件所组成的群组中的部件:电容器;串联的电容器与电导体;电感器;以及串联的电感器与电导体。
44.如权利要求31所述的处理腔室,其中所述发生器耦合组件包括电容器,所述电容器具有在40nF至80nF的范围内的电容。
45.如权利要求31所述的处理腔室,其中所述电导体包括第一电导体和第二电导体,所述第一电导体和第二电导体串联电耦合,其中所述第一电导体的一端使用所述发生器耦合组件电耦合到所述偏置发生器的输出,并且所述第二电导体的一端使用所述电极耦合组件电耦合到所述偏置电极。
46.一种处理基板的方法,包括:
在基板的表面之上产生等离子体,所述基板设置于基板支撑组件的基板支撑表面上;和
使用偏置发生器来偏置设置在所述基板支撑组件内的偏置电极,所述偏置发生器使用发生器耦合组件电耦合到电导体的发生器端,并且所述电导体的第二端使用电极耦合组件电耦合到所述偏置电极,其中
所述偏置电极通过电介质材料的层与所述基板支撑表面分离开,其中所述层具有介于0.1mm与1mm之间的厚度;
所述偏置发生器能够以纳秒时间量级进行脉冲并被配置为在所述偏置电极处建立脉冲电压波形,并且所述脉冲电压波形包括一系列重复循环,
所述一系列重复循环中的每个循环内的波形具有在第一时间间隔期间发生的第一部分和在第二时间间隔期间发生的第二部分,
正电压脉冲仅在所述第一时间间隔期间存在,所述第一时间间隔小于所述一系列重复循环中的循环的持续时间的20%,并且所述第二部分包括由来自所述等离子体的离子电流确定的基本上恒定的电压,和
所述偏置发生器包括:
脉冲发生器,所述脉冲发生器电耦合到所述电导体的所述发生器端;和
电流返回输出级,其中
所述电流返回输出级的第一端电耦合到所述电导体的所述发生器端,和
所述电流返回输出级的第二端电耦合到接地,以及
其中,在所述第二时间间隔的至少一部分期间,电流通过所述电流返回输出级从所述偏置电极流到接地。
47.如权利要求46所述的方法,其中包括所述偏置电极和电介质材料的所述层的平行板状结构具有介于5nF与50nF之间的有效电容。
48.如权利要求46所述的方法,进一步包括:
夹持电源,所述夹持电源使用电源耦合组件电耦合到所述电导体的所述发生器端。
49.如权利要求48所述的方法,其中所述电源耦合组件包括阻塞电阻器,所述阻塞电阻器具有大于1兆欧的电阻。
50.如权利要求46所述的方法,其中所述电导体包括第一电导体和第二电导体,所述第一电导体和第二电导体串联电耦合,其中所述第一电导体的一端使用所述发生器耦合组件电耦合到所述偏置发生器的输出,并且所述第二电导体的一端使用所述电极耦合组件电耦合到所述偏置电极。
51.如权利要求46所述的方法,其中所述基板支撑组件进一步包括基板支撑件和支撑基座,其中所述基板支撑件包括所述电介质材料的所述层。
52.如权利要求51所述的方法,其中
所述基板支撑件具有第二表面,所述第二表面定位为与所述基板支撑表面相对,
所述支撑基座定位为与所述第二表面相邻,并且所述支撑基座包括多个冷却通道,所述多个冷却通道被配置为接收来自冷却剂源的流体,和
所述基板支撑组件进一步包括绝缘器板,所述绝缘器板设置在接地板与所述支撑基座之间。
53.如权利要求51所述的方法,其中所述偏置电极设置在所述基板支撑组件的所述基板支撑件内。
54.如权利要求51所述的方法,其中所述基板支撑件具有第二表面,所述第二表面定位在所述基板支撑表面下方并且与所述基板支撑表面相对,并且所述偏置电极设置在所述第二表面下方。
55.如权利要求51所述的方法,其中所述支撑基座被配置为用作偏置电极。
56.如权利要求46所述的方法,其中所述脉冲发生器的第一端电耦合到所述电导体的所述发生器端,并且所述脉冲发生器的第二端电耦合到接地。
57.如权利要求46所述的方法,其中所述发生器耦合组件包括选自由电容器、串联的电容器与电导体、电感器以及串联的电感器与电导体所组成的群组中的部件。
58.如权利要求46所述的方法,其中所述发生器耦合组件或所述电极耦合组件包括电导体。
59.如权利要求46所述的方法,其中所述电极耦合组件包括选自由电容器、串联的电容器与电导体、电感器以及串联的电感器与电导体所组成的群组中的部件。
60.如权利要求46所述的方法,其中所述发生器耦合组件包括电容器,所述电容器具有在40nF至80nF的范围内的电容。
61.一种处理基板的方法,包括:
在基板的面向等离子体的表面之上产生等离子体,所述基板设置于基板支撑组件上;和
使用偏置发生器来偏置设置在所述基板支撑组件内的偏置电极,所述偏置发生器电耦合到电导体的发生器端,所述电导体的电极端电耦合到所述偏置电极,其中所述偏置发生器能够以纳秒时间量级进行脉冲并用于在所述偏置电极处建立脉冲电压波形,
其中所述偏置发生器包括:
脉冲发生器,所述脉冲发生器电耦合到所述电导体的所述发生器端;和
电流返回输出级,其中
所述电流返回输出级的第一端电耦合到所述电导体的所述发生器端,和
所述电流返回输出级的第二端电耦合到接地,和
其中所述脉冲电压波形具有一系列重复循环,使得
每个循环内的波形具有在第一时间间隔期间发生的第一部分和在第二时间间隔期间发生的第二部分,
正电压脉冲仅在所述第一时间间隔期间存在,
所述脉冲电压波形在所述第二时间间隔的至少一部分期间是基本上恒定的,其中所述第二部分包括由来自所述等离子体的离子电流确定的基本上恒定的电压,和
所述第二时间间隔大于所述第一时间间隔,其中所述第一时间间隔小于所述一系列重复循环中的循环的持续时间的20%。
62.如权利要求61所述的方法,其中:
所述第一部分进一步包括正电压脉冲,所述正电压脉冲导致鞘层电压降的恢复,其中鞘层在所述第一部分的结束处形成于所述基板的面向等离子体的所述表面之上。
63.如权利要求62所述的方法,其中在所述一系列重复循环中的一个循环期间形成的鞘层电压降基本上等于在所述一系列重复循环中的后一个循环期间形成的鞘层电压降。
64.如权利要求61所述的方法,其中所述第二部分进一步包括DC夹持电压。
65.如权利要求61所述的方法,其中
形成在所产生的等离子体内的局部等离子体电势是在不存在等离子体鞘层的情况下与所述基板的所述面向等离子的表面相邻的所述等离子体中的电势或者是在存在等离子体鞘层的情况下与等离子体鞘层边界相邻的所述等离子体中的电势,和
所述第一部分进一步包括:
正电压跳变,所述正电压跳变在具有鞘层塌陷持续时间的鞘层塌陷阶段期间导致鞘层塌陷,其中在所述鞘层塌陷持续时间的结束处,所述基板的所述表面处的电势基本上等于所产生的等离子体的所述局部等离子体电势;和
负电压跳变,所述负电压跳变在具有鞘层形成持续时间的鞘层形成阶段期间导致鞘层形成,其中在所述鞘层形成持续时间的结束处,具有鞘层电压降的鞘层形成在所述基板的所述面向等离子体的表面之上。
66.如权利要求61所述的方法,其中所述第一时间间隔介于200ns与400ns之间。
67.如权利要求61所述的方法,其中所述偏置发生器的脉冲发生器的第一端电耦合到电导体的所述发生器,并且所述脉冲发生器的第二端电耦合到接地。
68.如权利要求61所述的方法,其中所述偏置发生器使用发生器耦合组件电耦合到所述电导体的所述发生器端,并且所述发生器耦合组件包括选自由以下部件所组成的群组中的部件:电容器;串联的电容器与电导体;电感器;以及
串联的电感器与电导体。
69.如权利要求61所述的方法,其中所述偏置发生器使用发生器耦合组件电耦合到所述电导体的所述发生器端,并且所述发生器耦合组件包括电导体。
70.如权利要求61所述的方法,其中所述电导体使用电极耦合组件电耦合到所述偏置电极,并且所述电极耦合组件包括选自由以下部件所组成的群组中的部件:电容器;串联的电容器与电导体;电感器;以及串联的电感器与电导体。
71.如权利要求61所述的方法,其中所述电导体使用电极耦合组件电耦合到所述偏置电极,并且所述电极耦合组件包括电导体。
72.如权利要求61所述的方法,其中所述偏置发生器使用发生器耦合组件电耦合到所述电导体的所述发生器端,并且所述发生器耦合组件包括电容器,所述电容器具有介于40nF至80nF的范围内的电容。
73.如权利要求61所述的方法,其中所述第一部分进一步包括:
正电压跳变,所述正电压跳变在具有鞘层塌陷持续时间的鞘层塌陷阶段期间导致鞘层塌陷,其中在所述鞘层塌陷持续时间的结束处,所述基板的所述表面处的电势基本上等于所产生的等离子体的局部等离子体电势;和
负电压跳变,所述负电压跳变在具有鞘层形成持续时间的鞘层形成阶段期间导致鞘层形成,其中在所述鞘层形成持续时间的结束处,具有鞘层电压降的鞘层形成在所述基板的所述面向等离子体的表面之上。
CN201910392379.8A 2018-05-10 2019-05-10 通过控制离子能量分布来处理基板的方法和处理腔室 Active CN110473762B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202410192697.0A CN118016500A (zh) 2018-05-10 2019-05-10 通过控制离子能量分布来处理基板的方法和处理腔室

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/976,728 US10555412B2 (en) 2018-05-10 2018-05-10 Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US15/976,728 2018-05-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202410192697.0A Division CN118016500A (zh) 2018-05-10 2019-05-10 通过控制离子能量分布来处理基板的方法和处理腔室

Publications (2)

Publication Number Publication Date
CN110473762A CN110473762A (zh) 2019-11-19
CN110473762B true CN110473762B (zh) 2024-03-05

Family

ID=68165071

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202410192697.0A Pending CN118016500A (zh) 2018-05-10 2019-05-10 通过控制离子能量分布来处理基板的方法和处理腔室
CN201910392379.8A Active CN110473762B (zh) 2018-05-10 2019-05-10 通过控制离子能量分布来处理基板的方法和处理腔室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202410192697.0A Pending CN118016500A (zh) 2018-05-10 2019-05-10 通过控制离子能量分布来处理基板的方法和处理腔室

Country Status (4)

Country Link
US (5) US10555412B2 (zh)
JP (4) JP7382155B2 (zh)
KR (2) KR20190129745A (zh)
CN (2) CN118016500A (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10483089B2 (en) * 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN110504149B (zh) * 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 射频电源的脉冲调制系统及方法
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
EP3834285A4 (en) 2018-08-10 2022-07-20 Eagle Harbor Technologies, Inc. PLASMA JACKET CONTROL FOR RF PLASMA REACTORS
KR102509641B1 (ko) * 2018-08-28 2023-03-16 삼성전자주식회사 플라즈마 챔버의 rf 센싱 장치 및 이를 포함하는 플라즈마 챔버
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113906677A (zh) 2019-01-08 2022-01-07 鹰港科技有限公司 纳秒脉冲发生器电路中的高效能量恢复
JP7451540B2 (ja) * 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
JP6960421B2 (ja) * 2019-01-23 2021-11-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
EP4082036A4 (en) * 2019-12-24 2023-06-07 Eagle Harbor Technologies, Inc. NANOSECOND PULSE RF ISOLATION FOR PLASMA SYSTEMS
JP7413095B2 (ja) * 2020-03-13 2024-01-15 東京エレクトロン株式会社 プラズマ処理装置
KR20230035114A (ko) * 2020-07-09 2023-03-10 이글 하버 테크놀로지스, 인코포레이티드 이온 전류 드룹 보상
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20240007234A (ko) * 2021-05-12 2024-01-16 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 동안의 자동 정전 척 바이어스 보상
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4622094A (en) * 1983-12-14 1986-11-11 Hitachi, Ltd. Method of controlling dry etching by applying an AC voltage to the workpiece
JPH09129621A (ja) * 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
CN102446737A (zh) * 2010-09-30 2012-05-09 株式会社东芝 基板处理方法和基板处理设备
CN104756238A (zh) * 2012-08-28 2015-07-01 先进能源工业公司 控制开关模式离子能量分布系统的方法

Family Cites Families (555)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) * 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
JPH08264509A (ja) * 1995-03-27 1996-10-11 Hitachi Ltd 表面処理方法および表面処理装置
JP3085151B2 (ja) * 1995-07-13 2000-09-04 株式会社日立製作所 プラズマ処理方法および装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
KR100560886B1 (ko) 1997-09-17 2006-03-13 동경 엘렉트론 주식회사 가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템및 방법
JP2001520433A (ja) 1997-10-15 2001-10-30 東京エレクトロン株式会社 加速された粒子を発生させる装置並びに方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
WO2000017920A1 (fr) 1998-09-18 2000-03-30 Tokyo Electron Limited Procede de traitement au plasma
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) * 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
EP1203441A1 (en) 1999-07-13 2002-05-08 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
EP1282909A1 (en) 1999-08-02 2003-02-12 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP4578651B2 (ja) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP4718093B2 (ja) 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DE60101209T2 (de) 2001-04-27 2004-09-02 European Community Verfahren und Vorrichtung zur sequentiellen Plasmabehandlung
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10296932T5 (de) * 2001-08-27 2004-10-14 Matsushita Electric Industrial Co. Limited, Kadoma Plasmabehandlungeinrichtung und Plasmabehandlungsverfahren
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
AU2002337812A1 (en) 2001-10-31 2003-05-12 Tokyo Electron Limited Method of etching high aspect ratio features
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US7633319B2 (en) 2003-11-28 2009-12-15 Advantest Corporation Digital QP detecting apparatus, spectrum analyzer having the same, and digital QP detecting method
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
WO2006036846A1 (en) 2004-09-24 2006-04-06 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
KR20080107473A (ko) 2004-11-04 2008-12-10 가부시키가이샤 알박 정전 척 장치
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
PL1701376T3 (pl) 2005-03-10 2007-04-30 Huettinger Elektronik Gmbh Co Kg Próżniowy generator plazmowy
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
CN101053283A (zh) 2005-05-13 2007-10-10 松下电器产业株式会社 电介质阻挡放电灯点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CN101405924B (zh) 2006-01-23 2012-07-11 奥德拉国际销售公司 用于受限电源的功率供应设备以及使用功率供应设备的音频放大器
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
DE502006005363D1 (de) 2006-11-23 2009-12-24 Huettinger Elektronik Gmbh Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US8435389B2 (en) 2006-12-12 2013-05-07 Oc Oerlikon Balzers Ag RF substrate bias with high power impulse magnetron sputtering (HIPIMS)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
ATE493749T1 (de) 2007-03-08 2011-01-15 Huettinger Elektronik Gmbh Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
WO2009069670A1 (ja) 2007-11-26 2009-06-04 Tokyo Electron Limited 微小構造体検査装置および微小構造体検査方法
WO2009073361A1 (en) 2007-11-29 2009-06-11 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
WO2010082561A1 (ja) 2009-01-13 2010-07-22 リバーベル株式会社 プラズマ生成装置及び方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101322539B1 (ko) 2009-08-07 2013-10-28 가부시끼가이샤교산세이사꾸쇼 펄스 변조 고주파 전력 제어 방법 및 펄스 변조 고주파 전원 장치
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
CN102056395B (zh) * 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
US9592090B2 (en) 2010-03-11 2017-03-14 Medtronic Advanced Energy Llc Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
CA3201524A1 (en) 2010-08-31 2012-03-08 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
JP5689283B2 (ja) * 2010-11-02 2015-03-25 東京エレクトロン株式会社 基板処理方法及びその方法を実行するプログラムを記憶する記憶媒体
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
TWI478234B (zh) 2011-03-04 2015-03-21 Tokyo Electron Ltd 氮化矽膜之蝕刻方法
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI594667B (zh) 2011-10-05 2017-08-01 應用材料股份有限公司 對稱電漿處理腔室
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
US9209034B2 (en) 2012-02-01 2015-12-08 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
TWI576890B (zh) 2012-02-20 2017-04-01 Tokyo Electron Ltd Power supply system, plasma processing device and plasma processing method
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
JP6201753B2 (ja) 2012-03-30 2017-09-27 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN104755423B (zh) 2012-08-15 2017-12-08 洛克希德马丁尖端能量存储有限公司 高溶解度的六氰合铁
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
KR102149718B1 (ko) 2012-11-01 2020-08-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
WO2014132099A1 (en) 2013-02-28 2014-09-04 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20140262755A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Uv-assisted reactive ion etch for copper
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9711335B2 (en) 2013-07-17 2017-07-18 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (DMS) processes
JP6441927B2 (ja) 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) * 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
US9593421B2 (en) 2013-11-06 2017-03-14 Applied Materials, Inc. Particle generation suppressor by DC bias modulation
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11171568B2 (en) 2017-02-07 2021-11-09 Eagle Harbor Technologies, Inc. Transformer resonant converter
CN106105033B (zh) 2013-11-14 2019-04-12 鹰港科技有限公司 高压纳秒脉冲发生器
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR101907375B1 (ko) 2014-03-24 2018-10-12 어드밴스드 에너지 인더스트리즈 인코포레이티드 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
CN105474381B (zh) 2014-07-23 2018-06-05 应用材料公司 可调谐温度受控的基板支撑组件
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
WO2017095890A1 (en) 2015-11-30 2017-06-08 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
SG11201808603VA (en) * 2016-03-31 2018-10-30 Tokyo Electron Ltd Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
TWI757334B (zh) 2016-09-06 2022-03-11 日商東京威力科創股份有限公司 準原子層蝕刻方法
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) * 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3563646A4 (en) 2016-12-30 2020-01-22 Eagle Harbor Technologies, Inc. INDUCTIVE HIGH VOLTAGE ADDER
CN108281342B (zh) 2017-01-05 2020-01-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
KR20190121864A (ko) 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
EP3832691A1 (en) 2017-03-31 2021-06-09 Eagle Harbor Technologies, Inc. Method of plasma processing a substrate and plasma processing chamber
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
US11658354B2 (en) 2017-05-30 2023-05-23 Titan Advanced Energy Solutions, Inc. Battery life assessment and capacity restoration
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
WO2019036587A1 (en) 2017-08-17 2019-02-21 Tokyo Electron Limited APPARATUS AND METHOD FOR REAL-TIME DETECTION OF PROPERTIES IN INDUSTRIAL MANUFACTURING EQUIPMENT
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102466195B1 (ko) 2017-08-25 2022-11-11 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10475622B2 (en) 2017-09-26 2019-11-12 Advanced Energy Industries, Inc. System and method for plasma ignition
JP6518024B1 (ja) 2017-10-30 2019-05-22 日本碍子株式会社 静電チャック及びその製法
WO2019088204A1 (ja) 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
WO2019099102A1 (en) 2017-11-16 2019-05-23 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
JP7235761B2 (ja) 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11137372B2 (en) 2018-03-08 2021-10-05 Eagle Harbor Technologies, Inc. Eddy current nondestructive evaluation device with rotating permanent magnets and pickup coils
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
US11569072B2 (en) 2018-05-03 2023-01-31 Applied Materials, Inc. RF grounding configuration for pedestals
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
EP3834285A4 (en) 2018-08-10 2022-07-20 Eagle Harbor Technologies, Inc. PLASMA JACKET CONTROL FOR RF PLASMA REACTORS
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
CN113273074A (zh) 2018-11-14 2021-08-17 先进工程解决方案全球控股私人有限公司 用于设定点跟踪中的最小延迟的交错开关模式功率级的加性合成
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
CN113906677A (zh) 2019-01-08 2022-01-07 鹰港科技有限公司 纳秒脉冲发生器电路中的高效能量恢复
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
WO2020243023A1 (en) 2019-05-24 2020-12-03 Eagle Harbor Technologies, Inc. Klystron driver
JP7405875B2 (ja) 2019-07-02 2023-12-26 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサのrf絶縁
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
CN114762251A (zh) 2019-09-25 2022-07-15 鹰港科技有限公司 具有能量恢复的非线性传输线高电压脉冲锐化
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
EP4082036A4 (en) 2019-12-24 2023-06-07 Eagle Harbor Technologies, Inc. NANOSECOND PULSE RF ISOLATION FOR PLASMA SYSTEMS

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4622094A (en) * 1983-12-14 1986-11-11 Hitachi, Ltd. Method of controlling dry etching by applying an AC voltage to the workpiece
JPH09129621A (ja) * 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
CN102446737A (zh) * 2010-09-30 2012-05-09 株式会社东芝 基板处理方法和基板处理设备
CN104756238A (zh) * 2012-08-28 2015-07-01 先进能源工业公司 控制开关模式离子能量分布系统的方法

Also Published As

Publication number Publication date
US11284500B2 (en) 2022-03-22
US10555412B2 (en) 2020-02-04
US10448495B1 (en) 2019-10-15
KR20190129745A (ko) 2019-11-20
JP2019197890A (ja) 2019-11-14
TW201948006A (zh) 2019-12-16
JP7372050B2 (ja) 2023-10-31
JP2023145535A (ja) 2023-10-11
US10791617B2 (en) 2020-09-29
CN110473762A (zh) 2019-11-19
JP2019197891A (ja) 2019-11-14
US20200154556A1 (en) 2020-05-14
KR20190129773A (ko) 2019-11-20
US10448494B1 (en) 2019-10-15
US20190350072A1 (en) 2019-11-14
US20200352017A1 (en) 2020-11-05
CN118016500A (zh) 2024-05-10
JP2019197892A (ja) 2019-11-14
JP7382155B2 (ja) 2023-11-16
JP7316091B2 (ja) 2023-07-27

Similar Documents

Publication Publication Date Title
CN110473762B (zh) 通过控制离子能量分布来处理基板的方法和处理腔室
US11462388B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
CN113169026A (zh) 用于控制脉冲电压波形的反馈回路
US11476090B1 (en) Voltage pulse time-domain multiplexing
CN116097393A (zh) 用于等离子体处理应用的脉冲电压源
TW202301913A (zh) 離子電流補償的設備及方法
TWI838371B (zh) 處理基板的處理腔室與方法
KR20230026506A (ko) 기판 프로세싱을 위한 펄스식 전압 부스트
CN117425945A (zh) 等离子体腔室和腔室部件清洁方法
CN116261768A (zh) 使用脉冲等离子体增强蚀刻选择性的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant