KR20230026506A - 기판 프로세싱을 위한 펄스식 전압 부스트 - Google Patents

기판 프로세싱을 위한 펄스식 전압 부스트 Download PDF

Info

Publication number
KR20230026506A
KR20230026506A KR1020237002924A KR20237002924A KR20230026506A KR 20230026506 A KR20230026506 A KR 20230026506A KR 1020237002924 A KR1020237002924 A KR 1020237002924A KR 20237002924 A KR20237002924 A KR 20237002924A KR 20230026506 A KR20230026506 A KR 20230026506A
Authority
KR
South Korea
Prior art keywords
electrode
phase
capacitive element
switch
during
Prior art date
Application number
KR1020237002924A
Other languages
English (en)
Inventor
양 양
위에 궈
카르틱 라마스와미
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230026506A publication Critical patent/KR20230026506A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본원에서 제공된 실시예들은 일반적으로 프로세싱 챔버에서 전극의 전압을 부스팅하기 위한 장치, 플라즈마 프로세싱 시스템들 및 방법들을 포함한다. 예시적인 플라즈마 프로세싱 시스템은 프로세싱 챔버, 복수의 스위치들, 프로세싱 챔버에 배치된 전극, 전압 소스 및 용량성 엘리먼트를 포함한다. 전압 소스는 복수의 스위치들 중 하나를 통해 전극에 선택적으로 결합된다. 용량성 엘리먼트는 복수의 스위치들 중 하나를 통해 전극에 선택적으로 결합된다. 용량성 엘리먼트 및 전압 소스는 전극에 병렬로 결합된다. 복수의 스위치들은 제1 위상 동안 용량성 엘리먼트 및 전압 소스를 전극에 결합하고, 제2 위상 동안 용량성 엘리먼트 및 전극을 접지 노드에 결합하고, 제3 위상 동안 용량성 엘리먼트를 전극에 결합하도록 구성된다.

Description

기판 프로세싱을 위한 펄스식 전압 부스트
[0001] 본 개시내용의 실시예들은 일반적으로 반도체 디바이스 제조에 사용되는 시스템에 관한 것이다. 보다 구체적으로, 본 개시내용의 실시예들은 기판을 프로세싱하는 데 사용되는 플라즈마 프로세싱 시스템에 관한 것이다.
[0002] 고종횡비 피처들을 신뢰할 수 있게 생성하는 것은 차세대의 반도체 디바이스들에 대한 핵심 기술 난제들 중 하나이다. 고종횡비 피처들을 형성하는 하나의 방법은 프로세싱 챔버에서 플라즈마가 형성되고, 플라즈마로부터의 이온들이 기판의 표면 쪽으로 가속되어, 기판의 표면 상에 형성된 마스크 층 아래에 배치된 재료 층에 개구들이 형성되는 플라즈마-보조 에칭 프로세스를 사용한다.
[0003] 전형적인 플라즈마-보조 에칭 프로세스에서, 기판은 프로세싱 챔버에 배치된 기판 지지부 상에 포지셔닝되고, 플라즈마는 기판 위에 형성되며, 이온들이 플라즈마와 기판 표면 사이에 형성된 플라즈마 시스(plasma sheath), 즉 전자가 고갈된 구역에 걸쳐 플라즈마로부터 기판을 향해 가속된다.
[0004] 펄싱 기술들은 DC 바이어스를 설정하기 위해 대량의 플라즈마 생성 벌크 전자들을 주기적으로 그리고 갑작스럽게 소비해야 하며 소비되는 벌크 전자들의 양은 종종 생성된 플라즈마에서 발견되는 자유 전자들의 수 정도라는 것이 발견되었다. 따라서, 벌크 전자들의 소비는 플라즈마 안정성에 심각한 교란을 야기하고 때로는 플라즈마를 꺼지게 한다. 예로서, 플라즈마 프로세싱 시스템은 5e10cm-3의 플라즈마 밀도, 2cm의 갭(1인치 갭에서 시스 두께를 뺀 것, 약 0.5cm), 및 30cm의 웨이퍼 직경을 가정한다. 그러한 시스템에서, 웨이퍼 위의 볼륨에서 총 사용 가능한 전자들은 7e13이다. 또한, 200pF의 시스 커패시턴스 및 8000V의 시스 전압을 가정한다(고종횡비 에칭 애플리케이션에 대해 전형적임). 웨이퍼 표면을 충전하는 데 사용되는 전자들의 수는 약 1e13이다. 따라서, 약 수십 나노초에, 벌크 전자들의 약 15%가 플라즈마로부터 인출되어 DC 바이어스를 설정한다. 이 소비는 약 400kHz일 수 있는 펄싱 주파수에서 반복된다. 벌크 전자 소비는 플라즈마 지속 가능성 및 안정성에 대한 상당한 교란이다. 이 시나리오는 더 높은 이온 에너지들을 사용하는 진화하는 프로세스와 관련하여 단지 악화될 수 있을 뿐이다. 프로세싱 동안 플라즈마 불안정성으로 인해 생성된 플라즈마의 변동들은 WIW(with-in-wafer) 및 WTW(wafer-to-wafer) 프로세스 성능에 영향을 미칠 것이고, 따라서 디바이스 수율 및 다른 관련 프로세싱 결과들에 영향을 미칠 것이다.
[0005] 따라서, 바람직한 플라즈마-보조 에칭 프로세싱 결과들을 제공할 수 있는 플라즈마 프로세싱 및 바이어싱 방법들에 대한 당업계의 요구가 있다.
[0006] 본원에서 제공된 실시예들은 일반적으로 프로세싱 챔버에서 기판의 플라즈마 프로세싱을 위한 파형을 생성하기 위한 장치, 플라즈마 프로세싱 시스템들 및 방법들을 포함한다.
[0007] 본 개시내용의 일 실시예는 플라즈마 프로세싱 시스템에 관한 것이다. 플라즈마 프로세싱 시스템은 일반적으로 프로세싱 챔버, 복수의 스위치들, 프로세싱 챔버에 배치된 전극, 전압 소스 및 용량성 엘리먼트를 포함한다. 전압 소스는 복수의 스위치들 중 하나를 통해 전극에 선택적으로 결합된다. 용량성 엘리먼트는 복수의 스위치들 중 하나를 통해 전극에 선택적으로 결합된다. 용량성 엘리먼트 및 전압 소스는 전극에 병렬로 결합된다. 복수의 스위치들은 제1 위상 동안 전극에 용량성 엘리먼트 및 전압 소스를 결합하고, 제2 위상 동안 용량성 엘리먼트 및 전극을 접지 노드에 결합하고, 제3 위상 동안 용량성 엘리먼트를 전극에 결합하도록 구성된다.
[0008] 본 개시내용의 일 실시예는 기판을 프로세싱하는 방법에 관한 것이다. 방법은 일반적으로 제1 위상 동안 프로세싱 챔버 내에 배치된 전극에 용량성 엘리먼트 및 전압 소스를 결합하는 단계를 포함하고, 용량성 엘리먼트 및 전압 소스는 전극에 병렬로 결합된다. 방법은 또한 제2 위상 동안 용량성 엘리먼트 및 전극을 접지 노드에 결합하는 단계를 포함한다. 방법은 제3 위상 동안 전극에 용량성 요소를 결합하는 단계를 더 포함한다.
[0009] 본 개시내용의 위의 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 하고, 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0010] 도 1은 본원에서 기술된 방법들을 실행하도록 구성된 하나 이상의 실시예들에 따른 프로세싱 시스템의 개략적인 단면도이다.
[0011] 도 2a는 하나 이상의 실시예들에 따라, 프로세싱 챔버의 전극에 인가될 수 있는 전압 파형을 도시한다.
[0012] 도 2b는 프로세싱 챔버의 전극에 인가된 전압 파형으로 인해 기판 상에 설정된 전압 파형을 도시한다.
[0013] 도 3은 예시적인 IED(ion energy distribution)를 예시한다.
[0014] 도 4는 본 개시내용의 특정 실시예들에 따라 전극에서 전압 부스트를 설정할 수 있는 플라즈마 프로세싱 시스템의 기능적으로 등가의 단순화된 전기 회로를 예시한다.
[0015] 도 5는 본 개시내용의 특정 실시예들에 따른 플라즈마 프로세싱 시스템의 스위치들의 상태를 예시하는 타이밍도이다.
[0016] 도 6a 내지 도 6c는 본 개시내용의 특정 실시예들에 따라, 도 5에 묘사된 대응하는 위상들 동안 스위치들의 상태들을 예시하는 회로도들이다.
[0017] 도 7은 전극에서 부스트 전압을 설정하기 위한 방법을 예시하는 프로세스 흐름도이다.
[0018] 도 8a는 본 개시내용의 특정 실시예들에 따라 프로세싱 챔버의 전극에서 설정될 수 있는 부가적인 전압 파형을 도시한다.
[0019] 도 8b는 프로세싱 챔버의 전극에 인가된 전압 파형으로 인해 기판 상에 설정된 부가적인 전압 파형을 도시한다.
[0020] 도 9는 본 개시내용의 특정 실시예들에 따른 플라즈마 프로세싱 시스템의 부가적인 기능적으로 등가인 단순화된 전기 회로이다.
[0021] 도 10은 본 개시내용의 특정 실시예들에 따라, 부스트 커패시터를 위한 별개의 전압 소스를 갖는 플라즈마 프로세싱 시스템의 부가적인 기능적으로 등가의 단순화된 전기 회로이다.
[0022] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 양상에서 개시되는 엘리먼트들이 구체적인 설명 없이 다른 양상들에 대해 유익하게 활용될 수 있는 것으로 고려된다.
[0023] 기술 노드가 2nm를 향해 진보함에 따라, 더 큰 종횡비들을 갖는 더 작은 피처의 제조는 플라즈마 프로세싱을 위한 원자 정밀도를 수반한다. 플라즈마 이온이 중요한 역할을 하는 에칭 프로세스들에서, 이온 에너지 제어는 반도체 장비 산업의 난제이다. 종래에, RF 바이어스 기술은 정현파를 사용하여 플라즈마를 여기시키고 이온들을 가속한다.
[0024] 본 개시내용의 일부 실시예들은 일반적으로 플라즈마 전자들의 감소된 소비로 또는 소비 없이 웨이퍼 표면 전압을 증가시키기 위해 전하 펌프의 개념을 사용하는 기술들 및 장치에 관한 것이다. 특정 양상들에서, (프로세싱 챔버의 전극과 병렬로 결합된 용량성 엘리먼트와 같은) 외부 회로로부터의 전자들은 전극에서 DC 바이어스를 부스팅하는 데 사용될 수 있다. 본원에서 설명된 전극에서 DC 바이어스를 부스팅하기 위한 기술들 및 장치는 플라즈마 전자들에 대한 부하를 감소시키고 그리고/또는 더 높은 에너지 기판 프로세싱 동작들을 용이하게 할 수 있다.
플라즈마 프로세싱 시스템 예들
[0025] 도 1은 본원에서 기술된 플라즈마 프로세싱 방법들 중 하나 이상을 수행하도록 구성된 플라즈마 프로세싱 시스템(10)의 개략적인 단면도이다. 일부 실시예들에서, 프로세싱 시스템(10)은 RIE(reactive ion etch) 플라즈마 프로세싱과 같은 플라즈마-보조 에칭 프로세스들을 위해 구성된다. 그러나, 본원에서 설명된 실시예들은 또한 다른 플라즈마-보조 프로세스들 이를테면, 플라즈마-강화 증착 프로세스들 예컨대, PECVD(plasma-enhanced chemical vapor deposition) 프로세스들, PEPVD(plasma-enhanced physical vapor deposition) 프로세스들, PEALD(plasma-enhanced atomic layer deposition) 프로세스들, 플라즈마 처리 프로세싱 또는 플라즈마 기반 이온 주입 프로세싱, 예컨대, PLAD(plasma doping) 프로세싱에서 사용하도록 구성된 프로세싱 시스템들과 함께 사용될 수 있다는 것이 주목되어야 한다.
[0026] 도시된 바와 같이, 프로세싱 시스템(10)은 CCP(capacitively coupled plasma)를 형성하도록 구성되며, 여기서 프로세싱 챔버(100)는 프로세싱 볼륨(129)에 또한 배치된 하부 전극(예컨대, 기판 지지 조립체(136))을 향하는 프로세싱 볼륨(129)에 배치된 상부 전극(예컨대, 챔버 덮개(123))을 포함한다. 전형적인 CCP(capacitively coupled plasma) 프로세싱 시스템에서, 상부 또는 하부 전극들 중 하나에 전기적으로 결합된 RF(radio frequency) 소스는 플라즈마(예컨대, 플라즈마(101))― 이는 상부 및 하부 전극들 각각에 용량 결합되고 그들 사이의 프로세싱 구역에 배치됨 ―를 점화 및 유지하도록 구성된 RF 신호를 전달한다. 전형적으로, 상부 또는 하부 전극들 중 대향하는 전극은 부가적인 플라즈마 여기를 위해 접지 또는 제2 RF 전원에 결합된다. 도시된 바와 같이, 프로세싱 시스템(10)은 프로세싱 챔버(100), 지지 조립체(136) 및 시스템 제어기(126)를 포함한다.
[0027] 프로세싱 챔버(100)는 전형적으로 프로세싱 볼륨(129)을 집합적으로 정의하는 챔버 덮개(123), 하나 이상의 측벽(122) 및 챔버 베이스(124)를 포함하는 챔버 바디(113)를 포함한다. 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 일반적으로 프로세싱 챔버(100)의 엘리먼트들에 대한 구조적 지지를 형성하도록 크기가 정해지고 성형된 재료들을 포함하고, 프로세싱 동안 프로세싱 챔버(100)의 프로세싱 볼륨(129)에서 유지되는 진공 환경 내에서 플라즈마(101)가 생성되는 동안 자신들에 인가되는 압력들 및 추가 에너지를 견디도록 구성된다. 일 예에서, 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 알루미늄, 알루미늄 합금 또는 스테인리스강 합금과 같은 금속으로 형성된다.
[0028] 챔버 덮개(123)를 통해 배치된 가스 유입구(128)는 하나 이상의 프로세싱 가스들을 그와 유체 연통하는 프로세싱 가스 소스(119)로부터 프로세싱 볼륨(129)으로 전달하는 데 사용된다. 기판(103)은 기판(103)의 플라즈마 프로세싱 동안 슬릿 밸브(미도시)로 밀봉된 하나 이상의 측벽들(122) 중 하나 내 개구(미도시)를 통해 프로세싱 볼륨(129) 내로 로딩되고 이로부터 제거된다.
[0029] 일부 실시예들에서, 기판 지지 조립체(136)에 형성된 개구들을 통해 이동 가능하게 배치된 복수의 리프트 핀들(미도시)은 기판 지지 표면(105A)으로의 그리고 기판 지지 표면(105A)으로부터의 기판 이송을 용이하게 하기 위해 사용된다. 일부 실시예들에서, 복수의 리프트 핀들(132)은 위에 배치되고 프로세싱 볼륨(129)에 배치된 리프트 핀 후프(미도시)에 결합되고 그리고/또는 맞물릴 수 있다. 리프트 핀 후프는 챔버 베이스(124)를 통해 밀봉식으로 연장되는 샤프트(미도시)에 결합될 수 있다. 샤프트는 리프트 핀 후프를 상승 및 하강시키는 데 사용되는 액추에이터(미도시)에 결합될 수 있다. 리프트 핀 후프가 상승된 포지션에 있을 때, 리프트 핀 후프는 기판 지지 표면(105A) 위로 리프트 핀들의 상부 표면들을 상승시키기 위해 복수의 리프트 핀들(132)과 맞물리고, 그로부터 기판(103)을 리프팅하고 로봇 핸들러(미도시)에 의해 기판(103)의 비활성(후면) 표면에 대한 액세스를 가능하게 한다. 리프트 핀 후프가 하강된 포지션에 있을 때, 복수의 리프트 핀들(132)은 기판 지지 표면(105A)과 동일 평면이거나 기판 지지 표면(105A) 아래로 리세싱되고, 기판(103)은 그 위에 안착된다.
[0030] 본원에서 프로세싱 챔버 제어기로서 또한 지칭되는 시스템 제어기(126)는 CPU(central processing unit)(133), 메모리(134) 및 지원 회로들(135)을 포함한다. 시스템 제어기(126)(들)는 본원에서 설명된 기판 바이어싱 및/또는 전압 부스팅 방법(들)을 포함하여 기판(103)을 프로세싱하는 데 사용되는 프로세스 시퀀스를 제어하는 데 사용된다. CPU(133)는 프로세싱 챔버 및 이와 관련된 서브-프로세서들을 제어하기 위해 산업 현장에서 사용하도록 구성된 범용 컴퓨터 프로세서이다. 일반적으로 비휘발성 메모리인 본원에서 설명된 메모리(134)는 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 로컬 또는 원격의 다른 적합한 형태의 디지털 저장소를 포함할 수 있다. 지원 회로들(135)은 CPU(133)에 통상적으로 결합되고, 그리고 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급기들 등, 및 이들의 조합들을 포함한다. 소프트웨어 명령들(프로그램) 및 데이터는 CPU(133) 내의 프로세서에 지시하기 위해 코딩되어 메모리(134) 내에 저장될 수 있다. 시스템 제어기(126) 내 CPU(133)에 의해 판독 가능한 소프트웨어 프로그램(또는 컴퓨터 명령들)은 어떤 태스크들이 프로세싱 시스템(10) 내 컴포넌트들에 의해 수행 가능한지를 결정한다.
[0031] 전형적으로, 시스템 제어기(126) 내 CPU(133)에 의해 판독 가능한 프로그램은 프로세서(CPU(133))에 의해 실행될 때 본원에서 설명된 플라즈마 프로세싱 방식들과 관련된 태스크들을 수행하는 코드를 포함한다. 프로그램은, 본원에서 설명되는 방법들을 구현하기 위해 사용되는 다양한 프로세스 태스크들 및 다양한 프로세스 시퀀스들을 수행하기 위해, 프로세싱 시스템(10) 내의 다양한 하드웨어 및 전기 컴포넌트들을 제어하는 데 사용되는 명령들을 포함할 수 있다. 일 실시예에서, 프로그램은 도 7과 관련하여 아래에 설명된 동작들 중 하나 이상을 수행하는 데 사용되는 명령들을 포함한다.
[0032] 플라즈마 제어 시스템은 일반적으로 바이어스 전극(104)(도 4에 도시된 바와 같은 복합 부하 상의)에서 적어도 제1 PV(pulsed voltage) 파형을 설정하기 위한 제1 소스 조립체(196) 및 에지 제어 전극(115)에서 적어도 제2 PV 파형을 설정하기 위한 제2 소스 조립체(197)를 포함한다. 제1 PV 파형 또는 제2 PV 파형은 파형 생성기 조립체(150) 내의 하나 이상의 컴포넌트들(예컨대, PV 소스들)을 사용하여 생성될 수 있으며, 이는 도 4와 관련하여 본원에서 보다 상세히 설명되는 바와 같이 전압 소스 및/또는 전류 소스에 대응할 수 있다. 일부 실시예들에서, 파형 생성기는 기판 지지 조립체(136)와 챔버 덮개(123) 사이에 배치된 프로세싱 구역에서 플라즈마(101)를 생성(유지 및/또는 점화)하는 데 사용될 수 있는 바이어스 전극(104) 및/또는 지지 베이스(107)(예컨대, 전력 전극 또는 캐소드)에 RF 신호를 전달한다. 일부 실시예들에서, 도 1에 도시된 바와 같이, 제3 소스 조립체(198) 내의 별개의 파형 생성기 조립체(150)는 적어도, RF 신호를 지지 베이스(107)(예컨대, 전력 전극 또는 캐소드)로 전달하도록 구성된 RF 소스를 포함한다.
[0033] 제1 소스 조립체(196), 제2 소스 조립체(197) 또는 제3 소스 조립체(198)로부터 제공된 인가된 RF 신호는 기판 지지 조립체(136)와 챔버 덮개(123) 사이에 배치된 프로세싱 구역에서 플라즈마(101)를 생성(유지 및/또는 점화)하도록 구성될 수 있다. 일부 실시예들에서, RF 신호는 프로세싱 볼륨(129)에 배치된 프로세싱 가스들 및 지지 베이스(107) 및/또는 바이어스 전극(104)에 전달된 RF 전력(RF 신호)에 의해 생성된 필드들을 사용하여 프로세싱 플라즈마(101)를 점화하고 유지하는 데 사용된다. 일부 양상들에서, RF 신호는 파형 생성기 조립체(150) 내에 배치된 RF 소스(미도시)에 의해 생성될 수 있다. 프로세싱 볼륨(129)은 대기압 이하의 조건에서 프로세싱 볼륨(129)을 유지하고 프로세싱 및/또는 다른 가스들을 그로부터 배기시키는 진공 출구(120)를 통해 하나 이상의 전용 진공 펌프에 유체 결합된다. 일부 실시예들에서, 프로세싱 볼륨(129) 내에 배치된 기판 지지 조립체(136)는 접지된 지지 샤프트(138) 상에 배치되고 챔버 베이스(124)를 통해 연장된다. 일부 실시예들에서, RF 신호 생성기는 40MHz보다 큰, 이를테면, 약 40MHz 내지 약 200MHz의 주파수를 갖는 RF 신호를 전달하도록 구성될 수 있다.
[0034] 일부 실시예들에서, 용량성 엘리먼트(152)는 도 4와 관련하여 본원에서 추가로 설명된 바와 같이 바이어스 전극(104) 및/또는 지지 베이스(107)에 선택적으로 결합될 수 있다. 특정 경우들에, 용량성 엘리먼트(152)는 전력 전달 라인(157)을 통해 바이어스 전극(104) 및/또는 지지 베이스(107)에 전기적으로 결합될 수 있다. 용량성 엘리먼트(152)는 플라즈마로부터 소비되는 벌크 전자들을 감소시키기 위해 ESC 재충전 스테이지 동안 전압 부스트를 제공할 수 있다. 전압 부스트는 플라즈마로부터 벌크 전자들의 소비에 의해 야기되는 플라즈마 지속 가능성 및 안정성에 대한 교란을 감소시키거나 방지할 수 있다.
[0035] 위에서 간략히 논의된 바와 같이, 기판 지지 조립체(136)는 일반적으로 기판 지지부(105)(예컨대, ESC(electrostatic chuck) 기판 지지부) 및 지지 베이스(107)를 포함한다. 일부 실시예들에서, 기판 지지 조립체(136)는 아래에서 추가로 논의되는 바와 같이 절연체 플레이트(111) 및 접지 플레이트(112)를 부가적으로 포함할 수 있다. 지지 베이스(107)는 절연 플레이트(111)에 의해 챔버 베이스(124)로부터 전기적으로 격리되고, 접지 플레이트(112)는 절연 플레이트(111)와 챔버 베이스(124) 사이에 개재된다. 기판 지지부(105)는 지지 베이스(107)에 열적으로 결합되어 지지 베이스(107) 상에 배치된다. 일부 실시예들에서, 지지 베이스(107)는 기판 지지부(105) 및 기판 프로세싱 동안 기판 지지부(105) 상에 배치된 기판(103)의 온도를 조절하도록 구성된다. 일부 실시예들에서, 지지 베이스(107)는 내부에 배치된 하나 이상의 냉각 채널들(미도시)을 포함하며, 이 냉각 채널들은 냉각제 소스(미도시) 이를테면, 비교적 높은 전기 저항을 갖는 냉매 소스 또는 물 소스에 유체 결합되고 유체 연통된다. 일부 실시예에서, 기판 지지부(105)는 그 유전체 재료에 매립된 저항성 가열 엘리먼트와 같은 히터(미도시)를 포함한다. 본원에서, 지지 베이스(107)는 내부식성 열 전도성 재료, 이를테면 내부식성 금속, 예컨대, 알루미늄, 알루미늄 합금, 또는 스테인리스 강으로 형성되고, 그리고 접착제 또는 기계적 수단에 의해 기판 지지부에 결합된다.
[0036] 전형적으로, 기판 지지부(105)는 유전체 재료 이를테면, 벌크 소결 세라믹 재료 이를테면, 내부식성 금속 산화물 또는 금속 질화물 재료 예컨대, 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 이트륨 산화물(Y2O3), 이들의 혼합물들, 또는 이들의 조합들로 형성된다. 본원의 실시예들에서, 기판 지지부(105)는 기판 지지부(105)의 유전체 재료에 매립된 바이어스 전극(104)을 더 포함한다.
[0037] 일 구성에서, 바이어스 전극(104)은 기판 지지부(105)의 기판 지지 표면(105A)에 기판(103)을 고정(즉, 척킹)하고 본원에서 설명된 펄스 전압 바이어싱 방식들 중 하나 이상을 사용하여 프로세싱 플라즈마(101)에 대해 기판(103)을 바이어싱하는 데 사용되는 척킹 폴이다. 전형적으로, 바이어스 전극(104)은 하나 이상의 금속 메시들, 포일들, 플레이트들 또는 이들의 조합들과 같은 하나 이상의 전기 전도성 부분들로 형성된다.
[0038] 일부 실시예들에서, 바이어스 전극(104)은 동축 전력 전달 라인(106)(예컨대, 동축 케이블)과 같은 전기 도체를 사용하여 약 -5000V 내지 약 5000V의 정적 DC 전압과 같은 척킹 전압을 제공하는 클램핑 네트워크에 전기적으로 결합된다. 아래에서 추가로 논의될 바와 같이, 클램핑 네트워크는 DC 전력 공급기(155)(예컨대, HVDC(high voltage DC) 공급기) 및 필터(151)(예컨대, 저역 통과 필터)를 포함한다.
[0039] 기판 지지 조립체(136)는 에지 링(114) 아래에 포지셔닝되고 바이어스 전극(104)을 둘러싸고 그리고/또는 바이어스 전극(104)의 중심으로부터 거리를 두고 배치되는 에지 제어 전극(115)을 더 포함할 수 있다. 일반적으로, 원형 기판들을 프로세싱하도록 구성된 프로세싱 챔버(100)에 대해, 에지 제어 전극(115)은 형상이 환형이고 전도성 재료로 만들어지며, 바이어스 전극(104)의 적어도 일부를 둘러싸도록 구성된다. 도 1에 도시된 바와 같은 일부 실시예들에서, 에지 제어 전극(115)은 기판 지지부(105)의 구역 내에 포지셔닝된다. 일부 실시예들에서, 도 1에 예시된 바와 같이, 에지 제어 전극(115)은 바이어스 전극(104)으로서 기판 지지부(105)의 기판 지지 표면(105A)으로부터 유사한 거리(즉, Z-방향)에 배치된 전도성 메쉬, 포일, 및/또는 플레이트를 포함한다.
[0040] 에지 제어 전극(115)은 바이어스 전극(104)을 바이어싱하는 데 사용되는 파형 생성기 조립체(150)와 상이한 파형 생성기 조립체를 사용하여 바이어싱될 수 있다. 일부 실시예들에서, 에지 제어 전극(115)은 전력의 일부를 에지 제어 전극(115)으로 분할함으로써 바이어스 전극(104)을 바이어싱하는 데 또한 사용되는 파형 생성기 조립체(150)를 사용하여 바이어싱될 수 있다. 일 구성에서, 제1 소스 조립체(196)의 제1 파형 생성기 조립체(150)는 바이어스 전극(104)을 바이어싱하도록 구성되고, 제2 소스 조립체(197)의 제2 파형 생성기 조립체(150)는 에지 제어 전극(115)을 바이어싱하도록 구성된다.
[0041] 일 실시예에서, 전력 전달 라인(157)은 제1 소스 조립체(196)의 파형 생성기 조립체(150)의 출력을 바이어스 전극(104)에 전기적으로 연결한다. 아래의 논의는 파형 생성기 조립체(150)를 바이어스 전극(104)에 결합하는 데 사용되는 제1 소스 조립체(196)의 전력 전달 라인(157)을 주로 논의하지만, 파형 생성기 조립체(150)를 에지 제어 전극(115)에 결합하는 제2 소스 조립체(197)의 전력 전달 라인(158)은 동일하거나 유사한 컴포넌트들을 포함할 것이다. 전력 전달 라인(157)의 다양한 부분들 내의 전기 도체(들)는 (a) 강성 동축 케이블과 직렬로 연결된 유연한 동축 케이블과 같은 동축 케이블들 중 하나 또는 동축 케이블들의 조합, (b) 절연된 고전압 코로나 내성 후크업 와이어, (c) 나선, (d) 금속 막대, (e) 전기 커넥터, 또는 (f) (a)-(e)의 전기 엘리먼트들 임의의 조합을 포함할 수 있다.
[0042] 일부 실시예들에서, 프로세싱 챔버(100)는 기판 지지부(105) 및/또는 지지 베이스(107)가 부식성 프로세싱 가스들 또는 플라즈마, 세정 가스들 또는 플라즈마, 또는 이들의 부산물들과 접촉하는 것을 방지하기 위해 기판 지지 조립체(136)의 일부들을 적어도 부분적으로 에워싸는 석영 파이프(110) 또는 칼라를 더 포함한다. 전형적으로, 석영 파이프(110), 절연체 플레이트(111) 및 접지 플레이트(112)는 라이너(108)에 의해 에워싸인다. 일부 실시예들에서, 플라즈마 스크린(109)은 라이너(108)와 하나 이상의 측벽들(122) 사이에서 플라즈마 스크린(109) 아래의 볼륨에 플라즈마가 형성되는 것을 방지하도록 캐소드 라이너(108)와 측벽들(122) 사이에 포지셔닝된다.
[0043] 도 2a는 프로세싱 챔버의 전극(예컨대, 바이어스 전극(104) 및/또는 지지 베이스(107))에서 설정될 수 있는 예시적인 전압 파형을 도시한다. 도 2b는 도 2a에 도시된 전압 파형과 유사하게, 프로세싱 챔버 내의 전극에서 별개로 설정되는 상이한 전압 파형들로 인해 기판에서 설정된 상이한 유형들의 전압 파형들(225 및 230)의 예를 예시한다. 파형들은 도시된 바와 같이 2개의 스테이지들: 이온 전류 스테이지 및 시스 붕괴 스테이지를 포함한다. 이온 전류 스테이지의 선두에, 기판 전압의 강하는 기판 위에 고전압 시스를 생성하여, 양이온들을 기판으로 가속한다. 양상들에서, 기판 전압의 강하는 벌크 전자들을 위한 소스로서 용량성 엘리먼트(예컨대, 용량성 엘리먼트(152))를 사용하여 부스팅될 수 있다. 전압 부스트는 플라즈마로부터 소비되는 벌크 전자들을 감소시키고 에칭 프로세스 동안 플라즈마의 지속 가능성 및 안정성을 개선할 수 있다.
[0044] 이온 전류 스테이지 동안 기판의 표면에 충격을 가하는 양이온들은 기판 표면에 양전하를 증착하며, 이는 보상되지 않는 경우, 도 2b의 전압 파형(225)에 의해 예시된 바와 같이 이온 전류 스테이지 동안 점진적으로 증가하는 기판 전압을 야기한다. 그러나 기판 표면 상의 양전하의 제어되지 않은 축적은 바람직하지 않게 시스 및 척 커패시터들을 점진적으로 방전시켜, 전압 파형(225)에 의해 예시된 바와 같이 시스 전압 강하를 느리게 감소시키고 기판 전위를 0에 가깝게 만든다. 양전하의 축적은 기판에 설정된 전압 파형에서 전압 강하를 초래한다(도 2b). 그러나, 도 2a에 도시된 바와 같이, 이온 전류 스테이지 동안 음의 기울기를 갖는 전극에서 설정되는 전압 파형은 도 2b의 곡선(230)에 의해 도시된 바와 같이, 설정된 기판 전압 파형에 대해 정사각형 형상 구역(예컨대, 0에 가까운 기울기)을 설정하도록 생성될 수 있다. 이온 전류 스테이지 동안 전극에서 설정된 파형의 기울기를 구현하는 것을 이온 전류 보상으로서 지칭될 수 있다. 이온 전류 위상의 선두와 말미 사이의 전압 차이는 이온 에너지 분포 함수(IEDF) 폭을 결정한다. 전압 차이가 클수록 IEDF 폭이 넓다. 단일 에너지 이온(monoenergetic ion)들 및 더 좁은 IEDF 폭을 달성하기 위해, 이온 전류 보상을 사용하여 이온 전류 위상에서 기판 전압 파형을 평탄화하기 위한 동작들이 수행된다. 본 개시내용의 일부 실시예들에서, RF 신호는 도 2a에 도시된 전압 파형 상에 오버레이된다.
[0045] 도 3은 본 개시내용의 특정 실시예들에 따른 IED 함수(IEDF)를 예시하는 그래프이다. 도시된 바와 같이, IEDF는 이온 전류 보상 스테이지 동안 전극에서 특정 파형을 사용하여 생성될 수 있는 단일 에너지 피크(302)를 포함한다. 에너지 피크와 연관된 에너지는 수백 eV들 미만(예컨대, 1K eV 미만)일 수 있다. 특정한 경우들에서, 에너지 피크와 관련된 에너지는 기판에 형성될 피처의 종횡비에 의존하여 수백 eV 내지 수만 eV일 수 있다. 예컨대, 일부 경우들에서, 에너지 피크와 연관된 에너지는 4k eV 내지 10k eV일 수 있다. 일부 실시예들은 도 3에 도시된 이온 에너지 분포 또는 예컨대, 도 8b에 묘사된 바와 같은 다른 적합한 이온 에너지 분포들을 구현하기 위한 기술들에 관한 것이다.
기판 프로세싱을 위한 전압 부스트
[0046] 본 개시내용의 특정 실시예들은 일반적으로 전극 이를테면, 프로세싱 챔버의 바이어스 전극(예컨대, 도 1에 도시된 바이어스 전극(104)) 및/또는 지지 베이스(예컨대, 도 1에 도시된 지지 베이스(107))에서 전압을 부스팅하기 위한 기술 및 장치에 관한 것이다. 본원에서 설명된 전압 부스트는 플라즈마로부터 벌크 전자들의 소비를 감소시킬 수 있고 바람직한 플라즈마 보조 에칭 프로세스 결과들을 용이하게 할 수 있다.
[0047] 도 4는 본 개시내용의 특정 실시예들에 따라 전극에서 전압 부스트를 설정할 수 있는 플라즈마 프로세싱 시스템(예컨대, 프로세싱 시스템(10))의 기능적으로 등가의 단순화된 전기 회로(400)이다. 도시된 바와 같이, 전기 회로(400)는 스위치들(402a-402f)(집합적으로 스위치들(402)로서 지칭되고 S1-S6으로서 라벨링됨), 전압 소스(404), 전류 소스(406), 용량성 엘리먼트(152), 기판 지지부(105)의 등가의 커패시턴스(CESC로서 라벨링됨), 입력 노드(408)(프로세싱 챔버 내 지지 베이스(107) 및/또는 바이어스 전극(104)을 나타냄), 및 본원에서 추가로 설명된 바와 같은 전기 플라즈마 모델을 나타낼 수 있는 복합 부하(410)를 포함할 수 있다. 양상들에서, 프로세싱은 전극(예컨대, 바이어스 전극(104) 및/또는 지지 베이스(107)) 위에 배치되는 유전체 층(예컨대, 기판 지지부(105)의 유전체 재료))을 포함하는 기판 지지부(예컨대, 기판 지지부(105))를 포함할 수 있다.
[0048] 전기 회로(400)에서, 전압 소스(404) 및/또는 전류 소스(406)로부터의 펄스식 전압에 대한 전압 부스트는 도 2a에 묘사된 파형과 같이 전극에서 파형을 설정하는 프로세스의 일부 동안 용량성 엘리먼트(152)를 사용하여 전극(입력 노드(408)로 표현될 수 있음)에서 설정될 수 있다. 전기 회로(400)는 파형 생성기 조립체(전압 소스(404) 및/또는 전류 소스(406)로 표현될 수 있음), 용량성 엘리먼트(152) 및 프로세싱 챔버(100) 내의 특정 엘리먼트들(예컨대, 기판 지지부 및 플라즈마) 간의 상호작용의 단순화된 모델을 예시하고, 일반적으로 프로세싱 챔버(100)의 동작 동안 사용되는 기본 엘리먼트들을 예시한다. 명료함을 위해, 다음 정의들이 본 개시내용 전반에 걸쳐 사용된다: (1) 기준이 특정되지 않는 한, 모든 전위들은 접지를 기준으로 하고; (2) (기판 또는 바이어싱 전극과 같은) 임의의 물리적 포인트에서의 전압은 마찬가지로, 접지(제로 전위 포인트)에 대하여 그 포인트의 전위로서 정의되고; (3) 캐소드 시스는 플라즈마에 대한 음의 기판 전위에 대응하는 전자-반발, 이온-가속 시스인 것으로 암시되고; (4) 시스 전압(또는 때로는, "시스 전압 강하"로서 지칭됨)(Vsh)은 플라즈마와 (예컨대, 기판 또는 챔버 벽의) 인접 표면 사이의 전위 차이의 절대 값으로서 정의되며; 그리고 (5) 기판 전위는 플라즈마를 향하는 기판 표면에서의 전위이다.
[0049] 복합 부하(410)는 3개의 직렬 엘리먼트들로서 프로세싱 플라즈마(101)를 표현하는 표준 전기 플라즈마 모델로서 묘사된다. 제1 엘리먼트는 기판(103)에 인접한 전자 반발 캐소드 시스(때로는 "플라즈마 시스" 또는 단순히 "시스"로서 또한 지칭됨)이다. 캐소드 시스는 다음: (a) 다이오드(DSH)― 이는 개방될 때 시스 붕괴를 표현함 ―, (b) 전류 소스(Ii)― 이는 시스의 존재 시에 기판으로 흐르는 이온 전류를 표현함 ―, 및 (c) 커패시터(CSH)(예컨대, ~100 -300 pF)― 이는 이온 가속 및 에칭이 발생하는 바이어싱 사이클의 메인 부분(예컨대, 도 2a에 묘사된 파형의 이온 전류 스테이지)에 대한 시스를 표현함 ―를 포함하는 종래의 3-부분 회로 엘리먼트에 의해 표현된다. 제2 엘리먼트는 단일 저항기(Rplasma)(예컨대, 저항기(412) = ~ 5 ― 10 옴)에 의해 표현되는 벌크 플라즈마이다. 제3 엘리먼트는 챔버 벽들에 형성되는 전자 반발 벽 시스이다. 벽 시스는 마찬가지로 다음: (a) 다이오드(Dwall), (b) 벽에 대한 이온 전류를 표현하는 전류 소스(Iiwall), 및 (c) 커패시터(Cwall)(예컨대, ~5 ― 10nF)― 이는 주로 전극에서 파형의 ESC 재충전 위상 동안 벽 시스를 표현함 ―를 포함하는 3-부분 회로 엘리먼트에 의해 표현된다. 접지된 금속 벽들의 내부 표면은 또한 복합 부하(410)와 접지 노드(414) 사이에 직렬로 결합된 커패시턴스(미도시) 이를테면, ~300-1000nF를 제공할 수 있는 얇은 유전체 재료 층으로 코팅될 수 있다. ESC 조립체(예컨대, 기판 지지부(105))의 기생 및 스트레이 커패시턴스 및 ESC 조립체의 인덕턴스는 본원에서 설명된 전압 부스트 방식에 중요하지 않을 수 있고 도 4에서 묘사되지 않는다. 특정 경우들에서, (다른 것들 중에서) 이들 팩터들은 특정 전기 컴포넌트들의 값들(이를테면, 용량성 엘리먼트(152)의 커패시턴스, CESC의 커패시턴스 등) 및/또는 전극에서 설정된 파형의 특성들(예컨대, 전압 레벨들, 지속기간 및/또는 RF 신호)을 결정하는데 고려될 수 있다.
[0050] 용량성 엘리먼트(152)는 세라믹 커패시터 및/또는 다층 유전체 커패시터와 같은 하나 이상의 커패시터들로서 구현될 수 있다. 예컨대, 용량성 엘리먼트(152)는 병렬 네트워크 및/또는 직렬 네트워크와 같은 네트워크에서 함께 결합된 다수의 커패시터들을 포함할 수 있다. 특정 경우들에서, 용량성 엘리먼트(152)는 10kV 이상의 전압 레벨들과 같은 고전압들에서 동작하도록 정격화(rated)될 수 있다. 용량성 엘리먼트(152)는 500 pF(picofarads) 내지 1nF(nanofarad) 범위 내의 커패시턴스를 가질 수 있다. 용량성 엘리먼트(152)의 커패시턴스 값은 CESC 및/또는 CSH에 가깝거나 더 높을 수 있다. 즉, 용량성 엘리먼트는 프로세싱 챔버의 기판 지지 커패시턴스(예컨대, CESC) 또는 시스 커패시턴스(예컨대, CSH) 중 적어도 하나 이상의 커패시턴스를 가질 수 있다.
[0051] 용량성 엘리먼트(152)는 스위치들(402) 중 적어도 하나(예컨대, 스위치(402c))를 통해 프로세싱 챔버의 전극(예컨대, 입력 노드(408))에 선택적으로 결합될 수 있다. 용량성 엘리먼트(152)는 예컨대, 스위치들(402)(예컨대, 스위치들(402d, 402e, 402f)) 중 적어도 하나를 통해 전압 소스(404) 및 접지 노드(414)에 선택적으로 결합될 수 있다. 용량성 엘리먼트(152) 및 전압 소스(404)는 전극(예컨대, 입력 노드(408))과 병렬로 선택적으로 결합될 수 있다. 즉, 용량성 엘리먼트(152) 및 전압 소스(404)는 서로 병렬로 전극에 선택적으로 결합될 수 있다. 특정 양상들에서, 용량성 엘리먼트(152)는 기판 지지 커패시턴스(CESC) 및/또는 시스 커패시턴스(CSH)와 별개의 전기 컴포넌트이다. 특정 경우들에서, 용량성 엘리먼트(152)는 전압 소스(404) 및/또는 전류 소스(406)와 같은 파형 생성기 조립체(150)와 통합되고 그리고/또는 함께 위치(co-locate)될 수 있다. 특정 경우들에서, 용량성 엘리먼트(152)는 본원에서 설명된 바와 같이 적합한 부스트 전압을 제공하기 위해 기판 지지 커패시턴스(CESC) 및/또는 시스 커패시턴스(CSH)와 통합되고 그리고/또는 함께 위치될 수 있다.
[0052] 용량성 엘리먼트(152)는 플라즈마로부터 분리된 벌크 전자들을 위한 소스를 제공할 수 있다. 특정 경우들에서, 용량성 엘리먼트(152)는 전극에서 DC 바이어스를 설정하기 위해 플라즈마로부터 소비되는 벌크 전자들을 감소시킴으로써 플라즈마의 지속 가능성 및 안정성을 개선할 수 있다.
[0053] 스위치들(402)은 고전압 솔리드 스테이트 릴레이들로서 구현될 수 있다. 특정 경우들에서, 스위치들(402)은 고전압 멀티플렉서 및/또는 디멀티플렉서로서 구현될 수 있다. 이 예에서, 스위치들(402)은 제1 스위치(402a), 제2 스위치(402b), 제3 스위치(402c), 제4 스위치(402d), 제5 스위치(402e) 및 제6 스위치(402f)를 포함할 수 있다. 제1 스위치(402a)는 전압 소스(404)와 입력 노드(408) 사이에 결합될 수 있으며, 이는 전극에 전기적으로 결합되거나 전극을 나타낼 수 있다. 제2 스위치(402b)는 입력 노드(408)와 접지 노드(414) 사이에 결합될 수 있다. 제3 스위치(402c)는 용량성 엘리먼트(152)의 제1 단자(416)와 입력 노드(408) 사이에 결합될 수 있다. 제4 스위치(402d)는 용량성 엘리먼트(152)의 제2 단자(418)와 입력 노드(408) 사이에 결합될 수 있다. 제5 스위치(402e)는 용량성 엘리먼트(152)의 제1 단자(416)와 접지 노드(414) 사이에 결합될 수 있다. 제6 스위치(402f)는 용량성 엘리먼트(152)의 제2 단자(418)와 접지 노드(414) 사이에 결합될 수 있다.
[0054] 특정 경우들에서, 스위치들(402)의 상태(예컨대, 개방 또는 폐쇄)는 시스템 제어기(126)에 의해 제어될 수 있다. 예컨대, 시스템 제어기(126)는 시스템 제어기(126)로부터의 제어 신호들이 스위치들(402)의 상태들을 토글링(toggle)하도록 스위치들(402)의 제어 입력들(미도시)과 통신할 수 있다. 시스템 제어기(126)는 개개의 스위치에 인가되는 별개의 제어 신호로 각각의 스위치(402)의 개별 상태를 제어할 수 있다. 도 5 및 도 6a 내지 도 6c와 관련하여 본원에서 추가로 설명되는 바와 같이, 스위치들(402)은 제1 위상(ESC 재충전 위상으로서 지칭될 수 있음) 동안 전극(예컨대, 입력 노드(408))에 용량성 엘리먼트(152) 및 전압 소스(404)를 결합하고, 제2 위상(전압 부정 위상(voltage negation phase)으로서 지칭될 수 있음) 동안 접지 노드(414)에 용량성 엘리먼트(152) 및 전극을 결합하고, (전압 부스트 위상으로서 지칭될 수 있는) 제3 위상 동안 전극에 용량성 엘리먼트(152)를 결합하도록 구성될 수 있다. 양상들에서, 제2 위상은 제1 위상 후에 발생할 수 있고, 제3 위상은 제2 위상 후에 발생할 수 있다. 특정 양상들에 대해, 스위치들(402)은 제2 위상 동안 용량성 엘리먼트(152) 및 전압 소스(404)로부터 전극을 분리하고 제3 위상 동안 접지 노드로부터 전극을 분리할 수 있다.
[0055] 전압 소스(404)는 파형 생성기 조립체(예컨대, 파형 생성기 조립체(150))의 컴포넌트일 수 있다. 즉, 파형 생성기 조립체는 펄스식 전압 DC 파형 생성기 및/또는 RF 생성기(또한 RF 신호 생성기로서 지칭됨)를 포함할 수 있는 전압 소스(404)를 포함할 수 있다. 전압 소스(404)는 스위치들(402) 중 하나(예컨대, 스위치(402a))를 통해 전극(예컨대, 입력 노드(408))에 선택적으로 결합될 수 있다. 예컨대, 스위치(402a)는 전압 소스(404)와 입력 노드(408) 사이에 직렬로 결합될 수 있다.
[0056] 유사하게, 전류 소스(406)는 파형 생성기 조립체의 컴포넌트일 수 있다. 전류 소스(406)는 예컨대, 도 2a와 관련하여 본원에서 설명된 바와 같이 이온 전류 스테이지 동안 램프 전압을 구현하는 데 사용될 수 있다. 전류 소스는 제4 위상(예컨대, 도 2a에 묘사된 바와 같은 이온 전류 스테이지) 동안 프로세싱 챔버(예컨대, 전극)에 이온 보상 전류를 인가하도록 구성될 수 있다. 양상들에서, 스위치들(402)은 제3 위상 후에 발생할 수 있는 제4 위상 동안 용량성 엘리먼트(152)를 프로세싱 챔버(예컨대, 전극)에 결합하도록 구성될 수 있다. 전류 소스(406)는 전극에 결합될 수 있다. 특정 경우들에서, 전압 소스(404), 전류 소스(406) 및 용량성 엘리먼트(152)는 전극(예컨대, 입력 노드(408))에 병렬로 결합될 수 있다.
[0057] 당업자들은 전기 회로(400)가 단지 예일 뿐이고, 다른 전기 회로들(이를테면, 도 9 및 도 10에 묘사된 회로들)이 전극에서 전압 부스트를 제공하고 플라즈마로부터의 벌크 전자 소비를 감소시키기 위해 예시된 회로에 추가로 또는 대신에 사용될 수 있다는 것을 이해할 것이다. 본 개시내용에서 묘사된 예들은 이해를 용이하게 하기 위해 기판 프로세싱을 위한 양의 전압 펄스를 사용하는 것과 관련하여 본원에서 설명되지만, 본 개시내용의 양상들은 전극에서 파형을 설정하기 위해 음의 전압 펄스를 사용하기 위해 또한 적용될 수 있다.
[0058] 도 5는 전기 회로(400)의 스위치들(402)(각각 S1-S6으로서 라벨링됨)의 상태들을 예시하는 타이밍도(500)이고, 도 6a 내지 도 6c는 본 개시내용의 특정 실시예들에 따라, 도 5에 묘사된 대응하는 위상들 동안 스위치들(402)의 상태들을 예시하는 회로도들이다.
[0059] 도 5를 참조하면, 전극에서의 파형은 제1 위상(502), 제2 위상(504), 제3 위상(506) 및 제4 위상(508)에서 설정될 수 있다. 제1 위상(502)은 충분한 전자들이 웨이퍼 표면에서 수집되도록 허용하기 위해 20나노초(ns) 내지 2000ns가 소요될 수 있다. 제2 위상(504) 및/또는 제3 위상(506)은 수십 나노초 내지 수백 나노초, 예컨대, 20ns 내지 500ns가 소요될 수 있다. 제4 위상(508)은 파형 사이클의 50%보다 더 많이 이를테면, 파형 사이클의 85~90%가 소요될 수 있다. 전압 함수의 주파수는 50kHz 내지 5000kHz 범위에 있을 수 있다.
[0060] 제1 위상(502) 동안, 스위치들(402a, 402d 및 402e)(S1, S4 및 S5)은 도 6a에 도시된 바와 같이 용량성 엘리먼트(152)(CBOOST)뿐만 아니라 기판 지지부(105)(CESC) 및 플라즈마 시스 커패시턴스(들)(CSH 및 Cwall)를 충전하도록 폐쇄된다. 이러한 커패시터들에 대한 충전 시간은 도 5에 묘사된 지속기간보다 짧거나 길 수 있다. 제1 위상(502) 동안, 제1 스위치(402a)는 폐쇄되도록 구성되고; 제2 스위치(402b)는 개방하도록 구성되고; 제3 스위치(402c)는 개방되도록 구성되고; 제4 스위치(402d)는 폐쇄되도록 구성되고; 제5 스위치(402e)는 폐쇄되도록 구성되고; 제6 스위치(402f)는 개방되도록 구성된다.
[0061] 용량성 엘리먼트(152)(CESC 및 CSH)는 제1 위상(502) 동안 전압(VBOOST)으로 충전될 수 있다. 플라즈마 벌크 전자들은 도 5에 도시된 파형의 상승 에지로 인해 웨이퍼 표면으로 끌어당겨진다. 전자들은 예컨대, 다른 전극 상에 균등한 양의 양전하가 있기 때문에 음의 DC 시스 전위를 아직 설정하지 않을 수 있다. CESC로서 묘사된 등가 커패시턴스가 웨이퍼 표면과 전극 사이에 형성될 수 있으며, 이러한 전자들에 의해 생성된 필드를 소거하기 위해 전극에 균등한 양의 양전하가 있을 수 있다.
[0062] 제2 위상(504) 동안, 제2 스위치(402b) 및 제6 스위치(402f)는 도 6b에 도시된 바와 같이 폐쇄된다. 제1 스위치(402a), 제4 스위치(402d) 및 제5 스위치(402e)는 제2 위상(504) 동안 개방되도록 구성되고, 제2 스위치(402b) 및 제6 스위치(402f)는 용량성 엘리먼트(152)의 제2 단자(418) 및 입력 노드(408)를 접지 노드(414)에 결합하기 위해 제2 위상 동안 폐쇄되도록 구성된다. 효과적으로, 기판 지지 커패시턴스(CESC)는 접지 노드(414)에 결합된다.
[0063] 제2 스위치(402b)가 폐쇄될 때, 전극에서의 전위는 접지 노드(414)에서의 전압 레벨(이를테면, 0볼트)로 강제될 수 있다. 커패시터의 전압 강하는 즉각적으로 변할 수 없기 때문에, 웨이퍼 표면 상의 전압은 음이 되고 그리하여 웨이퍼 표면 상에 음의 Vdc를 설정한다. 예컨대, 제2 스위치(402b)가 폐쇄 상태에 있는 경우, 전극에서의 전압은 제1 전압 레벨로 감소될 수 있다.
[0064] 제6 스위치(402f)는 폐쇄되어 연결된 용량성 엘리먼트(152)의 전위를 접지로 풀링한다. 용량성 엘리먼트(152)에서의 전위는 음의 전압이 된다. 제2 위상(504) 동안, 용량성 엘리먼트(152)는 입력 노드(408) 및 기판 지지부에 결합되지 않을 수 있다. 제2 스위치(402b)가 폐쇄된 후 웨이퍼 표면 상의 음의 DC 전압(Vdc)은 하강 에지의 매그니튜드(V) 및 CESC와 시스 커패시턴스(Csheath) 사이의 비를 사용하여 근사될 수 있다:
Figure pct00001
(1)
여기서 Csheath는 접지 시스 커패시턴스(Cwall)와 직렬인 웨이퍼 시스 커패시턴스(CSH)의 커패시턴스이다. 특정한 경우들에, Csheath는 접지 시스 커패시턴스(Cwall)가 훨씬 크기 때문에 웨이퍼 시스 커패시턴스(CSH)에 의해 근사될 수 있다.
[0065] 제3 위상(506) 동안, 제3 스위치(402c) 및 제6 스위치(402f)는 도 6c에 도시된 바와 같이 폐쇄된다. 제3 위상 동안 제2 스위치(402b)는 개방되도록 구성되고, 제3 스위치(402c)는 폐쇄되도록 구성되어서, 용량성 엘리먼트(152)의 제1 단자(416)가 입력 노드(408)에 결합된다. 제3 스위치(402c)가 폐쇄된 경우, 용량성 엘리먼트(152)는 전극에서의 전압을 제1 전압 레벨보다 낮은 제2 전압 레벨로 추가로 감소시킬 수 있다. 제3 스위치(402c)는 용량성 엘리먼트(152)를 입력 노드(408)에 연결하며, 이는 추가로 웨이퍼 표면 상의 Vdc를 향상시키고 Vdc를 보다 음으로 풀링할 수 있다.
[0066] 전극에서의 전압은 다음에 의해 주어질 수 있다:
Figure pct00002
+
Figure pct00003
(2)
[0067] 특정한 경우들에서, |VBOOST|는 |V|보다 높을 수 있다. 예컨대, |VBOOST|는 1750볼트일 수 있으며 |V|는 1000볼트일 수 있다. 이 예에서, 용량성 엘리먼트(152)는 웨이퍼 표면 상에 축적하도록 요구되는 전자들의 수를 동일한 양의 Vdc에 대해 2.75배만큼 감소시킬 수 있다.
[0068] 제4 위상(508)은 이온 전류 보상 위상이며, 이는 도 2a 및 도 2b와 관련하여 본원에서 설명된 바와 같이 구현될 수 있다. 제4 위상(508) 동안, 전극 전압은 이온 전류를 보상하기 위해 음의 기울기를 가질 수 있다. 스위치들(402)의 상태들은 제3 위상(506)의 말미에 설정된 상태들과 동일하게 유지될 수 있다. 즉, 제3 스위치(402c) 및 제6 스위치(402f)는 제4 위상(508) 동안 폐쇄된 채로 유지할 수 있다.
[0069] 다가오는 이온 전류는 웨이퍼 표면 상의 음의 방전들을 중화하고 시스 커패시터(예컨대, CSH)를 방전시킨다. 웨이퍼 전압은 도 2b 및 도 3과 관련하여 본원에서 설명된 바와 같이 단일 피크 IED를 제공하기 위해 일정한 전압으로 유지될 수 있다. 전류 소스(406)는 이온 전류를 보상하기 위해 전자들을 회로에 펌핑하는 데 사용될 수 있다. 그렇게 함으로써, 전류 소스는 전극에서 음의 기울기를 갖는 전압 파형을 설정한다. 제4 위상(508)에서, 플라즈마 이온은 웨이퍼 표면에 충격을 가하고 에칭 반응들을 유도한다. 제4 위상(508)은 에칭 기간으로 간주될 수 있고 원하는 에칭을 용이하게 하기 위해 가능한 한 길게(예컨대, 1000ns 내지 10,000ns) 만들어질 수 있다. 길이는 웨이퍼 표면의 충전 속도 또는 전류 소스의 최대 전압(전류 보상이 수행되는 경우)에 의해 제한될 수 있다.
[0070] 이온 보상 전류(Iion)는 이온 에너지/플럭스 진단을 사용하여 교정되거나 (예컨대, V0의 시간 도함수를 계산하기 위해) 전극 전압(V0) 및 시스 커패시턴스의 값을 샘플링함으로써 계산될 수 있다.
Figure pct00004
(3)
여기서 CBOOST는 용량성 엘리먼트(152)의 커패시턴스이다. 예컨대, 처음 수십 내지 수백 사이클들은 전극 전압을 샘플링하고 이온 전류 보상을 위해 Iion을 계산하는 데 사용될 수 있다. 그 후 전류 보상이 후속 사이클들에 대해 구현될 수 있다. 전류 소스(406)는 예컨대, 수학식(3)에 의해 주어진 바와 같이, 용량성 엘리먼트의 커패시턴스에 적어도 부분적으로 기초하여 전극에서 측정된, 시간 경과에 따른 전압의 변화에 대한 응답으로 이온 보상 전류를 조정하도록 구성될 수 있다. 용량성 엘리먼트(152)의 증가된 커패시턴스는 Vdc의 감쇠(decay)를 늦출 수 있다. CBOOST는 전압 정격 허용 범위에서 가능한 한 크게 만들어질 수 있다.
[0071] 도 7은 전극에서 전압을 부스팅하기 위한 방법(700)을 예시하는 프로세스 흐름도이다. 방법(700)은 프로세싱 시스템(10)과 같은 플라즈마 프로세싱 시스템에 의해 수행될 수 있다.
[0072] 활동(702)에서, 제1 위상(예컨대, 제1 위상(502)) 동안 용량성 엘리먼트(예컨대, 용량성 엘리먼트(152)) 및 전압 소스(예컨대, 전압 소스(404))가 프로세싱 챔버(예컨대, 프로세싱 챔버(100)) 내에 배치된 전극(예컨대, 입력 노드(408)에 의해 표현됨)에 결합될 수 있다. 용량성 엘리먼트(152) 및 전압 소스(404)는 예컨대, 도 4에 묘사된 바와 같이 병렬로 전극에 결합될 수 있다. 예컨대, 전기 회로(400)에 대해, 제1 스위치(402a), 제4 스위치(402d) 및 제5 스위치(402e)는 제1 위상 동안 폐쇄될 수 있다. 제2 스위치(402b), 제3 스위치(402c) 및 제6 스위치(402f)는 제1 위상 동안 개방된다.
[0073] 활동(704)에서, 제2 위상(예컨대, 제2 위상(504)) 동안 용량성 엘리먼트(152) 및 전극이 접지 노드(예컨대, 접지 노드(414))에 결합될 수 있다. 제2 위상 동안, 전극은 전압 소스 및 용량성 엘리먼트로부터 분리될 수 있다. 예컨대, 전기 회로(400)에 대해, 제1 스위치(402a), 제4 스위치(402d) 및 제5 스위치(402e)는 제2 위상 동안 개방된다. 제2 스위치(402b) 및 제6 스위치(402f)는 용량성 엘리먼트를 입력 노드(예컨대, 입력 노드(408))에 결합하기 위해 제2 위상 동안 폐쇄된다. 제2 스위치(402b)가 폐쇄된 경우, 전극에서의 전압은 제1 전압 레벨로 감소될 수 있다.
[0074] 활동(706)에서, 제3 위상(예컨대, 제3 위상(506)) 동안 용량성 엘리먼트(152)가 전극에 결합될 수 있다. 제3 위상 동안, 전극은 접지 노드로부터 분리될 수 있다. 예컨대, 전기 회로(400)에 대해, 제2 스위치(402b)는 제3 위상 동안 개방될 수 있고, 제3 스위치는 용량성 엘리먼트(152)의 제1 단자(416)를 입력 노드(408)에 결합하기 위해 폐쇄될 수 있다. 제3 스위치(402c)가 폐쇄된 경우, 전극에서의 전압은 제1 전압 레벨보다 낮은 제2 전압 레벨로 감소될 수 있다.
[0075] 활동(708)에서, 제4 위상(예컨대, 제4 위상(508)) 동안 전류 소스(예컨대, 전류 소스(406))가 이온 보상 전류를 전극에 인가할 수 있다. 양상들에서, 용량성 엘리먼트(152)는 제4 위상 동안 전극에 결합될 수 있다. 특정 양상들에 대해, 전류 소스는 예컨대, 수학식(3)에 의해 주어진 바와 같이, 용량성 엘리먼트의 커패시턴스에 적어도 부분적으로 기초하여 전극에서 측정된, 시간 경과에 따른 전압의 변화에 대한 응답으로 이온 보상 전류를 조정할 수 있다.
[0076] 특정 양상들에서, 방법(700)은 또한 프로세싱 챔버에 배치된 기판 지지부(예컨대, 기판 지지부(105))의 기판 지지 표면(예컨대, 기판 지지 표면(105A)) 위에 플라즈마를 생성하는 것을 포함할 수 있다. 플라즈마 및 이온 전류 보상은 본원에서 설명된 바와 같이 기판의 에칭을 용이하게 할 수 있다.
[0077] 특정 양상들에서, 예컨대, 다수의 이온 에너지들을 생성하고 그리고/또는 에칭 사이클에서 이온 에너지의 폭을 조정하기 위해, 충전된 용량성 엘리먼트가 전극에 결합되는 타이밍이 조정될 수 있다.
[0078] 도 8a는 본 개시내용의 특정 실시예들에 따라, 프로세싱 챔버의 전극(예컨대, 바이어스 전극(104) 및/또는 지지 베이스(107))에서 설정될 수 있는 예시적인 전압 파형을 도시한다. 묘사된 바와 같이, 제3 위상에서 제3 스위치(402c)를 폐쇄하는 것은 도 2a 및 도 5에 묘사된 파형에 비해 지연될 수 있다. 예컨대, 제2 위상은 도 2a 및 도 5에 묘사된 지속기간보다 더 긴 지속기간을 가질 수 있다. 도 8b에 묘사된 바와 같이 2개의 이온 에너지들을 갖는 IEDF는 용량성 엘리먼트의 지연된 결합으로 생성될 수 있다. 도시된 바와 같이, IEDF는 낮은 에너지 피크(802) 및 높은 에너지 피크(804)를 포함한다.
[0079] 특정 양상들에 대해, 전류 소스는 용량성 엘리먼트와 직렬로 결합될 수 있다. 예컨대, 도 9는 본 개시내용의 특정 실시예들에 따라 전극에서 전압 부스트를 설정할 수 있는 플라즈마 프로세싱 시스템(예컨대, 프로세싱 시스템(10))의 부가적인 기능적으로 등가의 단순화된 전기 회로(900)이다. 전류 소스(406)는 스위치들(402)(예컨대, 제3 스위치(402c))― 이는 제4 위상 동안 전류 소스를 프로세싱 챔버에 연결하도록 구성될 수 있음 ―을 통해 프로세싱 챔버(예컨대, 전극)에 선택적으로 결합될 수 있다. 이 예에서, 용량성 엘리먼트(152)는 전류 소스(406)와 전극(예컨대, 입력 노드(408)) 사이에 직렬로 선택적으로 결합될 수 있다. 전기 회로(900)에서, 제6 스위치(402f)는 제외될 수 있다.
[0080] 이온 전류는 다음 표현에 따라 조정될 수 있다:
Figure pct00005
(4)
[0081] 그 결과, 전기 회로(900)는 전기 회로(400)에 비해 더 낮은 이온 전류를 가능하게 할 수 있다.
[0082] 특정 양상들에서, 용량성 엘리먼트를 충전하기 위해 별개의 전압 소스가 사용될 수 있다. 예컨대, 도 10은 본 개시내용의 특정 실시예들에 따라 전극에서 전압 부스트를 설정할 수 있는 플라즈마 프로세싱 시스템(예컨대, 프로세싱 시스템(10))의 부가적인 기능적으로 등가의 단순화된 전기 회로(1000)이다. 전기 회로(1000)에서, 전압 소스는 전극(예컨대, 입력 노드(408))에 선택적으로 결합된 제1 전압 소스(404a) 및 용량성 엘리먼트(152)에 선택적으로 결합된 제2 전압 소스(404b)를 포함할 수 있다. 이 예에서, 제6 스위치(402f)는 제2 전압 소스(404b) 및 제4 스위치(402d)와 병렬로 결합될 수 있다. 제5 스위치(402e)는 용량성 엘리먼트(152)와 접지 노드(414) 사이에 직렬로 결합될 수 있다.
[0083] 제1 위상 동안, 제1 스위치(402a), 제4 스위치(402d) 및 제5 스위치(402e)는 폐쇄될 수 있는 반면, 제2 스위치(402b), 제3 스위치(402c) 및 제6 스위치(402f)는 개방될 수 있다. 제2 위상 동안, 제6 스위치(402f) 및 제2 스위치(402b)는 폐쇄될 수 있는 반면, 제1 스위치(402a), 제3 스위치(402c) 및 제4 스위치(402d)는 개방될 수 있다. 제3 위상 동안, 제3 스위치(402c) 및 제6 스위치(402f)는 폐쇄될 수 있는 반면, 제1 스위치(402a), 제2 스위치(402b) 및 제5 스위치(402e)는 개방될 수 있다.
[0084] 특정 경우들에서, 용량성 엘리먼트(152) 및 제2 전압 소스(404b)는 이온 전류를 보상하기 위해 사용될 수 있다. 예컨대, 제3 스위치(402c)는 이온 전류 보상 스테이지 동안 전압 스텝 다운(step down)을 생성하기 위해 제4 위상의 중간에 폐쇄될 수 있다.
[0085] 전압 트리플러(Voltage Tripler)들 및 쿼드러플러(Quadrupler)들의 개념과 유사하게, 부스트 커패시턴스의 다수의 스테이지들이 웨이퍼 DC 전압을 추가로 증가시키는 데 사용될 수 있다. 이러한 실시예들은 더 많은 스위치들 및/또는 릴레이들을 사용할 수 있다.
[0086] 본원에서 설명된 기술들 및 장치는 DC 바이어스를 설정하기 위해 소비되는 플라즈마 전자들의 수를 감소시킬 수 있다는 것이 인지될 것이다. 따라서, 본원에서 설명된 기술들 및 장치는 플라즈마 안정성을 개선하고 기판 프로세싱을 위해 달성 가능한 최대 이온 에너지를 연장할 수 있다.
[0087] "결합된"이란 용어는, 2개의 객체들 사이에서의 직접적인 또는 간접적인 결합을 지칭하도록 본원에서 사용된다. 예컨대, 객체 A가 객체 B를 물리적으로 터치하고 객체 B가 객체 C를 터치하면, 객체들 A 및 C는, 객체들 A 및 C가 서로를 물리적으로 직접 터치하지 않더라도, 서로 결합된 것으로 여전히 고려될 수도 있다. 예컨대, 제1 객체가 제2 객체와 직접 물리적으로 접촉하지 않더라도, 제1 객체는 제2 객체에 결합될 수 있다.
[0088] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 기판을 프로세싱하는 방법으로서,
    제1 위상 동안 프로세싱 챔버 내에 배치된 전극에 용량성 엘리먼트 및 전압 소스를 결합하는 단계 ― 상기 용량성 엘리먼트 및 상기 전압 소스는 상기 전극에 병렬로 결합됨 ―;
    제2 위상 동안 상기 용량성 엘리먼트 및 상기 전극을 접지 노드에 결합하는 단계; 및
    제3 위상 동안 상기 용량성 엘리먼트를 상기 전극에 결합하는 단계를 포함하는,
    기판을 프로세싱하는 방법.
  2. 제1 항에 있어서,
    상기 프로세싱 챔버에 배치된 기판 지지부의 기판 지지 표면 위에 플라즈마를 생성하는 단계를 더 포함하고,
    상기 기판 지지부는 상기 전극 및 상기 전극과 상기 기판 지지 표면 사이에 배치되는 유전체 층을 포함하고;
    상기 제2 위상 동안 결합하는 단계는 상기 전압 소스 및 상기 용량성 엘리먼트로부터 상기 전극을 분리하는 단계를 더 포함하고; 그리고
    상기 제3 위상 동안 결합하는 단계는 상기 접지 노드로부터 상기 전극을 분리하는 단계를 더 포함하는,
    기판을 프로세싱하는 방법.
  3. 제1 항에 있어서,
    상기 제1 위상 동안 결합하는 단계는:
    상기 전극에 전기적으로 결합되는 입력 노드와 상기 전압 소스 사이에 결합된 제1 스위치를 폐쇄하는 단계;
    상기 용량성 엘리먼트의 제1 단자와 상기 입력 노드 사이에 결합된 제2 스위치를 폐쇄하는 단계; 및
    상기 용량성 엘리먼트의 제2 단자와 상기 접지 노드 사이에 결합된 제3 스위치를 폐쇄하는 단계를 포함하는,
    기판을 프로세싱하는 방법.
  4. 제3 항에 있어서,
    상기 제1 위상 동안 결합하는 단계는:
    상기 입력 노드와 상기 접지 노드 사이에 결합된 제4 스위치를 개방하는 단계;
    상기 용량성 엘리먼트의 제1 단자와 상기 접지 노드 사이에 결합된 제5 스위치를 개방하는 단계; 및
    상기 용량성 엘리먼트의 제2 단자와 상기 입력 노드 사이에 결합된 제6 스위치를 개방하는 단계를 더 포함하는,
    기판을 프로세싱하는 방법.
  5. 제4 항에 있어서,
    상기 제2 위상 동안 결합하는 단계는:
    상기 제1 스위치, 상기 제2 스위치 및 상기 제3 스위치를 개방하는 단계; 및
    상기 용량성 엘리먼트의 제1 단자 및 상기 입력 노드를 상기 접지 노드에 결합하기 위해 상기 제4 스위치 및 상기 제5 스위치를 폐쇄하는 단계를 포함하는,
    기판을 프로세싱하는 방법.
  6. 제5 항에 있어서,
    상기 제3 위상 동안 결합하는 단계는:
    상기 제4 스위치를 개방하는 단계; 및
    상기 용량성 엘리먼트의 제2 단자를 상기 입력 노드에 결합하기 위해 상기 제6 스위치를 폐쇄하는 단계를 포함하는,
    기판을 프로세싱하는 방법.
  7. 제1 항에 있어서,
    전류 소스의 사용에 의해, 제4 위상 동안 상기 전극에 이온 보상 전류를 인가하는 단계를 더 포함하는,
    기판을 프로세싱하는 방법.
  8. 제7 항에 있어서,
    상기 용량성 엘리먼트는 상기 제4 위상 동안 상기 전극에 결합되는,
    기판을 프로세싱하는 방법.
  9. 제7 항에 있어서,
    상기 프로세싱 챔버에 배치된 기판 지지부의 기판 지지 표면 위에 플라즈마를 생성하는 단계를 더 포함하고,
    상기 기판 지지부는 상기 전극 및 상기 전극과 상기 기판 지지 표면 사이에 배치되는 유전체 층을 포함하고; 그리고
    상기 이온 보상 전류를 인가하는 단계는 상기 용량성 엘리먼트의 커패시턴스에 적어도 부분적으로 기초하여 상기 전극에서 측정된, 시간 경과에 따른 전압의 변화에 대한 응답으로 상기 이온 보상 전류를 조정하는 단계를 포함하는,
    기판을 프로세싱하는 방법.
  10. 제1 항에 있어서,
    상기 제2 위상 동안 결합하는 단계는 상기 전극에서의 전압을 제1 전압 레벨로 감소시키는 단계를 포함하고; 그리고
    상기 제3 위상 동안 결합하는 단계는 상기 전극에서의 전압을 상기 제1 전압 레벨보다 낮은 제2 전압 레벨로 감소시키는 단계를 포함하는,
    기판을 프로세싱하는 방법.
  11. 플라즈마 프로세싱 시스템으로서,
    복수의 스위치들;
    프로세싱 챔버 내에 배치된 전극;
    상기 복수의 스위치들 중 하나를 통해 상기 전극에 선택적으로 결합된 전압 소스; 및
    상기 복수의 스위치들 중 하나를 통해 상기 전극에 선택적으로 결합된 용량성 엘리먼트를 포함하고,
    상기 용량성 엘리먼트 및 상기 전압 소스는 상기 전극에 병렬로 결합되며,
    상기 복수의 스위치들은:
    제1 위상 동안 상기 전극에 상기 용량성 엘리먼트 및 상기 전압 소스를 결합하고,
    제2 위상 동안 상기 용량성 엘리먼트 및 상기 전극을 접지 노드에 결합하고, 그리고
    제3 위상 동안 상기 용량성 엘리먼트를 상기 전극에 결합하도록 구성되는,
    플라즈마 프로세싱 시스템.
  12. 제11 항에 있어서,
    상기 프로세싱 챔버는 상기 전극 위에 배치되는 유전체 층을 포함하는 기판 지지부를 포함하고;
    상기 복수의 스위치들은:
    상기 제2 위상 동안 상기 용량성 엘리먼트 및 상기 전압 소스로부터 상기 전극을 분리하고, 그리고
    상기 제3 위상 동안 상기 접지 노드로부터 상기 전극을 분리하도록 구성되는,
    플라즈마 프로세싱 시스템.
  13. 제12 항에 있어서,
    상기 복수의 스위치들은:
    상기 전극에 전기적으로 결합되는 입력 노드와 상기 전압 소스 사이에 결합된 제1 스위치 ― 상기 제1 스위치는 상기 제1 위상 동안 폐쇄되도록 구성됨 ―;
    상기 용량성 엘리먼트의 제1 단자와 상기 입력 노드 사이에 결합된 제2 스위치 ― 상기 제2 스위치는 상기 제1 위상 동안 폐쇄되도록 구성됨 ―; 및
    상기 용량성 엘리먼트의 제2 단자와 상기 접지 노드 사이에 결합된 제3 스위치를 포함하고,
    상기 제3 스위치는 상기 제1 위상 동안 폐쇄되도록 구성되는,
    플라즈마 프로세싱 시스템.
  14. 제13 항에 있어서,
    상기 복수의 스위치들은:
    상기 입력 노드와 상기 접지 노드 사이에 결합된 제4 스위치 ― 상기 제4 스위치는 상기 제1 위상 동안 개방되도록 구성됨 ―;
    상기 용량성 엘리먼트의 제1 단자와 상기 접지 노드 사이에 결합된 제5 스위치 ― 상기 제5 스위치는 상기 제1 위상 동안 개방되도록 구성됨 ―; 및
    상기 용량성 엘리먼트의 제2 단자와 상기 입력 노드 사이에 결합된 제6 스위치를 포함하고,
    상기 제6 스위치는 상기 제1 위상 동안 개방되도록 구성되는,
    플라즈마 프로세싱 시스템.
  15. 제14 항에 있어서,
    상기 제1 스위치, 상기 제2 스위치 및 상기 제5 스위치는 상기 제2 위상 동안 개방되도록 구성되고; 그리고
    상기 제4 스위치 및 상기 제5 스위치는 상기 용량성 엘리먼트의 제1 단자 및 상기 입력 노드를 상기 접지 노드에 결합하기 위해 상기 제2 위상 동안 폐쇄되도록 구성되는,
    플라즈마 프로세싱 시스템.
  16. 제15 항에 있어서,
    상기 제4 스위치는 상기 제3 위상 동안 개방되도록 구성되고; 그리고
    상기 제6 스위치는 상기 용량성 엘리먼트의 제2 단자를 상기 입력 노드에 결합하기 위해 상기 제3 위상 동안 폐쇄되도록 구성되는,
    플라즈마 프로세싱 시스템.
  17. 제11 항에 있어서,
    상기 전극에 결합된 전류 소스를 더 포함하고; 그리고
    상기 전류 소스는 제4 위상 동안 상기 프로세싱 챔버에 이온 보상 전류를 인가하도록 구성되는,
    플라즈마 프로세싱 시스템.
  18. 제17 항에 있어서,
    상기 복수의 스위치들은 상기 제4 위상 동안 상기 프로세싱 챔버에 상기 용량성 엘리먼트를 결합하도록 구성되는,
    플라즈마 프로세싱 시스템.
  19. 제17 항에 있어서,
    상기 프로세싱 챔버는 상기 전극 위에 배치되는 유전체 층을 포함하는 기판 지지부를 포함하고; 그리고
    상기 전류 소스는 상기 용량성 엘리먼트의 커패시턴스에 적어도 부분적으로 기초하여 상기 전극에서 측정된, 시간 경과에 따른 전압의 변화에 대한 응답으로 상기 이온 보상 전류를 조정하도록 구성되는,
    플라즈마 프로세싱 시스템.
  20. 제11 항에 있어서,
    상기 복수의 스위치들은:
    상기 전극에서의 전압을 제1 전압 레벨로 감소시키기 위해 상기 제2 위상 동안 상기 전극을 상기 접지 노드에 결합하고; 그리고
    상기 전극에서의 전압을 상기 제1 전압 레벨보다 낮은 제2 전압 레벨로 감소시키기 위해 상기 제3 위상 동안 상기 용량성 엘리먼트를 상기 전극에 결합하도록 구성되는,
    플라즈마 프로세싱 시스템.
KR1020237002924A 2021-06-28 2022-05-25 기판 프로세싱을 위한 펄스식 전압 부스트 KR20230026506A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/361,178 US11776788B2 (en) 2021-06-28 2021-06-28 Pulsed voltage boost for substrate processing
US17/361,178 2021-06-28
PCT/US2022/030844 WO2023278075A1 (en) 2021-06-28 2022-05-25 Pulsed voltage boost for substrate processing

Publications (1)

Publication Number Publication Date
KR20230026506A true KR20230026506A (ko) 2023-02-24

Family

ID=84541248

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237002924A KR20230026506A (ko) 2021-06-28 2022-05-25 기판 프로세싱을 위한 펄스식 전압 부스트

Country Status (6)

Country Link
US (1) US11776788B2 (ko)
JP (1) JP2023542779A (ko)
KR (1) KR20230026506A (ko)
CN (1) CN115868003A (ko)
TW (1) TWI835163B (ko)
WO (1) WO2023278075A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing

Family Cites Families (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3055203A (en) 1959-10-01 1962-09-25 Gen Electric Automatic washer-dryer including a pre-spin impulse speed and unbalance switch means
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ko) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
KR100292411B1 (ko) 1998-09-25 2001-06-01 윤종용 반도체소자의 제조에 사용되는 플라즈마 장비
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
JP2003506826A (ja) 1999-08-02 2003-02-18 アドバンスド エナジー インダストリーズ, インコーポレイテッド イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
EP1214459B1 (en) 1999-08-17 2009-01-07 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
PT1253216E (pt) 2001-04-27 2004-04-30 Europ Economic Community Metodo e aparelhagem para tratamento sequencial por plasma
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
JP2005508078A (ja) 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
KR20070072571A (ko) 2004-11-04 2007-07-04 가부시키가이샤 알박 정전 척 장치
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
DE502005000175D1 (de) 2005-03-10 2006-12-21 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
DE502006005363D1 (de) 2006-11-23 2009-12-24 Huettinger Elektronik Gmbh Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
JP5199595B2 (ja) * 2007-03-27 2013-05-15 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
JP5606312B2 (ja) 2007-07-23 2014-10-15 トゥルンプフ ヒュッティンガー ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト プラズマ給電装置
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
WO2009069670A1 (ja) 2007-11-26 2009-06-04 Tokyo Electron Limited 微小構造体検査装置および微小構造体検査方法
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US20110298376A1 (en) 2009-01-13 2011-12-08 River Bell Co. Apparatus And Method For Producing Plasma
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US9313872B2 (en) 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
EP4226935A3 (en) 2010-08-31 2023-09-06 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
KR102023784B1 (ko) 2011-03-04 2019-09-20 도쿄엘렉트론가부시키가이샤 질화규소막 에칭 방법
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
US9209034B2 (en) 2012-02-01 2015-12-08 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
KR102038649B1 (ko) 2012-02-20 2019-10-30 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
EP2837687B1 (en) 2012-03-30 2017-02-22 Toray Industries, Inc. Method for producing chemical by means of continuous fermentation and continuous fermentation device
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
DK3403995T3 (da) 2012-08-15 2021-04-19 Lockheed Martin Energy Llc Jernhexacyanider med høj opløselighed
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
KR102149718B1 (ko) 2012-11-01 2020-08-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
WO2014132099A1 (en) 2013-02-28 2014-09-04 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
CN109166782B (zh) 2013-11-06 2020-08-07 应用材料公司 通过dc偏压调制的颗粒产生抑制器
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
CN116633324A (zh) 2013-11-14 2023-08-22 鹰港科技有限公司 高压纳秒脉冲发生器
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10522343B2 (en) 2014-03-02 2019-12-31 Tokyo Electron Limited Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
CN105474381B (zh) 2014-07-23 2018-06-05 应用材料公司 可调谐温度受控的基板支撑组件
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
US10672616B2 (en) 2014-12-25 2020-06-02 Tokyo Electon Limited Plasma processing apparatus and plasma processing method
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10373755B2 (en) 2015-11-30 2019-08-06 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
CN108369921B (zh) 2015-12-07 2023-12-12 应用材料公司 使用静电夹盘夹持及解夹持基板的方法及装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
KR102304823B1 (ko) 2016-03-31 2021-09-23 도쿄엘렉트론가부시키가이샤 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
CN110268808A (zh) 2016-12-30 2019-09-20 鹰港技术股份有限公司 高压感应加法器
US20180190501A1 (en) 2017-01-05 2018-07-05 Tokyo Electron Limited Plasma processing apparatus
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
EP3813259B1 (en) 2017-03-31 2022-10-26 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US11658354B2 (en) 2017-05-30 2023-05-23 Titan Advanced Energy Solutions, Inc. Battery life assessment and capacity restoration
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
KR102601455B1 (ko) 2017-08-25 2023-11-13 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
WO2019088204A1 (ja) 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
KR20200074961A (ko) * 2017-11-16 2020-06-25 도쿄엘렉트론가부시키가이샤 동기화된 신호 변조를 통한 플라즈마 공정 시스템
US10607813B2 (en) 2017-11-17 2020-03-31 Advanced Energy Industries, Inc. Synchronized pulsing of plasma processing source and substrate bias
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
WO2019173768A1 (en) 2018-03-08 2019-09-12 Eagle Harbor Technologies, Inc. Precision eddy current sensor for nondestructive evaluation of structures
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) * 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
SG11202010037QA (en) 2018-05-03 2020-11-27 Applied Materials Inc Rf grounding configuration for pedestals
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7079686B2 (ja) * 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
CN113906677A (zh) 2019-01-08 2022-01-07 鹰港科技有限公司 纳秒脉冲发生器电路中的高效能量恢复
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
NL2022999B1 (en) 2019-04-24 2020-11-02 Prodrive Tech Bv Voltage waveform generator for plasma processing apparatuses
WO2020243023A1 (en) 2019-05-24 2020-12-03 Eagle Harbor Technologies, Inc. Klystron driver
US11398369B2 (en) 2019-06-25 2022-07-26 Applied Materials, Inc. Method and apparatus for actively tuning a plasma power source
WO2021003319A1 (en) 2019-07-02 2021-01-07 Eagle Harbor Technologies. Inc Nanosecond pulser rf isolation
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
WO2021062223A1 (en) 2019-09-25 2021-04-01 Eagle Harbor Technologies, Inc. Nonlinear transmission line high voltage pulse sharpening with energy recovery
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR102591378B1 (ko) 2019-12-24 2023-10-19 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399186A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Method and apparatus to reduce feature charging in plasma processing chamber
US11823868B2 (en) 2021-06-11 2023-11-21 Applied Materials, Inc. Hardware switch on main feed line in a radio frequency plasma processing chamber
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US20230087307A1 (en) 2021-09-14 2023-03-23 Applied Materials, Inc. Distortion current mitigation in a radio frequency plasma processing chamber

Also Published As

Publication number Publication date
US11776788B2 (en) 2023-10-03
US20220415614A1 (en) 2022-12-29
WO2023278075A1 (en) 2023-01-05
JP2023542779A (ja) 2023-10-12
TW202303753A (zh) 2023-01-16
CN115868003A (zh) 2023-03-28
TWI835163B (zh) 2024-03-11

Similar Documents

Publication Publication Date Title
US20240030002A1 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
US11476090B1 (en) Voltage pulse time-domain multiplexing
US11569066B2 (en) Pulsed voltage source for plasma processing applications
US11810760B2 (en) Apparatus and method of ion current compensation
KR20240017919A (ko) 펄스식 dc 플라즈마 챔버에서의 플라즈마 균일성 제어
KR20230026506A (ko) 기판 프로세싱을 위한 펄스식 전압 부스트
US11967483B2 (en) Plasma excitation with ion energy control
US20230071168A1 (en) Method and apparatus for digital control of ion energy distribution in a plasma
JP2024524944A (ja) イオン電流補償の装置及び方法