JP2023542779A - 基板処理のためのパルス電圧ブースト - Google Patents

基板処理のためのパルス電圧ブースト Download PDF

Info

Publication number
JP2023542779A
JP2023542779A JP2023504707A JP2023504707A JP2023542779A JP 2023542779 A JP2023542779 A JP 2023542779A JP 2023504707 A JP2023504707 A JP 2023504707A JP 2023504707 A JP2023504707 A JP 2023504707A JP 2023542779 A JP2023542779 A JP 2023542779A
Authority
JP
Japan
Prior art keywords
switch
electrode
capacitive element
during
voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023504707A
Other languages
English (en)
Inventor
ヤン ヤン,
ユエ クオ,
カーティク ラーマスワーミ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023542779A publication Critical patent/JP2023542779A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本明細書で提供される実施形態は、概して、処理チャンバ中の電極の電圧をブーストするための、装置、プラズマ処理システム、および方法を含む。例示的なプラズマ処理システムは、処理チャンバと、複数のスイッチと、処理チャンバ中に配設された電極と、電圧源と、容量性要素とを含む。電圧源は、複数のスイッチのうちの1つを介して電極に選択的に結合される。容量性要素は、複数のスイッチのうちの1つを介して電極に選択的に結合される。容量性要素および電圧源は、並列に電極に結合される。複数のスイッチは、第1の段階中に、電極に容量性要素および電圧源を結合することと、第2の段階中に、接地ノードに容量性要素および電極を結合することと、第3の段階中に、電極に容量性要素を結合することとを行うように構成される。【選択図】図4

Description

本開示の実施形態は、概して、半導体デバイス製作において使用されるシステムに関する。より詳細には、本開示の実施形態は、基板を処理するために使用されるプラズマ処理システムに関する。
高アスペクト比特徴を確実にもたらすことは、次世代の半導体デバイスについての主要な技術課題のうちの1つである。高アスペクト比特徴を形成する1つの方法は、プラズマが、処理チャンバ中で形成され、プラズマからのイオンが、基板の表面に向かって加速され、基板の表面上に形成されたマスク層の下に配設された材料層中に開口を形成する、プラズマアシストエッチングプロセスを使用する。
一般的なプラズマアシストエッチングプロセスにおいて、基板は、処理チャンバ中に配設された基板支持体上に配置され、プラズマが、基板の上に形成され、イオンが、プラズマと基板の表面との間に形成されたプラズマシース、換言すれば、電子が枯渇した領域にわたって基板に向かってプラズマから加速される。
パルシング技法は、DCバイアスを確立するために、大量のプラズマ生成バルク電子を周期的におよび突然に消費しなければならず、消費されるバルク電子の量は、しばしば、生成されたプラズマ中で見られる自由電子の数程度であることがわかっている。それゆえ、バルク電子の消費は、プラズマ安定性に対する激しい摂動を引き起こし、プラズマが消えることを時々引き起こす。例として、プラズマ処理システムが、5e10cm-3のプラズマ密度と、2cmの間隙(1インチの間隙からシース厚さ、すなわち、約0.5cmを引いたもの)と、30cmのウエハ直径とを有すると仮定する。そのようなシステムでは、ウエハの上方の領域中のすべての利用可能な電子は、7e13個である。(高アスペクト比エッチ適用例の場合に一般的な)200pFのシースキャパシタンスおよび8000Vのシース電圧をさらに仮定する。ウエハ表面を帯電させるために使用される電子の数は、約1e13個である。したがって、約数十ナノ秒で、バルク電子のうちの約15パーセントが、DCバイアスを確立するためにプラズマから引き抜かれる。この消費は、400kHz辺りであり得るパルス状周波数において繰り返す。バルク電子消費は、プラズマ持続性および安定性に対する有意な摂動である。このシナリオは、より高いイオンエネルギーを使用する発展的プロセスの場合、悪化するのみであり得る。処理中に、プラズマ不安定性によって作り出されたプラズマの変動は、ウィズインウエハ(WIW)プロセス性能およびウエハトゥウエハ(WTW)プロセス性能に影響を及ぼし、これにより、デバイス歩留まりおよび他の関係するプロセス結果に影響を及ぼす。
従って、当技術分野では、望ましいプラズマアシストエッチングプロセス結果を提供することが可能である、プラズマ処理方法およびバイアス方法が求められている。
本明細書で提供される実施形態は、概して、処理チャンバ中での基板のプラズマ処理のための波形の生成のための、装置、プラズマ処理システムおよび方法を含む。
本開示の一実施形態は、プラズマ処理システムを対象とする。プラズマ処理システムは、概して、処理チャンバと、複数のスイッチと、処理チャンバ中に配設された電極と、電圧源と、容量性要素とを含む。電圧源は、複数のスイッチのうちの1つを介して電極に選択的に結合される。容量性要素は、複数のスイッチのうちの1つを介して電極に選択的に結合される。容量性要素および電圧源は、並列に電極に結合される。複数のスイッチは、第1の段階中に、電極に容量性要素および電圧源を結合することと、第2の段階中に、接地ノードに容量性要素および電極を結合することと、第3の段階中に、電極に容量性要素を結合することとを行うように構成される。
本開示の一実施形態は、基板を処理する方法を対象とする。方法は、概して、第1の段階中に、処理チャンバ内に配設された電極に容量性要素および電圧源を結合することであって、ここで、容量性要素および電圧源が、並列に電極に結合される、容量性要素および電圧源を結合することを含む。方法は、第2の段階中に、接地ノードに容量性要素および電極を結合することをも含む。方法は、第3の段階中に、電極に容量性要素を結合することをさらに含む。
本開示の上記で具陳された特徴が詳細に理解され得るように、上記で手短に要約された本開示のより具体的な説明が、それらのうちのいくつかが添付の図面中に図示されている、実施形態を参照することによって行われ得る。しかしながら、添付の図面は、例示の実施形態を図示するにすぎず、それゆえ、それの範囲の限定と見なされるべきではなく、他の等しく効果的な実施形態を認め得ることに留意されたい。
本明細書で記載される方法を実践するように構成された、1つまたは複数の実施形態による、処理システムの概略断面図である。 1つまたは複数の実施形態による、処理チャンバの電極に印加され得る電圧波形を示す図である。 処理チャンバの電極に印加された電圧波形により基板上に確立される電圧波形を示す図である。 例示的なイオンエネルギー分布(IED)を図示する図である。 本開示のいくらかの実施形態による、電極における電圧ブーストを確立し得るプラズマ処理システムの機能的に等価な、簡略化された電気回路を図示する図である。 本開示のいくらかの実施形態による、プラズマ処理システムのスイッチの状態を図示するタイミング図である。 本開示のいくらかの実施形態による、図5中に描かれている対応する段階中のスイッチの状態を図示する回路図である。 本開示のいくらかの実施形態による、図5中に描かれている対応する段階中のスイッチの状態を図示する回路図である。 本開示のいくらかの実施形態による、図5中に描かれている対応する段階中のスイッチの状態を図示する回路図である。 電極におけるブースト電圧を確立するための方法を図示するプロセスフロー図である。 本開示のいくらかの実施形態による、処理チャンバの電極において確立され得る追加の電圧波形を示す図である。 処理チャンバの電極に印加された電圧波形により基板上に確立される追加の電圧波形を示す図である。 本開示のいくらかの実施形態による、プラズマ処理システムの追加の機能的に等価な、簡略化された電気回路である。 本開示のいくらかの実施形態による、ブーストキャパシタのための別個の電圧源をもつプラズマ処理システムの追加の機能的に等価な、簡略化された電気回路である。
理解を促進するために、同一の参照番号が、可能な場合、図に共通である同一の要素を指定するために使用されている。一態様において開示される要素は、特定の具陳なしに他の態様上で有益に利用され得ることが企図される。
技術ノードが、2nmに向かって進むにつれて、より大きいアスペクト比をもつより小さい特徴の製造は、プラズマ処理のための原子精度を伴う。プラズマイオンが重要な役割を果たすエッチングプロセスでは、イオンエネルギー制御が、半導体機器工業にとって課題である。旧来、RFバイアス技法は、プラズマを励起し、イオンを加速するために正弦波を使用する。
本開示のいくつかの実施形態は、概して、プラズマ電子の消費なしにまたはプラズマ電子の低減された消費を伴ってウエハ表面電圧を増加させるために充電ポンプの概念を使用する、技法および装置を対象とする。いくらかの態様では、(処理チャンバの電極と並列に結合された容量性要素など)外部回路からの電子が、電極におけるDCバイアスをブーストするために使用され得る。本明細書で説明される、電極におけるDCバイアスをブーストするための技法および装置は、プラズマ電子に対する負荷を低減し、および/またはより高エネルギーの基板処理動作を促進し得る。
プラズマ処理システム例
図1は、本明細書で記載されるプラズマ処理方法のうちの1つまたは複数を実施するように構成されたプラズマ処理システム10の概略断面図である。いくつかの実施形態では、処理システム10は、反応性イオンエッチ(RIE)プラズマ処理など、プラズマアシストエッチングプロセスのために構成される。しかしながら、本明細書で説明される実施形態はまた、プラズマ堆積プロセス、たとえば、プラズマ化学気相堆積(PECVD)プロセス、プラズマ物理的気相堆積(PEPVD)プロセス、プラズマ原子層堆積(PEALD)プロセス、プラズマトリートメント処理、またはプラズマベースイオン注入処理、たとえば、プラズマドーピング(PLAD)処理など、他のプラズマアシストプロセスにおいて使用するために構成された処理システムとともに使用され得ることに留意されたい。
示されているように、処理システム10は、容量結合プラズマ(CCP)を形成するように構成され、ここで、処理チャンバ100は、処理領域129中に配設された上側電極(たとえば、チャンバリッド123)であって、同じく処理領域129中に配設された下側電極(たとえば、基板支持アセンブリ136)に面する上側電極を含む。一般的な容量結合プラズマ(CCP)処理システムにおいて、上側電極または下側電極のうちの1つに電気的に結合された高周波(RF)源が、上側電極および下側電極の各々に容量的に結合され、上側電極および下側電極の間で処理領域中に配設された、プラズマ(たとえば、プラズマ101)に点火し、プラズマを維持するように構成されたRF信号を供給する。一般的に、上側電極または下側電極のうちの対向する1つが、接地に、または追加のプラズマ励起のための第2のRF電源に結合される。示されているように、処理システム10は、処理チャンバ100と、支持アセンブリ136と、システムコントローラ126とを含む。
処理チャンバ100は、一般的に、処理領域129を集合的に定義する、チャンバリッド123と、1つまたは複数の側壁122と、チャンバベース124とを含むチャンバ本体113を含む。1つまたは複数の側壁122およびチャンバベース124は、概して、処理チャンバ100の要素のための構造的支持体を形成するようにサイズ決定および整形された材料を含み、プラズマ101が、処理中に処理チャンバ100の処理領域129中に維持された真空環境内に生成される間、1つまたは複数の側壁122およびチャンバベース124に印加される圧力および追加エネルギーに耐えるように構成される。一例では、1つまたは複数の側壁122およびチャンバベース124は、アルミニウム、アルミニウム合金、またはステンレス鋼合金など、金属から形成される。
チャンバリッド123を通って配設されたガス入口128は、処理領域129に、処理領域129と流体連結している処理ガス源119から1つまたは複数の処理ガスを供給するために使用される。基板103が、基板103のプラズマ処理中にスリットバルブ(図示せず)で密封される、1つまたは複数の側壁122のうちの1つ中の開口(図示せず)を通って処理領域129中にロードされ、処理領域129から除去される。
いくつかの実施形態では、基板支持アセンブリ136中に形成された開口を通って移動可能に配設された複数のリフトピン(図示せず)が、基板支持表面105Aへのおよび基板支持表面105Aからの基板移送を促進するために使用される。いくつかの実施形態では、複数のリフトピン132は、処理領域129中に配設されたリフトピンフープ(図示せず)の上方に配設され、リフトピンフープに結合され、および/またはリフトピンフープと係合可能である。リフトピンフープは、チャンバベース124を通って密封的に延びるシャフト(図示せず)に結合され得る。シャフトは、リフトピンフープを昇降させるために使用されるアクチュエータ(図示せず)に結合され得る。リフトピンフープが、上昇位置にあるとき、リフトピンフープは、複数のリフトピン132と係合し、基板支持表面105Aより上にリフトピンの上面を上昇させ、基板支持表面105Aから基板103を持ち上げ、ロボットハンドラ(図示せず)による基板103の非アクティブ(裏側)表面へのアクセスを可能にする。リフトピンフープが、下降位置にあるとき、複数のリフトピン132は、基板支持表面105Aと面一であるかまたは基板支持表面105Aよりも下にへこまされ、基板103は、基板支持表面105A上に載る。
本明細書では処理チャンバコントローラとも呼ばれる、システムコントローラ126は、中央処理ユニット(CPU)133と、メモリ134と、サポート回路135とを含む。システムコントローラ126は、本明細書で説明される基板バイアス方法および/または電圧ブースティング方法を含む、基板103を処理するために使用されるプロセスシーケンスを制御するために使用される。CPU133は、処理チャンバ、および処理チャンバに関係するサブプロセッサを制御するための工業的設定において使用するために構成された汎用コンピュータプロセッサである。概して不揮発性メモリである、本明細書で説明されるメモリ134は、ランダムアクセスメモリ、読取り専用メモリ、フロッピーまたはハードディスクドライブ、あるいはローカルまたはリモートに関わらず他の好適な形式のデジタルストレージを含み得る。サポート回路135は、従来、CPU133に結合され、キャッシュ、クロック回路、入力/出力サブシステム、電源など、およびそれらの組合せを備える。ソフトウェア命令(プログラム)およびデータが、CPU133内のプロセッサに命令するために、コーディングされ、メモリ134内に記憶され得る。システムコントローラ126中のCPU133によって読取り可能なソフトウェアプログラム(またはコンピュータ命令)は、どのタスクが、処理システム10中の構成要素によって実施可能であるかを決定する。
一般的に、システムコントローラ126中のCPU133によって読取り可能であるプログラムは、プロセッサ(CPU133)によって実行されたとき、本明細書で説明されるプラズマ処理方式に関係するタスクを実施するコードを含む。プログラムは、本明細書で説明される方法を実装するために使用される様々なプロセスタスクおよび様々なプロセスシーケンスを実施するように処理システム10内の様々なハードウェアおよび電気構成要素を制御するために使用される、命令を含み得る。一実施形態では、プログラムは、図7に関して以下で説明される動作のうちの1つまたは複数を実施するために使用される命令を含む。
プラズマ制御システムは、概して、(図4中に描かれている複素負荷上で)バイアス電極104において少なくとも第1のパルス電圧(PV)波形を確立するための第1のソースアセンブリ196と、エッジ制御電極115において少なくとも第2のPV波形を確立するための第2のソースアセンブリ197とを含む。第1のPV波形または第2のPV波形は、図4に関して本明細書でより詳細に説明される電圧源および/または電流源に対応し得る、波形ジェネレータアセンブリ150内の1つまたは複数の構成要素(たとえば、PV源)を使用して生成され得る。いくつかの実施形態では、波形ジェネレータは、基板支持アセンブリ136とチャンバリッド123との間に配設された処理領域中にプラズマ101を生成する(プラズマ101を維持するおよび/またはプラズマ101に点火する)ために使用され得る、支持ベース107(たとえば、電力電極またはカソード)および/あるいはバイアス電極104にRF信号を供給する。いくつかの実施形態では、図1中に示されているように、第3のソースアセンブリ198内の別個の波形ジェネレータアセンブリ150が、支持ベース107(たとえば、電源電極またはカソード)にRF信号を供給するように構成されたRF源を少なくとも含む。
第1のソースアセンブリ196、第2のソースアセンブリ197、または第3のソースアセンブリ198から提供された印加RF信号は、基板支持アセンブリ136とチャンバリッド123との間に配設された処理領域中にプラズマ101を生成する(プラズマ101を維持するおよび/またはプラズマ101に点火する)ように構成され得る。いくつかの実施形態では、RF信号は、処理領域129に配設された処理ガス、ならびに支持ベース107および/またはバイアス電極104に供給されたRF電力(RF信号)によって生成された電界を使用して、処理プラズマ101に点火し、処理プラズマ101を維持するために使用される。いくつかの態様では、RF信号は、波形ジェネレータアセンブリ150内に配設されたRF源(図示せず)によって生成され得る。処理領域129は、真空出口120を通って1つまたは複数の専用真空ポンプに流体的に結合され、真空ポンプは、大気中より低い圧力コンディションに処理領域129を維持し、処理領域129から処理ガスおよび/または他のガスを排気する。いくつかの実施形態では、処理領域129中に配設された基板支持アセンブリ136は、接地され、チャンバベース124を通って延びる、支持シャフト138上に配設される。いくつかの実施形態では、RF信号ジェネレータは、約40MHzと約200MHzとの間など、40MHzよりも大きい周波数を有するRF信号を供給するように構成され得る。
いくつかの実施形態では、容量性要素152が、図4に関して本明細書でさらに説明されるように、バイアス電極104および/または支持ベース107に選択的に結合され得る。いくらかの場合では、容量性要素152は、電力供給線157を介してバイアス電極104および/または支持ベース107に電気的に結合され得る。容量性要素152は、プラズマから消費されるバルク電子を低減するために、ESC再充電ステージ中に電圧ブーストを提供し得る。電圧ブーストは、プラズマからのバルク電子の消費によって引き起こされるプラズマ持続性および安定性に対する摂動を低減または防止し得る。
上記で手短に論じられた基板支持アセンブリ136は、概して、基板支持体105(たとえば、静電チャック(ESC)基板支持体)と支持ベース107とを含む。いくつかの実施形態では、基板支持アセンブリ136は、以下でさらに論じられるように、絶縁体プレート111および接地プレート112を追加として含むことができる。支持ベース107は、絶縁体プレート111によってチャンバベース124から電気的に絶縁され、接地プレート112は、絶縁体プレート111とチャンバベース124との間に挿入される。基板支持体105は、支持ベース107に熱結合され、支持ベース107上に配設される。いくつかの実施形態では、支持ベース107は、基板支持体105および基板処理中に基板支持体105上に配設される基板103の温度を調節するように構成される。いくつかの実施形態では、支持ベース107は、比較的高い電気抵抗を有する冷媒源または水源など、冷却剤源(図示せず)に流体的に結合され、冷却剤源と流体連結している、支持ベース107中に配設された1つまたは複数の冷却チャネル(図示せず)を含む。いくつかの実施形態では、基板支持体105は、基板支持体105の誘電体材料中に埋め込まれた抵抗性加熱要素など、ヒータ(図示せず)を含む。本明細書では、支持ベース107は、耐食金属、たとえば、アルミニウム、アルミニウム合金、またはステンレス鋼など、耐食熱伝導性材料で形成され、接着剤を用いてまたは機械的手段によって基板支持体に結合される。
一般的に、基板支持体105は、耐食金属酸化物または金属窒化物材料、たとえば、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化チタン(TiO)、窒化チタン(TiN)、酸化イットリウム(Y)、それらの混合物、またはそれらの組合せなど、バルク焼結セラミック材料など、誘電体材料で形成される。本明細書の実施形態では、基板支持体105は、基板支持体105の誘電体材料中に埋め込まれたバイアス電極104をさらに含む。
1つの構成では、バイアス電極104は、基板支持体105の基板支持表面105Aに基板103を固定する(換言すれば、チャックする)ために、および本明細書で説明されるパルス電圧バイアス方式のうちの1つまたは複数を使用して処理プラズマ101に関して基板103をバイアスするために使用されるチャッキングポールである。一般的には、バイアス電極104は、1つまたは複数の金属メッシュ、箔、プレート、またはそれらの組合せなど、1つまたは複数の導電部分で形成される。
いくつかの実施形態では、バイアス電極104は、クランピングネットワークに電気的に結合され、クランピングネットワークは、同軸電力供給線106(たとえば、同軸ケーブル)など、電気導体を使用して、約-5000Vと約5000Vとの間の静的DC電圧など、チャッキング電圧をバイアス電極104に提供する。以下でさらに論じられるように、クランピングネットワークは、DC電力供給155(たとえば、高電圧DC(HVDC)供給)とフィルタ151(たとえば、ローパスフィルタ)とを含む。
基板支持アセンブリ136は、エッジリング114の下方に配置され、バイアス電極104を取り囲み、および/またはバイアス電極104の中心から距離をおいて配設された、エッジ制御電極115をさらに含み得る。一般に、円形基板を処理するように構成された処理チャンバ100の場合、エッジ制御電極115は、形状が環状であり、導電性材料から作られ、バイアス電極104の少なくとも一部分を取り囲むように構成される。図1中に示されているようないくつかの実施形態では、エッジ制御電極115は、基板支持体105の領域内に配置される。いくつかの実施形態では、図1中に図示されているように、エッジ制御電極115は、バイアス電極104として基板支持体105の基板支持表面105Aから類似の距離(換言すれば、Z方向)をおいて配設された、導電性メッシュ、箔、および/またはプレートを含む。
エッジ制御電極115は、バイアス電極104をバイアスするために使用される波形ジェネレータアセンブリ150とは異なる波形ジェネレータアセンブリの使用によってバイアスされ得る。いくつかの実施形態では、エッジ制御電極115は、エッジ制御電極115への電力の一部を分割することによってバイアス電極104をバイアスするためにも使用される波形ジェネレータアセンブリ150の使用によって、バイアスされ得る。一構成では、第1のソースアセンブリ196の第1の波形ジェネレータアセンブリ150が、バイアス電極104をバイアスするように構成され、第2のソースアセンブリ197の第2の波形ジェネレータアセンブリ150が、エッジ制御電極115をバイアスするように構成される。
一実施形態では、電力供給線157は、第1のソースアセンブリ196の波形ジェネレータアセンブリ150の出力をバイアス電極104に電気的に接続する。以下の考察は、バイアス電極104に波形ジェネレータアセンブリ150を結合するために使用される、第1のソースアセンブリ196の電力供給線157を主に論じるが、エッジ制御電極115に波形ジェネレータアセンブリ150を結合する、第2のソースアセンブリ197の電力供給線158は、同じまたは類似の構成要素を含む。電力供給線157の様々な部分内の電気導体は、(a)1つの同軸ケーブルまたは、剛性同軸ケーブルと直列に接続されたフレキシブル同軸ケーブルなど、同軸ケーブルの組合せ、(b)絶縁高電圧耐コロナフックアップワイヤ、(c)裸線、(d)金属棒、(e)電気コネクタ、または(f)(a)~(e)における電気要素の任意の組合せを含み得る。
いくつかの実施形態では、処理チャンバ100は、腐食性の処理ガスまたはプラズマ、洗浄ガスまたはプラズマ、あるいはその副産物との基板支持体105および/または支持ベース107の接触を防止するために、基板支持アセンブリ136の部分を少なくとも部分的に囲む、石英パイプ110またはカラーをさらに含む。一般的に、石英パイプ110、絶縁体プレート111、および接地プレート112は、ライナ108によって囲まれる。いくつかの実施形態では、プラズマスクリーン109が、カソードライナ108と側壁122との間に配置され、ライナ108と1つまたは複数の側壁122との間のプラズマスクリーン109の下側の領域中にプラズマが形成するのを防止する。
図2Aは、処理チャンバの電極(たとえば、バイアス電極104および/または支持ベース107)において確立され得る例示的な電圧波形を示す。図2Bは、処理チャンバ内の電極において別々に確立された、図2A中に示されている電圧波形に類似した、異なる電圧波形により基板において確立される異なるタイプの電圧波形225および230の例を図示する。波形は、示されているように、2つのステージ、すなわち、イオン電流ステージとシース崩壊ステージとを含む。イオン電流ステージの始まりにおいて、基板電圧の低下は、基板の上方に高電圧シースを作り出し、基板に向かって正イオンを加速する。態様では、基板電圧の低下は、バルク電子のための源として容量性要素(たとえば、容量性要素152)を使用してブーストされ得る。電圧ブーストは、プラズマから消費されるバルク電子を低減し、エッチングプロセス中のプラズマの持続性および安定性を改善し得る。
イオン電流ステージ中に基板の表面に衝撃を与える正イオンは、基板表面上に正電荷を堆積させ、これは、図2B中の電圧波形225によって図示されているように、補償されない場合、イオン電流ステージ中に、徐々に増加する基板電圧を引き起こす。しかしながら、基板表面上での正電荷の制御されない累積は、シースキャパシタおよびチャックキャパシタを望ましくなく徐々に放電させ、電圧波形225によって図示されているように、シース電圧低下を緩やかに減少させ、基板電位をよりゼロに近づける。正電荷の累積は、基板において確立される電圧波形の電圧ドループを生じる(図2B)。しかしながら、図2A中に示されているように、イオン電流ステージ中に負の傾斜を有する、電極において確立される電圧波形が、図2B中の曲線230によって示されているように、確立される基板電圧波形について正方形の領域(たとえば、ゼロに近い傾斜)を確立するように生成され得る。イオン電流ステージ中に電極において確立される波形の傾斜を実装することは、イオン電流補償と呼ばれることがある。イオン電流段階の始まりと終わりの間の電圧差は、イオンエネルギー分布関数(IEDF)幅を決定する。電圧差が大きいほど、IEDF幅は広くなる。単一エネルギーイオンおよびより狭いIEDF幅を達成するために、イオン電流補償を使用してイオン電流段階において基板電圧波形を平坦化するための動作が実施される。本開示のいくつかの実施形態では、RF信号が、図2A中に示されている電圧波形上に重ねられる。
図3は、本開示のいくらかの実施形態による、IED関数(IEDF)を図示するグラフである。示されているように、IEDFは、イオン電流補償ステージ中に電極において特定の波形を使用してもたらされ得るモノエネルギーピーク302を含む。エネルギーピークに関連するエネルギーは、数百eVよりも小さい(たとえば、1K eVよりも小さい)ことがある。いくらかの場合では、エネルギーピークに関連するエネルギーは、基板中に形成されるべき特徴のアスペクト比に応じて、数百eV~数万eVであり得る。たとえば、いくつかの場合では、エネルギーピークに関連するエネルギーは、4k eVから10k eVの間であり得る。いくつかの実施形態は、図3中に示されているイオンエネルギー分布、またはたとえば図8B中に描かれている、他の好適なイオンエネルギー分布を実装するための技法を対象とする。
基板処理のための電圧ブースト
本開示のいくらかの実施形態は、概して、処理チャンバの、バイアス電極(たとえば、図1中に描かれているバイアス電極104)および/または支持ベース(たとえば、図1中に描かれている支持ベース107)など、電極における電圧をブーストするための技法および装置を対象とする。本明細書で説明される電圧ブーストは、プラズマからのバルク電子の消費を低減し、望ましいプラズマアシストエッチングプロセス結果を促進し得る。
図4は、本開示のいくらかの実施形態による、電極における電圧ブーストを確立し得るプラズマ処理システム(たとえば、処理システム10)の機能的に等価な、簡略化された電気回路400である。示されているように、電気回路400は、(まとめてスイッチ402と呼ばれ、S1~S6と標示されている)スイッチ402a~402fと、電圧源404と、電流源406と、容量性要素152と、(CESCと標示されている)基板支持体105の等価なキャパシタンスと、(処理チャンバ中のバイアス電極104および/または支持ベース107を表す)入力ノード408と、複素負荷410とを含み得、これは、本明細書でさらに説明される標準的な電気プラズマモデルを表し得る。態様では、処理は、電極(たとえば、バイアス電極104および/または支持ベース107)の上に配設された誘電体層(たとえば、基板支持体105の誘電体材料)を備える基板支持体(たとえば、基板支持体105)を含み得る。
電気回路400中で、電圧源404および/または電流源406からのパルス電圧に対する電圧ブーストが、図2A中に描かれている波形など、電極における波形を確立するプロセスの一部分中に容量性要素152を使用して(入力ノード408によって表され得る)電極において確立され得る。電気回路400は、(電圧源404および/または電流源406によって表され得る)波形ジェネレータアセンブリと、容量性要素152と、処理チャンバ100内のいくらかの要素(たとえば、基板支持体およびプラズマ)との間の相互作用の簡略化されたモデルを図示し、概して、処理チャンバ100の動作中に使用される基本要素を図示する。明解の目的で、以下の定義、すなわち、(1)基準が規定されない限り、すべての電位は接地を基準とする、(2)(基板またはバイアス電極のような)任意の物理的点における電圧は、同じように接地(ゼロ電位点)に関するこの点の電位として定義される、(3)カソードシースは、プラズマに関して負の基板電位に対応する電子供与性イオン加速シースであることが暗示される、(4)(「シース電圧低下」とも時々呼ばれる)シース電圧(Vsh)は、プラズマと(たとえば、基板またはチャンバ壁の)隣接面との間の電位差の絶対値として定義される、および(5)基板電位は、プラズマに面する基板表面における電位である、ことが本開示全体にわたって使用される。
複素負荷410は、3つの直列要素として処理プラズマ101を表す標準的な電気プラズマモデルとして描かれている。第1の要素は、基板103に隣接する(時々「プラズマシース」または単に「シース」と呼ばれることもある)電子供与性カソードシースである。カソードシースは、以下、すなわち、(a)開のときにシース崩壊を表すダイオードDSH、(b)シースの存在下で基板に流れるイオン電流を表す電流源I、および(c)イオン加速およびエッチングがその間に起こる、バイアスサイクルの主部分(たとえば、図2A中に描かれている波形のイオン電流ステージ)のためのシースを表すキャパシタCSH(たとえば、およそ100~300pF)を備える、従来の3部回路要素によって表される。第2の要素は、単一の抵抗器Rplasma(たとえば、抵抗器412=およそ5~10オーム)によって表されるバルクプラズマである。第3の要素は、チャンバ壁において形成する電子供与性壁シースである。壁シースは、以下、すなわち、(a)ダイオードDwall、(b)壁へのイオン電流を表す電流源Iiwall、および(c)主に電極における波形のESC再充電段階中の壁シースを表すキャパシタCwall(たとえば、およそ5~10nF)を備える、同じように3部回路要素によって表される。接地された金属壁の内部表面も、誘電体材料の薄い層でコーティングされ得、これは、複素負荷410と接地ノード414との間に直列に結合された、およそ300~1000nFなどのキャパシタンス(図示せず)を提供し得る。ESCアセンブリ(たとえば、基板支持体105)の寄生容量および浮遊キャパシタンス、ならびにESCアセンブリのインダクタンスは、本明細書で説明される電圧ブースト方式にとって重要ではないことがあり、図4中に描かれていない。いくらかの場合では、(特に)これらのファクタは、(容量性要素152のキャパシタンス、CESCのキャパシタンスなど)いくらかの電気構成要素の値、ならびに/あるいは電極において確立される波形の特性(たとえば、電圧レベル、持続時間、および/またはRF信号)を決定する際に考慮され得る。
容量性要素152は、セラミックキャパシタおよび/またはマルチレイヤ誘電体キャパシタなど、1つまたは複数のキャパシタとして実装され得る。たとえば、容量性要素152は、並列ネットワークおよび/または直列ネットワークなど、ネットワークにおいてともに結合された複数のキャパシタを含み得る。いくらかの場合では、容量性要素152は、10kVを上回る電圧レベルなど、高い電圧において動作するように定格され得る。容量性要素152は、500ピコファラド(pF)~1ナノファラド(nF)の範囲内のキャパシタンスを有し得る。容量性要素152のキャパシタンス値は、CESCおよび/またはCSHに近いか、またはCESCおよび/またはCSHよりも高いことがある。すなわち、容量性要素は、処理チャンバの基板支持体キャパシタンス(たとえば、CESC)またはシースキャパシタンス(たとえば、CSH)のうちの少なくとも1つに等しいかまたはその少なくとも1つよりも大きいキャパシタンスを有し得る。
容量性要素152は、スイッチ402のうちの少なくとも1つ(たとえば、スイッチ402c)を介して処理チャンバの電極(たとえば、入力ノード408)に選択的に結合され得る。容量性要素152は、たとえば、スイッチ402のうちの少なくとも1つ(たとえば、スイッチ402d、402e、および402f)を介して電圧源404および接地ノード414に選択的に結合され得る。容量性要素152および電圧源404は、電極(たとえば、入力ノード408)と並列に選択的に結合され得る。すなわち、容量性要素152および電圧源404は、互いに並列に電極に選択的に結合され得る。いくらかの態様では、容量性要素152は、基板支持体キャパシタンス(CESC)および/またはシースキャパシタンス(CSH)とは別個の電気構成要素である。いくらかの場合では、容量性要素152は、電圧源404および/または電流源406など、波形ジェネレータアセンブリ150と一体化され、および/または波形ジェネレータアセンブリ150とコロケートされ得る。いくらかの場合では、容量性要素152は、本明細書で説明される好適なブースト電圧を提供するために、基板支持体キャパシタンス(CESC)および/またはシースキャパシタンス(CSH)と一体化され、ならびに/あるいは基板支持体キャパシタンス(CESC)および/またはシースキャパシタンス(CSH)とコロケートされ得る。
容量性要素152は、プラズマとは別個である、バルク電子のための源を提供し得る。いくらかの場合では、容量性要素152は、電極においてDCバイアスを確立するためにプラズマから消費されるバルク電子を低減することによって、プラズマの持続性および安定性を改善し得る。
スイッチ402は、高電圧固体リレーとして実装され得る。いくらかの場合では、スイッチ402は、高電圧マルチプレクサおよび/または高電圧デマルチプレクサとして実装され得る。この例では、スイッチ402は、第1のスイッチ402a、第2のスイッチ402b、第3のスイッチ402c、第4のスイッチ402d、第5のスイッチ402e、および第6のスイッチ402fを含み得る。第1のスイッチ402aは、電圧源404と、電極に電気的に結合されるかまたは電極を表し得る入力ノード408との間に結合され得る。第2のスイッチ402bは、入力ノード408と接地ノード414との間に結合され得る。第3のスイッチ402cは、容量性要素152の第1の端子416と入力ノード408との間に結合され得る。第4のスイッチ402dは、容量性要素152の第2の端子418と入力ノード408との間に結合され得る。第5のスイッチ402eは、容量性要素152の第1の端子416と接地ノード414との間に結合され得る。第6のスイッチ402fは、容量性要素152の第2の端子418と接地ノード414との間に結合され得る。
いくらかの場合では、スイッチ402の(たとえば、開かれたまたは閉じられた)状態は、システムコントローラ126によって制御され得る。たとえば、システムコントローラ126は、スイッチ402の制御入力(図示せず)と通信していることがあり、それにより、システムコントローラ126からの制御信号は、スイッチ402の状態をトグルする。システムコントローラ126は、それぞれのスイッチに印加された別個の制御信号を用いて各スイッチ402の個別状態を制御し得る。図5および図6A~6Cに関して本明細書でさらに説明されるように、スイッチ402は、(ESC再充電段階と呼ばれることがある)第1の段階中に、電極(たとえば、入力ノード408)に容量性要素152および電圧源404を結合し、(電圧ネゲーション段階と呼ばれることがある)第2の段階中に、接地ノード414に容量性要素152および電極を結合し、(電圧ブースト段階と呼ばれることがある)第3の段階中に、電極に容量性要素152を結合するように構成され得る。態様では、第2の段階は第1の段階の後に行われ得、第3の段階は第2の段階の後に行われ得る。いくらかの態様の場合、スイッチ402は、第2の段階中に容量性要素152および電圧源404から電極を結合解除し、第3の段階中に接地ノードから電極を結合解除し得る。
電圧源404は、波形ジェネレータアセンブリ(たとえば、波形ジェネレータアセンブリ150)の構成要素であり得る。すなわち、波形ジェネレータアセンブリは、パルス電圧DC波形ジェネレータおよび/または(RF信号ジェネレータとも呼ばれる)RFジェネレータを含み得る、電圧源404を含み得る。電圧源404は、スイッチ402のうちの1つ(たとえば、スイッチ402a)を介して電極(たとえば、入力ノード408)に選択的に結合され得る。たとえば、スイッチ402aは、電圧源404と入力ノード408の間に直列に結合され得る。
類似的に、電流源406は、波形ジェネレータアセンブリの構成要素であり得る。電流源406は、たとえば、図2Aに関して本明細書で説明されたイオン電流ステージ中にランプ電圧を実装するために使用され得る。電流源は、第4の段階(たとえば、図2A中に描かれているイオン電流ステージ)中に処理チャンバ(たとえば、電極)にイオン補償電流を印加するように構成され得る。態様では、スイッチ402は、第3の段階の後に行われ得る第4の段階中に処理チャンバ(たとえば、電極)に容量性要素152を結合するように構成され得る。電流源406は、電極に結合され得る。いくらかの場合では、電圧源404、電流源406、および容量性要素152は、電極(たとえば、入力ノード408)に並列に結合され得る。
当業者は、電気回路400が一例にすぎず、(図9および図10中に描かれている回路など)他の電気回路が、電極における電圧ブーストを提供し、プラズマからのバルク電子消費を低減するために、図示されている回路に加えてまたは図示されている回路の代わりに使用され得ることを理解するであろう。本開示において描かれている例は、理解を促進するために、基板処理のために正の電圧パルスを使用することに関して本明細書で説明されるが、本開示の態様は、電極において波形を確立するために負の電圧パルスを使用することに適用されてもよい。
図5は、電気回路400の(それぞれ、S1~S6と標示されている)スイッチ402の状態を図示するタイミング図500であり、図6A~図6Cは、本開示のいくらかの実施形態による、図5中に描かれている対応する段階中のスイッチ402の状態を図示する回路図である。
図5を参照すると、電極における波形は、第1の段階502、第2の段階504、第3の段階506、および第4の段階508において確立され得る。第1の段階502は、十分な電子がウエハ表面において集められることを可能にするために、20ナノ秒(ns)から2000nsまでかかることがある。第2の段階504および/または第3の段階506は、20ns~500nsなど、数十ナノ秒から数百ナノ秒までかかることがある。第4の段階508は、波形サイクルの85~90%など、波形サイクルの50%超かかることがある。電圧関数の周波数は、50kHzから5000kHzにわたり得る。
第1の段階502中に、スイッチ402a、402d、および402e(S1、S4、およびS5)は、図6A中に示されているように、基板支持体105(CESC)ならびにプラズマシースキャパシタンス(CSHおよびCwall)、ならびに容量性要素152(CBOOST)を充電するために閉じられる。これらのキャパシタのための充電時間は、図5中に描かれている持続時間よりもより短いことも、長いこともある。第1の段階502中に、第1のスイッチ402aは閉じるように構成され、第2のスイッチ402bは開くように構成され、第3のスイッチ402cは開くように構成され、第4のスイッチ402dは閉じるように構成され、第5のスイッチ402eは閉じるように構成され、第6のスイッチ402fは開くように構成される。
容量性要素152(CESCおよびCSH)は、第1の段階502中に電圧VBOOSTに充電され得る。プラズマバルク電子が、図5中に示されている波形の立上りエッジにより、ウエハ表面に引きつけられる。電子は、たとえば、他の電極上に等しい量の正電荷があることにより、負のDCシース電位をまだ確立しないことがある。CESCとして描かれている等価なキャパシタンスが、ウエハ表面と電極との間に形成され得、電極上に等しい量の正電荷があり、それらの電子によって生成された電界を打ち消し得る。
第2の段階504中に、第2のスイッチ402bおよび第6のスイッチ402fは、図6B中に示されているように閉じられる。第1のスイッチ402a、第4のスイッチ402d、および第5のスイッチ402eは、第2の段階504中に開くように構成され、第2のスイッチ402bおよび第6のスイッチ402fは、接地ノード414に容量性要素152の第2の端子418および入力ノード408を結合するために、第2の段階中に閉じるように構成される。事実上、基板支持キャパシタンスCESCは、接地ノード414に結合される。
第2のスイッチ402bが閉じたとき、電極における電位は、接地ノード414における電圧レベル(0ボルトなど)に強制され得る。キャパシタの電圧低下は、瞬時に変化することができないので、ウエハ表面上の電圧は、負になり、それによって、ウエハ表面上に負のVdcを確立する。たとえば、閉状態にある第2のスイッチ402bにより、電極における電圧は、第1の電圧レベルに低減され得る。
第6のスイッチ402fは、閉じ、接続された容量性要素152の電位を接地に引っ張る。容量性要素152の電位は、負電圧になる。第2の段階504中に、容量性要素152は、入力ノード408および基板支持体に結合されないことがある。第2のスイッチ402bが閉じた後のウエハ表面上の負のDC電圧(Vdc)は、以下のように、立下りエッジの大きさΔV、およびCESCとシースキャパシタンスCsheathとの間の比を使用することによって概算され得る。
Figure 2023542779000002
ここで、Csheathは、接地シースキャパシタンス(Cwall)と直列のウエハシースキャパシタンス(CSH)のキャパシタンスである。いくらかの場合では、Csheathは、接地シースキャパシタンス(Cwall)が、はるかに大きいことにより、ウエハシースキャパシタンス(CSH)によって概算され得る。
第3の段階506中に、第3のスイッチ402cおよび第6のスイッチ402fは、図6C中に示されているように閉じられる。第2のスイッチ402bは開くように構成され、第3のスイッチ402cは、第3の段階中に閉じるように構成され、それにより、容量性要素152の第1の端子416は、入力ノード408に結合される。閉じられた第3のスイッチ402cにより、容量性要素152は、第1の電圧レベルよりも小さい第2の電圧レベルに、電極における電圧をさらに低減し得る。第3のスイッチ402cは、入力ノード408に容量性要素152を接続し、これは、ウエハ表面上のVdcをさらに高め、より負にVdcを引っ張り得る。
電極における電圧は、以下によって与えられ得る。
Figure 2023542779000003
いくらかの場合では、|Vboost|は、|ΔV|よりも高いことがある。たとえば、|Vboost|は1750ボルトであり得、|ΔV|は1000ボルトであり得る。この例では、容量性要素152は、Vdcの同じ量について2.75倍だけ、ウエハ表面上に累積することを必要とされる電子の数を低減し得る。
第4の段階508は、図2Aおよび図2Bに関して本明細書で説明されたように実装され得るイオン電流補償段階である。第4の段階508中に、電極電圧は、イオン電流を補償するために、負の傾斜を有し得る。スイッチ402の状態は、第3の段階506の終わりに確立された状態と同じままであり得る。すなわち、第3のスイッチ402cおよび第6のスイッチ402fは、第4の段階508中、閉じられたままであり得る。
接近するイオン電流は、ウエハ表面上の負の放電を無効にし、シースキャパシタ(たとえば、CSH)を放電させる。ウエハ電圧は、図2Bおよび図3に関して本明細書で説明された単一のピークIEDを提供するために、一定の電圧に保たれ得る。電流源406は、イオン電流を補償するために、回路に電子をポンピングするために使用され得る。そうする際に、電流源は、電極において負の傾斜を有する電圧波形を確立する。第4の段階508では、プラズマイオンは、ウエハ表面に衝撃を与え、エッチング反応を誘起する。第4の段階508は、エッチング期間と見なされ得、所望のエッチング(たとえば、1000ns~10,000ns)を促進するために、可能な限り長く行われ得る。長さは、ウエハ表面の帯電速度、または(電流補償が実施される場合)電流源の最大電圧によって制限され得る。
イオン補償電流(Iion)は、イオンエネルギー/フラックス診断を使用して較正されるか、または以下のように、電極電圧(V0)を(たとえば、V0の時間導関数を計算するために)サンプリングし、シースキャパシタンスの値をサンプリングすることによって計算され得る。
Figure 2023542779000004
ここで、Cboostは、容量性要素152のキャパシタンスである。たとえば、サイクルのうち最初の数十~数百回が、電極電圧をサンプリングし、イオン電流補償のためのIionを計算するために使用され得る。次いで、電流補償が、後続のサイクルの間に実装され得る。電流源406は、たとえば、式(3)によって与えられるように、容量性要素のキャパシタンスに少なくとも部分的に基づいて、電極において測定された経時的な電圧の変化に応答して、イオン補償電流を調整するように構成され得る。容量性要素152の増加されたキャパシタンスは、Vdcの減衰を減速させ得る。Cboostは、電圧定格許容範囲中でできるだけ大きくされ得る。
図7は、電極における電圧をブーストするための方法700を図示するプロセスフロー図である。方法700は、処理システム10など、プラズマ処理システムによって実施され得る。
アクティビティ702において、容量性要素(たとえば、容量性要素152)および電圧源(たとえば、電圧源404)が、第1の段階(たとえば、第1の段階502)中に、処理チャンバ(たとえば、処理チャンバ100)内に配設された(たとえば、入力ノード408によって表される)電極に結合され得る。容量性要素152および電圧源404は、たとえば、図4中に描かれているように、並列に電極に結合され得る。例として、電気回路400に関して、第1のスイッチ402a、第4のスイッチ402d、および第5のスイッチ402eは、第1の段階中に閉じられ得る。第2のスイッチ402b、第3のスイッチ402c、および第6のスイッチ402fは、第1の段階中に開かれる。
アクティビティ704において、容量性要素152および電極は、第2の段階(たとえば、第2の段階504)中に接地ノード(たとえば、接地ノード414)に結合され得る。第2の段階中に、電極は、電圧源および容量性要素から結合解除され得る。例として、電気回路400に関して、第1のスイッチ402a、第4のスイッチ402d、および第5のスイッチ402eは、第2の段階中に開かれる。第2のスイッチ402bおよび第6のスイッチ402fは、入力ノード(たとえば、入力ノード408)に容量性要素を結合するために、第2の段階中に閉じられる。閉じられた第2のスイッチ402bにより、電極における電圧は、第1の電圧レベルに低減され得る。
アクティビティ706において、容量性要素152は、第3の段階(たとえば、第3の段階506)中に電極に結合され得る。第3の段階中に、電極は、接地ノードから結合解除され得る。例として、電気回路400に関して、第2のスイッチ402bは、第3の段階中に開かれ得、第3のスイッチは、入力ノード408に容量性要素152の第1の端子416を結合するために閉じられ得る。閉じられた第3のスイッチ402cにより、電極における電圧は、第1の電圧レベルよりも小さい第2の電圧レベルに低減され得る。
アクティビティ708において、電流源(たとえば、電流源406)が、第4の段階(たとえば、第4の段階508)中に電極にイオン補償電流を印加し得る。態様では、容量性要素152は、第4の段階中に電極に結合され得る。いくつかの態様では、電流源は、たとえば、式(3)によって与えられるように、容量性要素のキャパシタンスに少なくとも部分的に基づいて、電極において測定された経時的な電圧の変化に応答して、イオン補償電流を調整し得る。
いくらかの態様では、方法700は、処理チャンバ中に配設された基板支持体(たとえば、基板支持体105)の基板支持表面(たとえば、基板支持表面105A)の上にプラズマを生成することをも含み得る。プラズマおよびイオン電流補償は、本明細書で説明されるように、基板のエッチングを促進し得る。
いくらかの態様では、充電された容量性要素が電極にいつ結合されるかのタイミングは、たとえば、エッチングサイクル中に、複数のイオンエネルギーをもたらし、および/またはイオンエネルギーの幅を調整するように調整され得る。
図8Aは、本開示のいくらかの実施形態による、処理チャンバの電極(たとえば、バイアス電極104および/または支持ベース107)において確立され得る例示的な電圧波形を示す。描かれているように、第3の段階において第3のスイッチ402cを閉じることは、図2Aおよび図5中に描かれている波形と比較して遅延され得る。たとえば、第2の段階は、図2Aおよび図5中に描かれている持続時間よりも長い持続時間を有し得る。図8B中に描かれている2つのイオンエネルギーをもつIEDFが、容量性要素の遅延された結合によりもたらされ得る。示されているように、IEDFは、低エネルギーピーク802と高エネルギーピーク804とを含む。
いくつかの態様では、電流源は、容量性要素と直列に結合され得る。たとえば、図9は、本開示のいくらかの実施形態による、電極における電圧ブーストを確立し得るプラズマ処理システム(たとえば、処理システム10)の追加の機能的に等価な、簡略化された電気回路900である。電流源406は、第4の段階中に処理チャンバに電流源を結合するように構成され得るスイッチ402(たとえば、第3のスイッチ402c)を介して処理チャンバ(たとえば、電極)に選択的に結合され得る。この例では、容量性要素152は、電流源406と電極(たとえば、入力ノード408)との間に直列に選択的に結合され得る。電気回路900中で、第6のスイッチ402fは除外され得る。
イオン電流は、以下の式に従って調整され得る。
Figure 2023542779000005
その結果、電気回路900は、電気回路400と比較してより低いイオン電流を可能にし得る。
いくらかの態様では、別個の電圧源が、容量性要素を充電するために使用され得る。たとえば、図10は、本開示のいくらかの実施形態による、電極における電圧ブーストを確立し得るプラズマ処理システム(たとえば、処理システム10)の追加の機能的に等価な、簡略化された電気回路1000である。電気回路1000中で、電圧源は、電極(たとえば、入力ノード408)に選択的に結合される第1の電圧源404aと、容量性要素152に選択的に結合される第2の電圧源404bとを含み得る。この例では、第6のスイッチ402fは、第2の電圧源404bおよび第4のスイッチ402dと並列に結合され得る。第5のスイッチ402eは、容量性要素152と接地ノード414との間に直列に結合され得る。
第1の段階中に、第1のスイッチ402a、第4のスイッチ402d、および第5のスイッチ402eは閉じられ得、その一方で第2のスイッチ402b、第3のスイッチ402c、および第6のスイッチ402fは開けられ得る。第2の段階中に、第6のスイッチ402fおよび第2のスイッチ402bは閉じられ得、その一方で第1のスイッチ402a、第3のスイッチ402c、および第4のスイッチ402dは開けられ得る。第3の段階中に、第3のスイッチ402cおよび第6のスイッチ402fは閉じられ得、その一方で第1のスイッチ402a、第2のスイッチ402b、および第5のスイッチ402eは開けられ得る。
いくらかの場合では、容量性要素152および第2の電圧源404bは、イオン電流を補償するために使用され得る。たとえば、第3のスイッチ402cは、イオン電流補償ステージ中に電圧の降圧をもたらすために、第4の段階の中間において閉じられ得る。
電圧トリプラおよびクァドルプラの概念に類似した、ブーストキャパシタンスの複数のステージが、ウエハDC電圧をさらに増加させるために使用され得る。そのような実施形態は、より多くのスイッチおよび/またはリレーを使用し得る。
本明細書で説明される技法および装置は、DCバイアスを確立するために消費されるプラズマ電子の数を低減し得ることが諒解されよう。よって、本明細書で説明される技法および装置は、プラズマ安定性を改善し、基板処理のための達成可能な最大イオンエネルギーを拡張し得る。
「結合される/結合された(coupled)」という用語は、2つの物体の間の直接的または間接的結合を指すために本明細書で使用される。たとえば、物体Aが物体Bに物理的に接し、物体Bが物体Cに接する場合、物体Aおよび物体Cは、物体Aおよび物体Cが互いに直接的に物理的に接しない場合でも、互いに結合されたと依然として見なされ得る。たとえば、第1の物体が、第2の物体と直接的に物理的に決して接触していない場合であっても、第1の物体は、第2の物体に結合され得る。
上記は、本開示の実施形態を対象とするが、本開示の他の実施形態およびさらなる実施形態が、それの基本範囲から逸脱することなく考案され得、それの範囲は、以下の特許請求の範囲によって決定される。

Claims (20)

  1. 第1の段階中に、処理チャンバ内に配設された電極に容量性要素および電圧源を結合することであって、前記容量性要素および前記電圧源が、並列に前記電極に結合される、容量性要素および電圧源を結合することと、
    第2の段階中に、接地ノードに前記容量性要素および前記電極を結合することと、
    第3の段階中に、前記電極に前記容量性要素を結合することと
    を含む、基板を処理する方法。
  2. 前記処理チャンバ中に配設された基板支持体の基板支持表面の上にプラズマを生成することであって、前記基板支持体が、前記電極と、前記電極と前記基板支持表面との間に配設された誘電体層とを備える、プラズマを生成すること
    をさらに含み、
    前記第2の段階中の結合が、前記電圧源および前記容量性要素から前記電極を結合解除することをさらに含み、
    前記第3の段階中の結合が、前記接地ノードから前記電極を結合解除することをさらに含む、
    請求項1に記載の方法。
  3. 前記第1の段階中の結合が、
    前記電圧源と、前記電極に電気的に結合された入力ノードとの間に結合された第1のスイッチを閉じることと、
    前記容量性要素の第1の端子と、前記入力ノードとの間に結合された第2のスイッチを閉じることと、
    前記容量性要素の第2の端子と、前記接地ノードとの間に結合された第3のスイッチを閉じることと
    を含む、請求項1に記載の方法。
  4. 前記第1の段階中の結合が、
    前記入力ノードと前記接地ノードとの間に結合された第4のスイッチを開くことと、
    前記容量性要素の前記第1の端子と、前記接地ノードとの間に結合された第5のスイッチを開くことと、
    前記容量性要素の前記第2の端子と、前記入力ノードとの間に結合された第6のスイッチを開くことと
    をさらに含む、請求項3に記載の方法。
  5. 前記第2の段階中の結合が、
    前記第1のスイッチ、前記第2のスイッチ、および前記第3のスイッチを開くことと、
    前記接地ノードに前記容量性要素の前記第1の端子および前記入力ノードを結合するために、前記第4のスイッチおよび前記第5のスイッチを閉じることと
    を含む、請求項4に記載の方法。
  6. 前記第3の段階中の結合が、
    前記第4のスイッチを開くことと、
    前記入力ノードに前記容量性要素の前記第2の端子を結合するために、前記第6のスイッチを閉じることと
    を含む、請求項5に記載の方法。
  7. 第4の段階中に、電流源の使用によって前記電極にイオン補償電流を印加することをさらに含む、請求項1に記載の方法。
  8. 前記容量性要素が、前記第4の段階中に前記電極に結合される、請求項7に記載の方法。
  9. 前記処理チャンバ中に配設された基板支持体の基板支持表面の上にプラズマを生成することであって、前記基板支持体が、前記電極と、前記電極と前記基板支持表面との間に配設された誘電体層とを備える、プラズマを生成すること
    をさらに含み、
    前記イオン補償電流を印加することが、前記容量性要素のキャパシタンスに少なくとも部分的に基づいて、前記電極において測定された経時的な電圧の変化に応答して、前記イオン補償電流を調整することを含む、
    請求項7に記載の方法。
  10. 前記第2の段階中の結合が、第1の電圧レベルに、前記電極における電圧を低減することを含み、
    前記第3の段階中の結合が、前記第1の電圧レベルよりも小さい第2の電圧レベルに、前記電極における前記電圧を低減することを含む、
    請求項1に記載の方法。
  11. 複数のスイッチと、
    処理チャンバ内に配設された電極と、
    前記複数のスイッチのうちの1つを介して前記電極に選択的に結合される電圧源と、
    前記複数のスイッチのうちの前記1つを介して前記電極に選択的に結合される容量性要素であって、前記容量性要素および前記電圧源が、並列に前記電極に結合され、および前記複数のスイッチが、
    第1の段階中に、前記電極に前記容量性要素および前記電圧源を結合することと、
    第2の段階中に、接地ノードに前記容量性要素および前記電極を結合することと、
    第3の段階中に、前記電極に前記容量性要素を結合することと
    を行うように構成された、容量性要素と
    を備える、プラズマ処理システム。
  12. 前記処理チャンバが、前記電極の上に配設された誘電体層を備える基板支持体を備え、
    前記複数のスイッチが、
    前記第2の段階中に、前記容量性要素および前記電圧源から前記電極を結合解除することと、
    前記第3の段階中に、前記接地ノードから前記電極を結合解除することと
    を行うように構成された、
    請求項11に記載のプラズマ処理システム。
  13. 前記複数のスイッチは、
    前記電圧源と、前記電極に電気的に結合された入力ノードとの間に結合された第1のスイッチであって、前記第1のスイッチが、前記第1の段階中に閉じるように構成された、第1のスイッチと、
    前記容量性要素の第1の端子と、前記入力ノードとの間に結合された第2のスイッチであって、前記第2のスイッチが、前記第1の段階中に閉じるように構成された、第2のスイッチと、
    前記容量性要素の第2の端子と、前記接地ノードとの間に結合された第3のスイッチであって、前記第3のスイッチが、前記第1の段階中に閉じるように構成された、第3のスイッチと
    を備える、請求項12に記載のプラズマ処理システム。
  14. 前記複数のスイッチは、
    前記入力ノードと前記接地ノードとの間に結合された第4のスイッチであって、前記第4のスイッチが、前記第1の段階中に開くように構成された、第4のスイッチと、
    前記容量性要素の前記第1の端子と、前記接地ノードとの間に結合された第5のスイッチであって、前記第5のスイッチが、前記第1の段階中に開くように構成された、第5のスイッチと、
    前記容量性要素の前記第2の端子と、前記入力ノードとの間に結合された第6のスイッチであって、前記第6のスイッチが、前記第1の段階中に開くように構成された、第6のスイッチと
    を備える、請求項13に記載のプラズマ処理システム。
  15. 前記第1のスイッチ、前記第2のスイッチ、および前記第5のスイッチが、前記第2の段階中に開くように構成され、
    前記第4のスイッチおよび前記第5のスイッチが、前記接地ノードに前記容量性要素の前記第1の端子および前記入力ノードを結合するために、前記第2の段階中に閉じるように構成された、
    請求項14に記載のプラズマ処理システム。
  16. 前記第4のスイッチが、前記第3の段階中に開くように構成され、
    前記第6のスイッチが、前記入力ノードに前記容量性要素の前記第2の端子を結合するために、前記第3の段階中に閉じるように構成された、
    請求項15に記載のプラズマ処理システム。
  17. 前記電極に結合された電流源
    をさらに備え、
    前記電流源が、第4の段階中に前記処理チャンバにイオン補償電流を印加するように構成された、
    請求項11に記載のプラズマ処理システム。
  18. 前記複数のスイッチが、前記第4の段階中に前記処理チャンバに前記容量性要素を結合するように構成された、請求項17に記載のプラズマ処理システム。
  19. 前記処理チャンバが、前記電極の上に配設された誘電体層を備える基板支持体を備え、
    前記電流源が、前記容量性要素のキャパシタンスに少なくとも部分的に基づいて、前記電極において測定された経時的な電圧の変化に応答して、前記イオン補償電流を調整するように構成された、
    請求項17に記載のプラズマ処理システム。
  20. 前記複数のスイッチが、
    第1の電圧レベルに、前記電極における電圧を低減するために、前記第2の段階中に前記接地ノードに前記電極を結合することと、
    前記第1の電圧レベルよりも小さい第2の電圧レベルに、前記電極における前記電圧を低減するために、前記第3の段階中に前記電極に前記容量性要素を結合することと
    を行うように構成された、請求項11に記載のプラズマ処理システム。
JP2023504707A 2021-06-28 2022-05-25 基板処理のためのパルス電圧ブースト Pending JP2023542779A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/361,178 US11776788B2 (en) 2021-06-28 2021-06-28 Pulsed voltage boost for substrate processing
US17/361,178 2021-06-28
PCT/US2022/030844 WO2023278075A1 (en) 2021-06-28 2022-05-25 Pulsed voltage boost for substrate processing

Publications (1)

Publication Number Publication Date
JP2023542779A true JP2023542779A (ja) 2023-10-12

Family

ID=84541248

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023504707A Pending JP2023542779A (ja) 2021-06-28 2022-05-25 基板処理のためのパルス電圧ブースト

Country Status (6)

Country Link
US (1) US11776788B2 (ja)
JP (1) JP2023542779A (ja)
KR (1) KR20230026506A (ja)
CN (1) CN115868003A (ja)
TW (1) TWI835163B (ja)
WO (1) WO2023278075A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7514462B1 (ja) 2024-04-02 2024-07-11 京都電機器株式会社 プラズマエッチング装置用パルス電源装置及びバイアス制御方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing

Family Cites Families (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3055203A (en) 1959-10-01 1962-09-25 Gen Electric Automatic washer-dryer including a pre-spin impulse speed and unbalance switch means
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JP2775345B2 (ja) 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ja) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
KR100292411B1 (ko) 1998-09-25 2001-06-01 윤종용 반도체소자의 제조에 사용되는 플라즈마 장비
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
KR20020046276A (ko) 1999-08-02 2002-06-20 로버트 엠. 포터 이온 소스를 이용하는 박막 퇴적 시스템의 개선된 전자방출 표면
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
AU2001245938A1 (en) 2000-03-28 2001-10-08 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
ATE254192T1 (de) 2001-04-27 2003-11-15 Europ Economic Community Verfahren und vorrichtung zur sequentiellen plasmabehandlung
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
CN100355033C (zh) 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
WO2006049085A1 (ja) 2004-11-04 2006-05-11 Ulvac, Inc. 静電チャック装置
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
DE502006005363D1 (de) 2006-11-23 2009-12-24 Huettinger Elektronik Gmbh Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
JP5199595B2 (ja) * 2007-03-27 2013-05-15 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
JPWO2009069670A1 (ja) 2007-11-26 2011-04-14 東京エレクトロン株式会社 微小構造体検査装置および微小構造体検査方法
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5891341B2 (ja) 2009-01-13 2016-03-23 ヘルスセンシング株式会社 プラズマ生成装置及び方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
EP2611465A4 (en) 2010-08-31 2014-06-04 Theraclone Sciences Inc NEUTRALIZING ANTI-VIRUS ANTIBODIES FOR HUMAN IMMUNODEFICIENCY (HIV)
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US20130344702A1 (en) 2011-03-04 2013-12-26 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
JP6027551B2 (ja) 2012-02-01 2016-11-16 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
WO2013118660A1 (ja) 2012-02-09 2013-08-15 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置
WO2013125523A1 (ja) 2012-02-20 2013-08-29 東京エレクトロン株式会社 電源システム、プラズマエッチング装置及びプラズマエッチング方法
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
EP2837687B1 (en) 2012-03-30 2017-02-22 Toray Industries, Inc. Method for producing chemical by means of continuous fermentation and continuous fermentation device
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
DK3403995T3 (da) 2012-08-15 2021-04-19 Lockheed Martin Energy Llc Jernhexacyanider med høj opløselighed
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
KR102149718B1 (ko) 2012-11-01 2020-08-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
KR20180110213A (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
WO2015069428A1 (en) 2013-11-06 2015-05-14 Applied Materials, Inc. Particle generation suppressor by dc bias modulation
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
KR102302723B1 (ko) 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
KR102346036B1 (ko) 2014-12-25 2021-12-30 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
CN115410804A (zh) 2015-11-30 2022-11-29 鹰港科技有限公司 高压变压器
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
KR102304823B1 (ko) 2016-03-31 2021-09-23 도쿄엘렉트론가부시키가이샤 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3761762B1 (en) 2016-12-30 2022-04-13 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
EP3832691A1 (en) 2017-03-31 2021-06-09 Eagle Harbor Technologies, Inc. Method of plasma processing a substrate and plasma processing chamber
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US11658354B2 (en) 2017-05-30 2023-05-23 Titan Advanced Energy Solutions, Inc. Battery life assessment and capacity restoration
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
JP6902167B2 (ja) 2017-08-25 2021-07-14 イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. ナノ秒パルスを使用する任意波形の発生
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
WO2019087977A1 (ja) 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
KR102387008B1 (ko) 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
US10991554B2 (en) * 2017-11-16 2021-04-27 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) * 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
JP7408570B2 (ja) 2018-05-03 2024-01-05 アプライド マテリアルズ インコーポレイテッド ペデスタル用のrf接地構成
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7079686B2 (ja) * 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020033931A1 (en) 2018-08-10 2020-02-13 Eagle Harbor Technologies, Inc. Plasma sheath control for rf plasma reactors
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
US10796887B2 (en) 2019-01-08 2020-10-06 Eagle Harbor Technologies, Inc. Efficient nanosecond pulser with source and sink capability for plasma control applications
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
NL2022999B1 (en) 2019-04-24 2020-11-02 Prodrive Tech Bv Voltage waveform generator for plasma processing apparatuses
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
US11398369B2 (en) 2019-06-25 2022-07-26 Applied Materials, Inc. Method and apparatus for actively tuning a plasma power source
WO2021003319A1 (en) 2019-07-02 2021-01-07 Eagle Harbor Technologies. Inc Nanosecond pulser rf isolation
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
JP2022550053A (ja) 2019-09-25 2022-11-30 イーグル ハーバー テクノロジーズ,インク. エネルギー回収を伴う非線形伝送線路高電圧パルスシャープニング
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399186A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Method and apparatus to reduce feature charging in plasma processing chamber
US11823868B2 (en) 2021-06-11 2023-11-21 Applied Materials, Inc. Hardware switch on main feed line in a radio frequency plasma processing chamber
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US20230087307A1 (en) 2021-09-14 2023-03-23 Applied Materials, Inc. Distortion current mitigation in a radio frequency plasma processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7514462B1 (ja) 2024-04-02 2024-07-11 京都電機器株式会社 プラズマエッチング装置用パルス電源装置及びバイアス制御方法

Also Published As

Publication number Publication date
KR20230026506A (ko) 2023-02-24
CN115868003A (zh) 2023-03-28
TW202303753A (zh) 2023-01-16
WO2023278075A1 (en) 2023-01-05
US11776788B2 (en) 2023-10-03
US20220415614A1 (en) 2022-12-29
TWI835163B (zh) 2024-03-11

Similar Documents

Publication Publication Date Title
US11848176B2 (en) Plasma processing using pulsed-voltage and radio-frequency power
JP2023145535A (ja) 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法
US11476090B1 (en) Voltage pulse time-domain multiplexing
US11810760B2 (en) Apparatus and method of ion current compensation
US11569066B2 (en) Pulsed voltage source for plasma processing applications
KR20240017919A (ko) 펄스식 dc 플라즈마 챔버에서의 플라즈마 균일성 제어
JP2023542779A (ja) 基板処理のためのパルス電圧ブースト
US11967483B2 (en) Plasma excitation with ion energy control
US20230071168A1 (en) Method and apparatus for digital control of ion energy distribution in a plasma
TWI846014B (zh) 電壓脈衝的時域多工
JP2024524944A (ja) イオン電流補償の装置及び方法
JP2024523852A (ja) パルスdcプラズマチャンバにおけるプラズマ均一性制御

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230320

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240227

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240229

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240522