KR20190129745A - 전류-리턴 출력 스테이지를 갖는 펄스 생성기를 사용하여 이온 에너지 분포를 제어하는 방법 - Google Patents

전류-리턴 출력 스테이지를 갖는 펄스 생성기를 사용하여 이온 에너지 분포를 제어하는 방법 Download PDF

Info

Publication number
KR20190129745A
KR20190129745A KR1020190054386A KR20190054386A KR20190129745A KR 20190129745 A KR20190129745 A KR 20190129745A KR 1020190054386 A KR1020190054386 A KR 1020190054386A KR 20190054386 A KR20190054386 A KR 20190054386A KR 20190129745 A KR20190129745 A KR 20190129745A
Authority
KR
South Korea
Prior art keywords
substrate
electrical conductor
generator
electrically coupled
sheath
Prior art date
Application number
KR1020190054386A
Other languages
English (en)
Inventor
레오니드 도르프
올리비에 루에르
라진더 딘드사
제임스 로저스
수닐 스리니바산
아누라그 쿠마르 미스라
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190129745A publication Critical patent/KR20190129745A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/248Components associated with high voltage supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3438Electrodes other than cathode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3444Associated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3348Problems associated with etching control of ion bombardment energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 개시내용의 실시예들은, 거의 일정한 시스 전압을 유지함으로써 기판의 표면에 모노-에너제틱 IEDF를 생성하는 것을 가능하게 하는 전극 바이어싱 체계를 설명하며, 이는 결과적으로, 기판의 표면에 형성되는 피처들의 프로파일 및 IEDF의 형상에 대한 정밀한 제어를 가능하게 한다.

Description

전류-리턴 출력 스테이지를 갖는 펄스 생성기를 사용하여 이온 에너지 분포를 제어하는 방법{METHOD OF CONTROLLING ION ENERGY DISTRIBUTION USING A PULSE GENERATOR WITH A CURRENT-RETURN OUTPUT STAGE}
본원에서 설명되는 실시예들은 일반적으로, 반도체 제조에서 사용되는 플라즈마 프로세싱 챔버들에 관한 것이다.
고 종횡비 피처(feature)들을 신뢰성 있게 생성하는 것은 반도체 디바이스들의 차세대 VLSI(very large scale integration) 및 ULSI(ultra large scale integration)에 대한 핵심 기술 난제들 중 하나이다. 고 종횡비 피처들을 형성하는 하나의 방법은, 기판의 재료 층, 이를테면 유전체 층에 고 종횡비 개구들을 형성하기 위해, 플라즈마 보조 에칭 프로세스, 이를테면 반응성 이온 에칭(RIE) 플라즈마 프로세스를 사용한다. 전형적인 RIE 플라즈마 프로세스에서, RIE 프로세싱 챔버에서 플라즈마가 형성되고, 플라즈마로부터의 이온들이 기판의 표면 쪽으로 가속되어, 기판의 표면 상에 형성된 마스크 층 아래에 배치된 재료 층에 개구들이 형성된다.
전형적인 반응성 이온 에칭(RIE) 플라즈마 프로세싱 챔버는 무선 주파수(RF) 바이어스 생성기를 포함하며, 그 무선 주파수(RF) 바이어스 생성기는 RF 전압을 “전력 전극(power electrode)”, 즉, “정전 척(ESC)” 조립체 내에 매립된 금속 베이스플레이트(더 일반적으로는 “캐소드”라고 지칭됨)에 공급한다. 도 1a는 전형적인 프로세싱 챔버에서 전력 전극에 공급될 전형적인 RF 전압의 플롯을 도시한다. 전력 전극은 ESC 조립체의 일부인 유전체 재료(예컨대, 세라믹 재료)의 층을 통하여 프로세싱 시스템의 플라즈마에 용량성 커플링된다. 전력 전극으로의 RF 전압의 인가는, 프로세싱 동안 ESC 조립체의 기판 지지 표면 상에 포지셔닝되는 기판의 프로세싱 표면 위에 전자-반발 플라즈마 시스(electron-repelling plasma sheath)(“캐소드 시스”라고 또한 지칭됨)가 형성되게 한다. 플라즈마 시스의 비-선형적인 다이오드-유사 성질은 인가되는 RF 필드의 정류(rectification)를 발생시키고, 그에 따라, 기판과 플라즈마 사이에 직류(DC) 전압 강하 또는 “자기-바이어스”가 나타나게 되어, 기판 전위를 플라즈마 전위에 대하여 음으로 되게 한다. 이 전압 강하는 기판 쪽으로 가속되는 플라즈마 이온들의 평균 에너지를 결정하고, 그에 따라, 에칭 이방성(etch anisotropy)을 결정한다. 더 구체적으로, 이온 방향성, 피처 프로파일, 및 스톱-층(stop-layer) 및 마스크에 대한 에칭 선택비가 이온 에너지 분포 함수(Ion Energy Distribution Function; IEDF)에 의해 제어된다. 도 1b에 예시된 바와 같이, RF 바이어스를 이용하는 플라즈마들에서, IEDF는 전형적으로, 낮은 에너지 및 높은 에너지에서 2개의 피크들을 가지며, 그 사이에 일부 이온 집단을 갖는다. IEDF의 2개의 피크들 사이의 이온 집단의 존재는 기판과 플라즈마 사이의 전압 강하가 RF 바이어스 주파수로 진동한다는 사실을 반영한다. 더 높은 자기-바이어스 전압들을 얻기 위해 더 낮은 주파수, 예컨대 2 MHz RF 바이어스 생성기가 사용되는 경우, 이들 2개의 피크들 사이의 에너지의 차이가 상당히 크게 될 수 있고; 그리고 낮은 에너지의 이온들로 인한 에칭 프로파일은 등방성이 더 크기 때문에, 이는 잠재적으로 피처 벽들의 휨을 초래할 수 있다. 높은 에너지 이온들과 비교하여, 낮은 에너지 이온들은 (예컨대, 대전 효과(charging effect)로 인해) 에칭되는 피처의 바닥의 코너들에 도달하는 데 덜 효과적이지만, 마스크 재료가 덜 스퍼터링되게 한다. 이는 고 종횡비 에칭 애플리케이션들, 이를테면 하드-마스크 개구 또는 유전체 몰드 에칭에서 중요하다.
피처 사이즈들이 계속 감소되고, 종횡비가 증가됨에 따라, 피처 프로파일 제어 요건들이 더 엄격하게 되면서, 프로세싱 동안 기판 표면에서 우수하게-제어되는 이온 에너지 분포 함수(IEDF)를 갖는 것이 더 바람직하게 된다. 단일-피크 IEDF는, 독립적으로 제어되는 피크 높이들 및 에너지들을 갖는 2-피크 IEDF를 포함하여 임의의 IEDF를 구성하기 위해 사용될 수 있으며, 이는 고-정밀 플라즈마 프로세싱에 유익하다. 저자들은, 도 5c에 도시된 단일-피크 IEDF(520)와 같은 단일-피크 IEDF를 생성하는 것은, 시스 전압이 프로세싱 동안의 기판의 표면에서의 이온 에너지를 결정하기 때문에, 플라즈마와 기판 사이의 거의 일정한 전위 차이, 즉 거의 일정한 시스 전압을 요구한다는 것을 주목하였다. (전형적으로, 프로세싱 플라즈마들에서의 접지 전위보다 수 십 볼트 이상 높지 않은) 거의 일정한 플라즈마 전위를 가정하면, 이는 접지에 대하여, 기판의 표면에서 거의 일정한 음의 전위를 유지하는 것을 요구한다. 저자들은 이러한 것이 단순히 전력 전극에 DC 전압을 인가하는 것에 의해 달성될 수 없다는 것을 추가로 주목하였다. 이는, 전자-반발 플라즈마(캐소드) 시스가 있을 때, 기판으로부터 전자들을 밀어내는 시스 전기장으로 인해, 벌크 플라즈마로부터의 이온 전류와 벌크 플라즈마로부터의 전자 전류가 균형을 이루지 않기 때문이다. 결과로서, 벌크 플라즈마로부터의 불균형 순 전류(이온 전류와 동일함)가 지속적으로 기판 표면을 충전하며, 이는 궁극적으로, 모든 인가되는 DC 전압이, 원하는 대로 플라즈마 시스(즉, 시스 캐패시터) 양단에 걸리는 대신, 기판 및 ESC 조립체의 유전체 층(즉, 척 캐패시터) 양단에 걸쳐 강하되게 한다.
따라서, 거의 일정한 시스 전압을 유지할 수 있게 함으로써 기판의 표면에 모노-에너제틱 IEDF를 생성할 수 있게 하는 신규한 바이어싱 방법들이 본 기술분야에 필요하며; 이는 결과적으로, 기판의 표면에 형성되는 피처들의 프로파일 및 IEDF의 형상에 대한 정밀한 제어를 가능하게 한다.
본원에서 제공되는 본 개시내용의 실시예들은, 기판 프로세싱 시간의 최대 약 90% 동안 거의 일정한 시스 전압을 유지할 수 있게 하는, 기판을 프로세싱하는 방법을 포함할 수 있다. 수행되는 방법은 단일의(좁은) 피크 이온 에너지 분포 함수(IEDF)를 발생시킬 것이며, 그 단일의(좁은) 피크 이온 에너지 분포 함수(IEDF)는 임의의 형상을 갖는 IEDF를 생성하기 위해 추가로 사용될 수 있다. 본원에서, 방법은, 기판 지지부 상에 배치된 기판의 표면 위에 플라즈마를 생성하는 단계, 및 기판 지지부 내에 배치된 바이서이 전극에 펄스형 전압 파형을 설정하는 단계를 포함한다. 펄스형 전압 파형은, 제2 전기 전도체에 의해 바이어싱 전극에 커플링된 펄스형 바이어스 생성기를 사용하여 바이어싱 전극에 설정된다. 펄스형 바이어스 생성기는, 제2 전기 전도체에 동시에 커플링된, 전류-리턴 출력 스테이지와 펄스 생성기를 포함한다. 펄스 생성기는, 미리 결정된 레이트로 그 펄스 생성기의 내부 스위치를 반복적으로 폐쇄 및 개방함으로써, 미리 결정된 길이의 규칙적으로 반복되는 시간 간격들 동안, 그 펄스 생성기의 출력 양단에(즉, 접지에 대해), 미리 결정된 양의 전압을 유지한다. 펄스 생성기는 정전압 소스, 스위치, 및 스너버(snubber)를 포함한다. 폐쇄되는 경우, 스위치는, 제2 전기 전도체에 제1 전기 전도체를 통해 동시에 커플링된 펄스 생성기의 출력에 실질적인 정전압 소스의 양의 출력을 전기적으로 커플링시킨다. 펄스 생성기의 출력 양단의 스너버, 예컨대 “플라이백(flyback)” 다이오드는, 스위치의 개방 후에, 유도성 컴포넌트들(이를테면, 제1 및 제2 전기 전도체들)에 의한 자기 에너지의 신속한 방출 동안, 가능한 전압 스파이크들을 최소화(또는 “스너빙(snub)”)한다. 본원에서, 전류-리턴 출력 스테이지의 제1 단부는 제1 전기 전도체를 통해 나노초 펄스 생성기의 양의 출력에 그리고 동시에 제2 전기 전도체에 전기적으로 커플링되며, 전류-리턴 출력 스테이지의 제2 단부는 접지에 전기적으로 커플링된다.
일부 실시예들에서, 펄스형 전압 파형은 복수의 펄스형 전압 사이클들을 포함하며, 여기서, 각각의 펄스형 전압 사이클은 시스 붕괴 페이즈, 척 캐패시터 재충전 페이즈, 시스 형성 페이즈, 및 이온 전류 페이즈를 포함한다. 붕괴 페이즈 동안, 스위치는 폐쇄되고, 시스 캐패시턴스는 펄스 생성기에 의해 공급되는 전류에 의해 방전된다. 척 캐패시터 재충전 페이즈 동안, 스위치는 폐쇄된 포지션으로 유지되며, 펄스 생성기로부터의 전류에 의해 바이어싱 전극에 양의 전하가 제공된다. 시스 형성 페이즈 동안, 스위치는 개방되며, 전류가 시스 및 스트레이 캐패시턴스(stray capacitance)들로부터 전류-리턴 출력 스테이지를 통해 접지로 흐른다. 이온 전류 페이즈 동안, 스위치는 개방 포지션으로 유지되며, 마찬가지로 전류-리턴 출력 스테이지를 통해 플라즈마로부터 접지로 흐르는 이온 전류는 기판 표면 상에 양의 전하의 누적을 발생시키고, 시스 및 척 캐패시터들을 점진적으로 방전함으로써, 시스 전압 강하를 느리게 감소시킨다.
일부 실시예들에서, 시스 붕괴 페이즈, 재충전 페이즈, 및 시스 형성 페이즈는 약 200 ns 내지 약 300 ns의 조합된 지속기간을 갖는다. 일부 실시예들에서, 스위치가 폐쇄된 상태로 유지되는 시간 동안, 펄스 생성기의 양의 출력 전압은 약 0.1 kV 내지 약 10 kV이다. 일부 실시예들에서, 스위치는 각각의 펄스형 전압 사이클의 약 10 ns 내지 약 100 ns 동안 폐쇄 포지션으로 유지된다. 일부 실시예들에서, 각각의 펄스형 전압 사이클은 약 2 μs 내지 약 3 μs의 지속기간을 갖는다. 일부 실시예들에서, 조합된 시스 붕괴 페이즈와 재충전 페이즈는 펄스형 전압 사이클의 약 10% 미만을 포함한다. 일부 실시예들에서, 바이어싱 전극은 유전체 재료의 층에 의해 기판 지지부의 기판 지지 표면으로부터 이격되며, 여기서, 기판 지지부의 유전체 재료의 층, 및 그 층 상에 배치된 기판의 조합된 직렬 캐패시턴스는 약 5 nF 내지 약 12 nF이다. 일부 실시예들에서, 척킹 전력 공급부가 연결 포인트에서 외부 전기 전도체에 커플링되며, 여기서, 약 40 nF 내지 약 80 nF의 캐패시턴스를 갖는 차단 캐패시터가 펄스형 바이어스 생성기와 연결 포인트 사이에서 펄스형 바이어스 생성기와 직렬로 배치된다. 일부 실시예들에서, 약 1 MOhm 초과의 저항을 갖는 차단 캐패시터가 척킹 전력 공급부와 연결 포인트 사이에 배치된다.
다른 실시예에서, 프로세싱 챔버는 챔버 덮개, 하나 이상의 측벽들, 및 챔버 베이스를 포함하며, 이들은 함께 프로세싱 볼륨을 정의한다. 프로세싱 챔버는 프로세싱 볼륨에 배치된 기판 지지부를 더 포함하며, 여기서, 기판 지지부는 유전체 재료 층에 의해 기판 지지부의 기판 지지 표면으로부터 분리된 바이어싱 전극, 및 제2 전기 전도체에 의해 바이어싱 전극에 커플링된 펄스형 바이어스 생성기를 포함한다. 펄스형 바이어스 생성기는 펄스 생성기 및 전류 리턴 스테이지를 포함한다. 펄스 생성기는, 전압 소스, 폐쇄되는 경우, 펄스 생성기의 출력에 전압 소스의 양의 출력을 전기적으로 커플링시키는 스위치 ― 펄스 생성기의 출력은 제1 전기 전도체를 통해 제2 전기 전도체에 커플링됨 ―, 및 펄스 생성기의 출력 양단의 스너버를 포함한다. 전압 소스는 정전압 소스일 수 있다. 본원에서, 전류-리턴 출력 스테이지의 제1 단부는 동시에, 제2 전기 전도체에 그리고 제1 전기 전도체를 통해 펄스 생성기의 양의 출력에 전기적으로 커플링되며, 전류-리턴 출력 스테이지의 제2 단부는 접지에 전기적으로 커플링된다. 일부 실시예들에서, 프로세싱 챔버는 유도성 커플링 플라즈마(ICP) 또는 용량성 커플링 플라즈마(CCP) 플라즈마 생성기를 포함한다.
본 개시내용의 실시예들은 프로세싱 챔버를 더 포함할 수 있으며, 그 프로세싱 챔버는, 유전체 재료 층에 의해 기판 지지부의 기판 지지 표면으로부터 분리된 바이어싱 전극을 포함하는 기판 지지부, 및 전기 전도체에 의해 바이어싱 전극에 커플링된 바이어스 생성기를 포함한다. 바이어스 생성기는 펄스 생성기를 포함하며, 그 펄스 생성기는, 양의 단자 및 음의 단자를 갖는 전압 소스 ― 음의 단자는 접지에 커플링됨 ―; 폐쇄되는 경우, 전기 전도체의 단부에 양의 단자를 전기적으로 연결하는 스위치; 및 전기 전도체의 단부와 접지 사이에 연결된 스너버를 포함한다. 바이어스 생성기는 또한, 전류-리턴 출력 스테이지를 포함하며, 여기서, 전류-리턴 출력 스테이지의 제1 단부는 전기 전도체에 전기적으로 커플링되고, 전류-리턴 출력 스테이지의 제2 단부는 접지에 전기적으로 커플링된다. 전기 전도체는, 직렬로 연결된, 제1 전기 전도체와 제2 전기 전도체를 더 포함할 수 있으며, 여기서, 제1 전기 전도체의 하나의 단부는 전압 소스의 양의 단자에 연결되고, 제2 전기 전도체의 하나의 단부는 바이어싱 전극에 연결된다. 일부 구성들에서, 제1 전기 전도체는 바이어스 생성기 내에서 발견되는 “내부” 전기 전도체이며, 제2 전기 전도체는 바이어스 생성기와 바이어싱 전극 사이에 배치된 “외부” 전기 전도체이다.
본 개시내용의 실시예들은 기판을 프로세싱하는 방법을 더 포함할 수 있으며, 그 방법은, 기판 지지부 상에 배치된 기판의 표면 위에 플라즈마를 생성하는 단계, 및 전기 전도체에 의해 바이어싱 전극에 커플링된 바이어스 생성기를 사용하여 기판 지지부 내에 배치된 바이어싱 전극을 바이어싱하는 단계를 포함한다. 바이어스 생성기는 펄스 생성기를 포함하며, 그 펄스 생성기는, 양의 단자 및 음의 단자를 갖는 전압 소스 ― 음의 단자는 접지에 커플링됨 ―, 및 폐쇄되는 경우, 전기 전도체에 양의 단자를 전기적으로 연결하는 스위치, 및 전류-리턴 출력 스테이지를 포함하며, 여기서, 전류-리턴 출력 스테이지의 제1 단부는 전기 전도체에 전기적으로 커플링되고, 전류-리턴 출력 스테이지의 제2 단부는 접지에 전기적으로 커플링된다. 바이어싱 전극을 바이어싱하는 방법은, 반복적으로 복수 회, 제1 시간 기간 동안 스위치를 폐쇄하고 제2 시간 기간 동안 스위치를 개방함으로써 바이어싱 전극에 펄스형 전압 파형을 생성하는 단계를 포함하며, 여기서, 스위치를 폐쇄하는 것은, 접지에 대한 양의 전압이 제1 시간 기간 동안 전압 소스에 의해 전기 전도체에 인가되게 하며, 스위치를 개방하는 것은, 제2 시간 기간의 적어도 일부 동안, 전류-리턴 출력 스테이지를 통해 바이어싱 전극으로부터 접지로 전류가 흐르게 한다. 방법은 또한, 제1 시간 기간의 끝 무렵에, 생성된 플라즈마에 의해, 기판의 표면 위에 형성된 시스 전압 강하를 실질적으로 제거하는 단계, 및 제2 시간 기간 동안 전류-리턴 출력 스테이지를 통해 바이어싱 전극으로부터 접지로 전류가 흐르게 하는 단계를 포함할 수 있다. 방법은 또한, 플라즈마 전위를 형성하는 단계를 포함할 수 있으며, 제1 시간 기간은 제1 시간 지속기간을 갖는 시스 붕괴 페이즈 ― 제1 시간 지속기간의 끝에서, 기판의 표면 상에 형성되는 전위는 생성된 플라즈마의 플라즈마 전위와 실질적으로 동일함 ―, 및 제2 시간 지속기간을 갖는 척 캐패시턴스 재충전 페이즈를 포함하며, 여기서, 생성된 플라즈마에 의해 기판의 표면 위에 형성된 시스 전압 강하는, 제1 시간 지속기간 및 제2 시간 지속기간이 순차적으로 완료된 후에 제거된다. 제2 시간 기간은, 제3 시간 지속기간을 갖는 시스 형성 페이즈 ― 제3 시간 지속기간 동안, 전류-리턴 출력 스테이지를 통해 바이어싱 전극으로부터 접지로 전류가 흐름 ―, 및 제4 시간 지속기간을 갖는 이온 전류 페이즈를 포함할 수 있으며, 여기서, 제4 시간 지속기간은 조합된 제1, 제2, 및 제3 시간 지속기간들보다 더 길다.
본 개시내용의 실시예들은 프로세싱 챔버를 더 포함할 수 있으며, 그 프로세싱 챔버는, 유전체 재료 층에 의해 기판 지지부의 기판 지지 표면으로부터 분리된 바이어싱 전극을 포함하는 기판 지지부, 및 전기 전도체에 의해 바이어싱 전극에 커플링된 바이어스 생성기를 포함한다. 바이어스 생성기는 펄스 생성기를 포함하며, 그 펄스 생성기는, 양의 단자 및 음의 단자를 갖는 전압 소스 ― 음의 단자는 접지에 커플링됨 ―, 및 폐쇄되는 경우, 전기 전도체의 단부에 양의 단자를 전기적으로 연결하는 스위치를 포함한다. 바이어스 생성기는 또한, 전류-리턴 출력 스테이지를 포함하며, 여기서, 전류-리턴 출력 스테이지의 제1 단부는 전기 전도체에 전기적으로 커플링되고, 전류-리턴 출력 스테이지의 제2 단부는 접지에 전기적으로 커플링된다. 프로세싱 챔버는 또한, 프로세서에 의해 실행되는 경우, 기판을 프로세싱하는 방법을 수행하기 위한 명령들이 저장된 컴퓨터 판독가능 매체를 포함하며, 그 방법은, 기판 지지부 상에 배치된 기판의 표면 위에 플라즈마를 생성하는 단계, 및 바이어스 생성기를 사용하여 바이어싱 전극을 바이어싱하는 단계를 포함하고, 여기서, 바이어싱 전극을 바이어싱하는 것은, 반복적으로 복수 회, 제1 시간 기간 동안 스위치를 폐쇄하고 제2 시간 기간 동안 스위치를 개방함으로써 바이어싱 전극에 펄스형 전압 파형을 생성하는 단계를 포함하며, 여기서, 스위치를 폐쇄하는 것은, 접지에 대한 양의 전압이 제1 시간 기간 동안 전기 전도체의 단부에 인가되게 하며, 스위치를 개방하는 것은, 제2 시간 기간의 적어도 일부 동안, 전류-리턴 출력 스테이지를 통해 바이어싱 전극으로부터 접지로 전류가 흐르게 한다. 전기 전도체는, 직렬로 연결된, 제1 전기 전도체와 제2 전기 전도체를 더 포함할 수 있으며, 여기서, 제1 전기 전도체의 하나의 단부는 전압 소스의 양의 단자에 연결되고, 제2 전기 전도체의 하나의 단부는 바이어싱 전극에 연결된다.
본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1a는 종래 기술에 따른, 플라즈마 프로세싱 챔버의 바이어싱 전극에 제공되는 RF 전압 파형을 예시한다.
도 1b는 종래 기술에 따른, 종래의 프로세스 챔버에서 수행되는 플라즈마 프로세스 동안의 기판의 표면에서의 이온 에너지 분포 함수(IEDF)를 예시한다.
도 2는 일 실시예에 따른, 본원에서 설명되는 방법들을 실시하도록 구성된 예시적인 프로세싱 챔버의 개략적인 단면도이다.
도 3은 일 실시예에 따른, 본원에서 설명되는 펄스형 전압 바이어싱 체계의 기능상 등가 근사 회로도이다.
도 4는 일 실시예에 따른, 본원에서 설명되는 펄스형 전압 바이어싱 체계를 사용하여 기판을 프로세싱하는 방법의 흐름도이다.
도 5a 내지 도 5c는 도 4에서 제시된 방법을 예시한다.
도 6은 도 2 및 도 3에 관하여 설명된 바이어싱 체계의 단순화된 회로도이다.
도 7a 내지 도 7h는 본원에서 설명되는 바이어싱 체계들의 수치 시뮬레이션들의 결과들을 예시한다.
도 8은 본원에서 제안되는 펄스형 전압 바이어싱 체계의 실제 구현에 의해 생성되는 측정된 기판 전압 파형의 오실로스코프 트레이스를 도시한다.
이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있다는 것이 고려된다.
본원에서 설명되는 실시예들은 모든 플라즈마 보조 또는 플라즈마 강화 프로세싱 챔버들, 및 기판을 플라즈마 보조 또는 플라즈마 강화 프로세싱하는 방법들에 적용가능하다. 더 구체적으로, 본 개시내용의 실시예들은, 거의 일정한 시스 전압을 유지함으로써 기판의 표면에 모노-에너제틱 IEDF를 생성할 수 있게 하는 전극 바이어싱 체계를 설명하며; 이는 결과적으로, 기판의 표면에 형성되는 피처들의 프로파일 및 IEDF의 형상에 대한 정밀한 제어를 가능하게 한다. 다음의 정의들은 본 개시내용의 전체에 걸쳐 사용된다: (1) 기준이 특정되지 않는 한, 모든 전위들은 접지를 기준으로 하고; (2) (기판 또는 바이어싱 전극과 같은) 임의의 물리적인 포인트에서의 전압이 마찬가지로, 접지(제로 전위 포인트)에 대한 그 포인트의 전위로서 정의되고; (3) 캐소드 시스는 플라즈마에 대한 음의 기판 전위에 대응하는 전자-반발 이온-가속 시스인 것으로 암시되고; (4) 시스 전압(또한 “시스 전압 강하”라고 종종 지칭됨)(Vsh)은 플라즈마와 (예컨대, 기판 또는 챔버 벽의) 인접 표면 사이의 전위 차이의 절대 값으로서 정의되며; 그리고 (5) 기판 전위는 플라즈마와 대면하는 기판 표면에서의 전위이다.
우리는 펄스형 전압 바이어싱 체계(이를테면, 도 2 및 도 3에 관하여 설명되는 바이어싱 체계)를 제안하며, 그 펄스형 전압 바이어싱 체계에서, ESC 조립체 내의 유전체 재료의 얇은 층(이 얇은 층은 ESC 캐패시터(CESC)를 형성함)에 의해 기판으로부터 분리된 바이어싱 전극(이를테면, 척킹 폴(204))에 펄스형 전압 파형(이를테면, 도 5a에 도시된 펄스형 전압 파형(500))을 설정하기 위해, 펄스형 바이어스 생성기(이를테면, 도 2의 펄스형 바이어스 생성기(240))가 사용된다. 이러한 펄스형 전압 바이어싱 체계는 기판 프로세싱 시간의 최대 약 90% 동안 거의 일정한 시스 전압을 유지할 수 있게 하며, 이는 단일의(좁은) 피크 IEDF(이를테면, 도 5c의 IEDF(520))를 발생시키고, 그 단일의(좁은) 피크 IEDF는 임의의 형상을 갖는 IEDF를 생성하기 위해 추가로 사용될 수 있다.
우리는, ESC 조립체 내의 유전체 재료의 얇은 층에 의해 기판으로부터 분리된 바이어싱 전극(이를테면, 척킹 폴)에 펄스형 전압 파형, 이를테면 파형(500)(도 5a에 예시됨)을 설정하기 위한 다른 바이어싱 체계들을 사용하는 가능성을 유의한다. 따라서, 우리는, 상기 바이어싱 전극에 펄스형 전압 파형, 이를테면 파형(500)을 설정하는 것이 기판 플라즈마 프로세싱 시간의 최대 약 90% 동안 거의 일정한 시스 전압을 유지할 수 있게 함을 (바이어싱 체계를 특정하지 않으면서) 별도로 제안하며, 이는 단일의(좁은) 피크 IEDF를 발생시키고, 그 단일의(좁은) 피크 IEDF는 임의의 형상을 갖는 IEDF를 생성하기 위해 사용될 수 있다.
위에서 제안된 펄스형 전압 바이어싱 체계의 일 실시예는 도 2에 예시된 챔버 도면에서 도시되고; 이 바이어싱 체계의 등가 전기 회로는 도 3에 예시되며; 그리고 이 등가 전기 회로의 단순화된 형태가 도 6에 도시된다. 도 6에 도시된 단순화된 전기 회로는 도 7a 내지 도 7h에 도시된 결과들을 생성하기 위해 수치적으로 모델링된다.
도 2는 일 실시예에 따른, 위에서 제안된 펄스형 전압 바이어싱 체계를 포함하는 챔버 도면을 도시한다(도 2의 더 상세한 설명은 본문에서 추후에 제공됨). 본원에서 설명되는 바이어싱 체계는 다음의 주 컴포넌트들로 기본적으로 구성된다:
(1) 나노초 펄스 생성기(214) ― 그 나노초 펄스 생성기(214)는, 미리 결정된 레이트로 그 나노초 펄스 생성기(214)의 내부 스위치를 반복적으로 폐쇄 및 개방함으로써, 미리 결정된 길이의 규칙적으로 반복되는 시간 간격들 동안, 그 나노초 펄스 생성기(214)의 출력 양단에(즉, 접지에 대해), 미리 결정된 실질적으로 일정한 양의 전압을 유지한다. 도 2는 나노초 펄스 생성기의 단순화된 기능상 등가인 개략적 표현을 도시한다. 도 2에서, 나노초 펄스 생성기는, 바이어싱 전극(이를테면, 척킹 폴(204))에 원하는 펄스형 전압 파형(이를테면, 파형(500))을 설정하는 것에서의 나노초 펄스 생성기의 역할을 이해하는 데 중요한 컴포넌트들의 최소의 조합으로 축소된다. 이들 컴포넌트들은 일반적으로, 내부 전압 소스, 고 반복 레이트 스위치, 및 플라이백 다이오드를 포함한다. 실제 나노초 펄스 생성기가 임의의 수의 내부 컴포넌트들을 포함할 수 있고, 도 2의 전기 회로보다 더 복잡한 전기 회로에 기초할 수 있음이 이해될 필요가 있다. 차례로, 도 2의 개략도는, 바이어싱 전극(이를테면, 척킹 폴(204))에 펄스형 전압 파형(이를테면, 파형(500))을 설정하는 것에서의, 나노초 펄스 생성기의 동작의 기본적인 원리, 프로세싱 볼륨 내의 플라즈마와 나노초 펄스 생성기의 상호작용, 및 나노초 펄스 생성기의 역할을 설명하는 데 요구되는 정도까지만, 나노초 펄스 생성기 및 그 나노초 펄스 생성기의 전기 회로의 컴포넌트들의 기능상 등가인 표현을 제공한다. 도 2에 도시된 개략도로부터 추측될 수 있는 바와 같이, 스위치(S1)가 개방(오프) 포지션으로부터 폐쇄(온) 포지션으로 이동하는 경우, 스위치(S1)는 나노초 펄스 생성기의 출력을 그 나노초 펄스 생성기의 내부 전압 소스에 연결하며, 그 내부 전압 소스는 실질적으로 일정한 출력 전압을 생성한다. 실제로, 도 3에 도시된, 나노초 펄스 생성기의 일 구현의 더 상세한(그러나 여전히 단순화된) 등가 전기 회로로부터 볼 수 있는 바와 같이, 스위치는 실제로, 승압 출력 변압기에 내부 배터리를 연결한다. 이러한 세부사항은, 바이어싱 전극(이를테면, 척킹 폴(204))에 펄스형 전압 파형(이를테면, 파형(500))을 설정하는 것에서의, 나노초 펄스 생성기의 동작의 기본적인 원리 및 나노초 펄스 생성기의 기능을 이해하는 데 있어서 결정적인 것은 아니지만, 이러한 세부사항은 추후에 설명될 중요한 실용적인 의미를 갖는다. 상이한 스너버 회로로 또한 대체될 수 있는 플라이백 다이오드의 목적은, 유도성 엘리먼트들에 누적된 자기 에너지의 신속한 방출이 후속되는, 스위치(S1)의 개방에 의해 야기되는 가능한 전압 스파이크를 억제 또는 “스너빙”하기 위한 것이다. 이들 유도성 엘리먼트들은: (A) 조합된 인덕턴스(Ltransm)를 갖는 전송 라인(206)과 같은 외부 전기 전도체, 및 (B) 조합된 인덕턴스(Linternal)를 갖고, 나노초 펄스 생성기(214)와 전류-리턴 출력 스테이지(215)를 연결하는 내부 전기 전도체를 포함하는, 펄스형 바이어스 생성기(240)의 컴포넌트들을 포함한다. 자기 에너지는, 스위치(S1)가 폐쇄 포지션으로 유지되고 나노초 펄스 생성기가 시스템에 전류를 공급하는 시간 간격 동안, 유도성 엘리먼트들에 누적된다. 나노초 펄스 생성기 출력 전압(V0)의 크기(Vm)는, 스위치(S1)가 폐쇄(온) 포지션에 있고, (Vm과 동일한) 실질적으로 일정한 양의 출력 전압이 유지되는 시간 간격 동안, 수 킬로볼트(예컨대, 0.1 내지 10 kV)만큼 높을 수 있다. 스위치가 폐쇄(온) 포지션으로 유지되고, 실질적으로 일정한 양의 출력 전압이 유지되는 시간 간격은 “펄스 폭(τp)”이라고 지칭되며, 그 펄스 폭(τp)은 수 십 나노초(예컨대, 10 내지 100 ns)만큼 길 수 있다. 차례로, 스위치가 개방(오프) 포지션으로부터 폐쇄(온) 포지션으로 전환하는 시간 간격은 “상승 시간(τrise)”이라고 지칭되며, 그 상승 시간(τrise)이 또한, 수 십 나노초(예컨대, 25 내지 50 ns)일 수 있다. 스위치가 개방 포지션으로부터 폐쇄 포지션으로 전환할 때, 나노초 펄스 생성기의 출력 전압은 그 전압이 Vm에 도달할 때까지 점진적으로 증가된다. 마지막으로, 개방(오프) 포지션으로부터 폐쇄(온) 포지션으로의(또는 그 반대로의) 2번의 연속적인 전환들 사이의 시간 길이는 “주기(T)”라고 지칭되며, 그 주기(T)는, 예컨대 400 kHz만큼 높을 수 있는 펄스 반복 주파수의 역과 동일하다. 우리는 다음의 것들을 유의한다: (a) 본원에서 제안되는 펄스형 전압 바이어싱 체계에서, 나노초 펄스 생성기는 주로 전하 주입기(전류 소스)로서 사용되고, 정전압 소스로서 사용되지 않으며; 그에 따라, 스위치가 폐쇄(온) 포지션으로 유지되는 경우에도 나노초 펄스 생성기가 시간에 따라 변화될 수 있다는 점에서, 나노초 펄스 생성기의 출력 전압의 안정성에 엄격한 요건들을 부과할 필요가 없고; (b) 나노초 펄스 생성기는 기본적으로, 나노초 펄스 생성기가 하나의 방향으로만 전류를 통과시킨다는 점(따라서, 예컨대, 나노초 펄스 생성기는 캐패시터를 단지 충전만 할 수 있고 캐패시터를 방전할 수는 없음)에서, 싱킹(sinking) 공급부가 아니라 소싱(sourcing) 공급부이고; (c) 스위치가 개방(오프) 포지션으로 유지되는 경우, 나노초 펄스 생성기의 출력 양단의 전압(V0)은 내부 전압 소스에 의해 제어되지 않고, 대신, 나노초 펄스 생성기의 내부 컴포넌트들과 다른 회로 엘리먼트들의 상호작용에 의해 결정되며; 그리고 (d) “나노초 펄스 생성기”라는 명칭은, 나노초 펄스 생성기가 낮은 스트레이 캐패시턴스/인덕턴스, 주로 저항성 부하로 동작하고 있을 때, 나노초 펄스 생성기가 나노초 펄스 생성기의 출력 양단에, 일련의 접지 기준 양의 전압 펄스들로서 설명될 수 있는 전압 파형을 생성한다는 사실로부터 유래한다.
(2) 접지에 연결된 하나의 단부(215B), 및 내부 전기 전도체를 통해 나노초 펄스 생성기의 양의 출력에 연결됨과 동시에 외부 전기 전도체에 연결된 다른 단부(215A)를 갖는 전류-리턴 출력 스테이지(215). 본원에서, 내부 전기 전도체 및 전류-리턴 출력 스테이지와 나노초 펄스 생성기의 조합은 “펄스형 바이어스 생성기(240)”라고 지칭되며, 그 펄스형 바이어스 생성기(240)는 그 펄스형 바이어스 생성기(240)가 양 방향들로 전류를 통과시킨다는 점에서 소싱 공급부이면서 싱킹 공급부이다. 전류-리턴 출력 스테이지는 다음의 엘리먼트들로 구성될 수 있다: (a) 레지스터, (b) 직렬로 연결된 레지스터와 인덕터, 또는 (c) 접지 쪽으로의 양의 전류 흐름을 허용하는, 병렬 캐패시터들을 포함하는 전기 엘리먼트들의 더 복잡한 조합.
(3) 펄스형 바이어스 생성기(240)의 출력을 척킹 폴에 연결하는 외부 전기 전도체. 펄스형 바이어스 생성기(240)의 출력은 포인트(215A)이며, 여기서, 나노초 펄스 생성기(214)의 출력은 내부 전기 전도체를 통해 전류-리턴 출력 스테이지(215)에 연결된다. 외부 전기 전도체는: (a) 인덕턴스(Lrigid)를 갖는 강성 동축 전송 라인과 질렬로, 인덕턴스(Lflex)를 갖는 가요성 동축 케이블을 포함할 수 있는 동축 전송 라인(206), (b) 절연된 고-전압 코로나-저항 훅업 와이어(corona-resistant hookup wire), (c) 베어 와이어, (d) 금속 로드(rod), (e) 전기 커넥터, 또는 (f) (a) 내지 (e)의 전기 엘리먼트들의 임의의 조합을 포함할 수 있다. 내부 전기 전도체가 외부 전기 전도체와 동일한 기본 엘리먼트들을 포함할 수 있음을 유의한다. 척킹 폴은 일반적으로, 정전 척 내에 매립되고 유전체 재료의 얇은 층(예컨대, ~0.3 mm 두께)에 의해 플라즈마로부터 분리된 금속 플레이트이다. 척킹 폴은 도 2에 도시된 ESC 조립체의 정전 척 부분(즉, ESC 기판 지지부(205)) 내에 매립된 바이어싱 전극(204)일 수 있다. 외부 전도체, 이를테면 전송 라인(206), 및 바이어싱 전극(204)은 접지에 대해 일부 조합된 스트레이 캐패시턴스(Cs)를 갖는다.
도 3은 프로세스 볼륨 내의 플라즈마를 포함하는, 본원에서 제안되는 펄스형 전압 바이어싱 체계의 기능상 등가인 단순화된 전기 회로(300)를 도시한다. 차례로 도 6은 회로(300)의 더 단순화된 버전인 회로(600)를 도시한다. 이들 회로들은, 프로세싱 챔버(308)와 펄스형 바이어스 생성기(이를테면, 240)의 상호작용의 주 양상들을 모델링하고; 바이어싱 전극(이를테면, 204)에 펄스형 전압 파형(이를테면, 500)을 설정하는 것에서의, 펄스형 바이어스 생성기의 동작의 기본 원리 및 펄스형 바이어스 생성기의 역할을 설명하고; 펄스형 전압 파형(이를테면, 500)의 상이한 페이즈들 동안 발생하는 부수적인 물리 현상들을 설명하며; 그리고 본원에서 제안되는 펄스형 전압 바이어싱 체계의 동작의 기본 원리를 일반적으로 설명하기 위해서만 사용된다. 실제로, 프로세스 볼륨 내의 플라즈마와 본원에서 설명되는 펄스형 전압 바이어싱 체계의 상호작용은, 본원의 고려사항으로부터 대부분 생략된 복잡한 물리 현상들(예컨대, 유도성 엘리먼트들, 이를테면 외부 및 내부 전기 전도체들의 존재에 의해 야기되는 고-주파수 발진들)을 수반할 수 있다. 그러나, 펄스형 전압 파형(이를테면, 500)의 페이즈들(501 내지 504)에 관한 (본문의 추후의) 논의는 대부분, 일부 더 복잡한 물리 현상들이 고려사항으로부터 생략된 단순화된 회로 모델(600)에 기초하지만, 이들 현상들은 본원에서 제안되는 펄스형 전압 바이어싱 체계의 동작의 기본 원리를 이해하는 데 있어서 결정적이지 않음이 이해될 필요가 있다. 게다가, 도 5a 및 도 5b, 및 도 7a 내지 도 7h에서의 파형들이, OrCAD P-Spice Designer 소프트웨어를 사용하여(각각의 도면 세트를 생성하기 위해 회로 파라미터들의 상이한 세트가 사용됨), 단순화된 회로(600)를 수치적으로 시뮬레이팅함으로써 생성되지만, 모델링(즉, 시스 붕괴, ESC 재충전, 시스 형성, 및 이온 전류에 의한 기판 표면의 충전)에 의해 드러나는 주요한 기저의 물리 현상들은 실제 시스템과 관련이 있다. 도 3 및 도 6에 도시된 등가 회로들(300 및 600)에서, 각각, 도 2의 챔버 도면에서 표시된 모든 관련된 물리적 컴포넌트들은, 다음의 설명들에 따라, 별개의 회로 엘리먼트들에 의해 표현된다.
첫번째로, 정전 척 내의 유전체 층, 및 그 유전체 층의 표면 상에 배치된 프로세싱되는 기판(예컨대, >10 nF의 캐패시턴스를 갖는 0.8 mm 두께의 도핑된-실리콘 슬래브)은 플라즈마로부터 척킹 폴을 분리시키고, 그리고 캐패시턴스(Ce)(예컨대, ~7 내지 10 nF)를 갖는 단일 척 캐패시터(303)(실제로는 직렬로 연결된 2개의 캐패시터들임)에 의해 도 3 및 도 6에서의 회로들에서 표현된다. 다시 말하면, 우리는 전기적으로 ESC 유전체 층의 일부인 것으로 (전형적으로, 반도체 및/또는 유전체 재료의 얇은 층으로 제조되는) 기판을 간주하고, 우리가 척 캐패시턴스(Ce)를 언급할 때마다, 우리는 Ce가 ESC(즉, CESC)과 기판(즉, Cwafer)의 조합된 직렬 캐패시턴스임을 암시할 것이다. 기판 캐패시턴스(Cwafer)가 전형적으로 매우 크거나(>10nF) 또는 기판이 전도성(무한 캐패시턴스)일 수 있기 때문에, 직렬 캐패시턴스는 주로 실제 CESC에 의해 결정된다.
두번째로, 척킹 폴(204), 펄스형 바이어스 생성기(240), 및 이들을 함께 연결하는 외부 전기 전도체(이를테면, 전송 라인(206))은: (A) 캐패시턴스(Cs)(예컨대, ~500pF)를 갖는 단일 스트레이 캐패시터(302)에 의해 회로(600)에서 표현된 접지에 대한 일부 조합된 스트레이 캐패시턴스; 뿐만 아니라, (B) 펄스형 바이어스 생성기(240)의 내부 전기 전도체 및 다른 컴포넌트들에 대한 인덕터들(Linternal)(예컨대, ~300 nH), 및 전송 라인(206)과 같은 외부 전기 전도체에 대한 Ltransm(예컨대, ~500nH)에 의해 회로(600)에서 표현된 일부 인덕턴스를 갖는다. 전류-리턴 출력 스테이지(215)는 단일 레지스터(Rros)(예컨대, ~150 Ohm)에 의해 회로(600)에서 표현된다.
세번째로, 우리는 3개의 직렬 엘리먼트들로서 프로세스 볼륨 내의 전체 플라즈마를 표현하는 표준 전기 플라즈마 모델을 사용한다:
I. 기판에 인접한 전자-반발 캐소드 시스(304)(우리는 이를 또한, “플라즈마 시스” 또는 단지 “시스”라고 종종 지칭함). 캐소드 시스는 종래의 3-파트 회로 엘리먼트에 의해 도 3 및 도 6에서 표현되며, 그 종래의 3-파트 회로 엘리먼트는: (a) 개방되는 경우 시스 붕괴를 표현하는 다이오드(DSH), (b) 시스가 있을 때 기판으로 흐르는 이온 전류를 표현하는 전류 소스(Ii)(예컨대, ~0.5 내지 5 A), 및 (c) 이온 가속 및 에칭이 발생되는 바이어싱 사이클의 주 부분(~90%), 즉 이온 전류 페이즈 동안 시스를 표현하는 캐패시터(CSH)(예컨대, 고 종횡비 애플리케이션들에 대해 ~100 내지 300 pF)를 포함한다.
II. 단이 ㄹ레지스터(Rpl)(예컨대, ~5 내지 10 Ohm)에 의해 도 3 및 도 6에서 표현되는 벌크 플라즈마(305).
III. 챔버 벽들에 형성되는 전자-반발 벽 시스(306). 벽 시스는 마찬가지로, 3-파트 회로 엘리먼트에 의해 도 3 및 도 6에서 표현되며, 그 3-파트 회로 엘리먼트는: (a) 다이오드(Dw), (b) 벽에 대한 이온 전류를 표현하는 전류 소스(Iiw)(예컨대, ~5 내지 10 A), 및 (c) 전자-반발 캐소드 시스가 없고, 벽 시스 캐패시터가 나노초 펄스기에 의해 ESC를 통해 푸시되는 큰 전류에 의해 충전되고 있는 경우, ESC 재충전 페이즈(502)(본문에서 추후에 설명됨) 동안 주로 벽 시스를 표현하는 캐패시터(Cw)(예컨대, ~5 내지 10 nF)를 포함한다. 캐소드 시스가 벽 시스보다 (높은 전압으로 인해) 훨씬 더 두껍고, 총 벽 면적이 기판 면적보다 훨씬 더 크기 때문에, 우리는
Figure pat00001
인 것으로 선택하였다. 접지된 금속 벽들의 내부 표면은 유전체 재료의 얇은 층으로 코팅된 것으로 간주되어, 큰 캐패시터(Ccoat)(예컨대, ~300 내지 1000nF)에 의해 도 3 및 도 6에서 표현된다.
도 4는 일 실시예에 따른, 본원에서 설명되는 펄스형 전압 바이어싱 체계를 사용하여 기판을 프로세싱하는 방법(400)을 예시하는 흐름도를 도시한다. 동작(401)에서, 방법(400)은 기판 지지부 상에 배치된 기판의 표면 위에 플라즈마를 생성하는 단계를 포함한다. 동작(402)에서, 방법(400)은, 외부 전기 전도체, 이를테면 전송 라인(206)을 사용하여 바이어싱 전극에 커플링된 펄스형 바이어스 생성기를 사용하여, 기판 지지부 내에 배치된 바이어싱 전극에 펄스형 전압 파형을 설정하는 단계를 포함한다.
도 5a는 바이어싱 전극에 설정된 펄스형 전압 파형(500)을 예시한다. 도 5a에 도시된 펄스형 전압 파형(500)은 도 5b에 도시된 기판 전압 파형(510)을 발생시키고, 그에 따라, 기판 프로세싱 시간의 약 90% 동안 시스 전압을 거의 일정하게 유지할 수 있게 한다. 도 5a 및 도 5b에 도시된 전압 파형들(500 및 510) 뿐만 아니라 도 7a 내지 도 7h에 도시된 파형들은, OrCAD P-Spice Designer 소프트웨어를 사용하여, 단순화된 회로(600)를 수치적으로 시뮬레이팅함으로써 생성되었다. 도 5a 및 도 5b를 생성하는 데 사용된 회로 파라미터들은 파형들(500 및 510)의 상이한 페이즈들을 명확하게 예시하기 위해 선택되었다(예컨대, 파형 주기는 1 μs로 세팅됨). 반대로, 도 7a 내지 도 7h를 생성하는 데 사용된 파라미터들은 본원에서 제안되는 펄스형 전압 바이어싱 체계의 가능한 실제 구현을 나타내기 위해 선택되었다(예컨대, 파형 주기는 2.5 μs로 세팅됨). 우리는 도 5a 및 도 5b, 및 도 7a 내지 도 7h에 예시된 파형들이, 도 8에 도시된 것과 같은 실험적으로 관찰가능한 파형들의 단순화된 개략적인 표현들로서 이해되어야 함을 유의한다. 실제 파형들은 상당히 더 복잡할 수 있고, 그리고 도 5a 및 도 5b, 및 도 7a 내지 도 7h에 도시되지 않은 다수의 미세-스케일 피처들(예컨대, 외부 및 내부 전기 전도체들과 같은 유도성 엘리먼트들의 존재에 의해 야기되는 고-주파수 발진들)을 포함할 수 있다. 그러나, 이들 미세-스케일 피처들은, 본원에서 제안되는 펄스형 전압 바이어싱 체계에 의해 생성되는 실제 펄스형 전압 파형의 일반적인 형상을 결정하는 기저의 물리 현상들을 이해하는 데 필수적이지는 않다. 따라서, 아래의 논의가 대부분 도 5a 및 도 5b, 및 도 7a 내지 도 7h에 도시된 시뮬레이팅된 파형들 및 회로(600)에 기초하지만, 펄스형 전압 파형 사이클의 페이즈들(501 내지 504) 동안 발생하는 주된 기저의 물리 현상들(즉, 시스 붕괴, ESC 재충전, 이온 전류에 의한 기판 표면의 충전)은 실제 시스템과 관련이 있다.
도 5a에서, 펄스형 전압 파형(500)은 전압 오프셋 위에서 주기(T)(예컨대, 2.5 마이크로초)로 반복되는 주기적인 일련의 짧은 양의 펄스들을 포함한다. 각각의 주기(반복 사이클) 내의 파형은 다음의 것들을 포함한다:
(1) 시스템의 스트레이 캐패시터를 충전하고, 캐소드 시스를 붕괴시키기 위한 양의 전압 점프, 즉 시스 붕괴 페이즈(501), 그 시스 붕괴 페이즈(501) 동안, 시스 캐패시터는 방전되고, 기판 전위는 국부 플라즈마 전위의 레벨이 된다(도 5b에 예시된 바와 같음). 시스 붕괴 페이즈(501)는, ESC 재충전 페이즈(502) 동안 플라즈마로부터 제공되는 전자들에 의해, 척 캐패시터의 신속한 재충전을 가능하게 한다. 페이즈(501)의 지속기간 동안, 스위치(S1)(도 6 참조)가 폐쇄되고, 폐쇄된(온) 포지션으로 유지되어, 나노초 펄스 생성기(이를테면 214)가 그 나노초 펄스 생성기의 출력 양단에 실질적으로 일정한 양의 전압을 유지할 수 있게 하고, 시스템에 전류를 공급할 수 있게 한다. 페이즈(501)의 지속기간(T1)은 (아래에서 설명되는) 이온 전류 페이즈(504)의 지속기간(T4) 또는 전체 주기(T)보다 훨씬 더 짧고, 전형적으로 대략 수 십 나노초(예컨대, 20 내지 50 ns)이다. 이는, 페이즈(501) 동안의 플라즈마 전류가 전자들에 의해 운반되고 ― 즉, 전자 구름이 기판 쪽으로 이동하고 이온 공간 전하를 점진적으로 스위핑함으로써 시스 전압 강하를 제거함 ―, 그리고 전자 속도가 이온 속도보다 (2개의 종들 사이의 매우 큰 질량비로 인해) 훨씬 더 크기 때문이다.
(2) (아래에서 설명되는) 이온 전류 페이즈(504) 동안 기판 표면 상에 누적된 총 전하와 동일한 값 및 반대인 극성의 전하를 신속하게 주입함으로써, ESC 재충전 페이즈(502) 동안, 척 캐패시터(Ce)를 재충전하는 것. 페이즈(501) 동안과 마찬가지로, 나노초 펄스 생성기(214)는 그 나노초 펄스 생성기(214)의 출력 양단에 실질적으로 일정한 양의 전압을 유지한다(스위치(S1)가 온 포지션으로 유지됨). 페이즈(501)와 유사하게, 페이즈(502)의 지속기간(T2)은 (아래에서 설명되는) 이온 전류 페이즈(504)의 지속기간(T4) 또는 전체 주기(T)보다 훨씬 더 짧고, 전형적으로 대략 수 십 나노초(예컨대, 30 내지 80 ns)이다. 이는, 페이즈(502) 동안의 플라즈마 전류가 또한, 전자들에 의해 운반되기 때문이고 ― 즉, 캐소드 시스가 없을 때, 전자들이 기판에 도달하고, 표면 전하를 축적하여 캐패시터(Ce)를 충전하기 때문이다.
(3) 시스 형성 페이즈(503) 동안, 프로세싱 챔버의 스트레이 캐패시터를 방전하고, 시스를 재형성하며, 시스 전압(VSH)의 값을 세팅하기 위한 음의 전압 점프(VOUT). 시스 형성 페이즈(503)의 시작 시, 도 6의 스위치(S1)가 개방되고, 유도성 엘리먼트들은 이들의 저장된 자기 에너지를 척 캐패시터(Ce) 및 스트레이 캐패시터(Cs) 내로 신속하게(예컨대, 약 10 나노초 내에) 방출한다. 유도성 엘리먼트들은 인덕턴스(Linternal)로 표현된, 펄스형 바이어스 생성기(240)의 내부 컴포넌트들(예컨대, 내부 전도체), 및 회로(600)에서 번호 309로 표시된 인덕턴스(Ltransm)로 표현된 외부 전도체(예컨대, 전송 라인(206))를 포함할 수 있다. 자기 에너지 방출 동안, 대응하는 전류가 플라이백 다이오드, 또는 가능한 전압 스파이크들을 억제(또는 “스너빙”하는 유사한 기능을 갖는 상이한 스너버 회로를 통해 흐른다. 도 7b에 도시된, 나노초 펄스 생성기 출력 전압(V0)의 시간-플롯으로부터 알 수 있는 바와 같이, 자기 에너지 방출 동안, 나노초 펄스 생성기(이를테면 214)의 내부 전압 소스는 양의 출력 전압을 유지하지 않고(스위치(S1)가 오프 포지션으로 유지됨), 그에 따라, 그 내부 전압 소스는 플라이백 다이오드가 전류를 통과시킬 수 있게 하도록 제로 미만의 수 볼트로 단시간 떨어진다. 여기서, 우리는, 플라이백 다이오드(또는 가능한 전압 스파이크들을 “스너빙”하는 유사한 기능을 갖는 상이한 컴포넌트)가 없는 경우, 자기 에너지가 저항성 전류-리턴 출력 스테이지를 통해 방출될 필요가 있을 것이고, 그에 따라, 거의 제로의 값들로 떨어지는 대신, 수 나노초 동안 R 양단에 비현실적으로 큰 음의 전압(예컨대, -20 kV, 이는 펄스형 바이어스 생성기(240)의 내부 컴포넌트들을 잠재적으로 손상시킴)이 걸리게 될 것임을 유의한다. 자기 에너지가 방출되고, Ltransm을 통하는(뿐만 아니라 Linternal을 통하는) 전류가 제로로 떨어진 후에, 전류는 방향을 반전시키고, 플라즈마 및 스트레이 캐패시터로부터 전류-리턴 출력 스테이지를 통해 접지로 흐르고(역-바이어스된 플라이백 다이오드는 그 자신을 통하는 전류 흐름을 차단함), 그에 따라, 스트레이 캐패시터(Cs)를 방전하고, 시스 캐패시터(Csh)를 충전한다(즉, 시스를 재형성함). 시스 형성(Csh의 충전)의 시작은, 기판 전위가 국부 플라즈마 전위 미만으로 감소되기 시작하는 포인트로서, 도 5b에서 명확하게 식별될 수 있다. 페이즈(501)와 유사하게, 페이즈(503)의 지속기간(T3)은 (아래에서 설명되는) 이온 전류 페이즈(504)의 지속기간(T4) 또는 전체 주기(T)보다 훨씬 더 짧고, 전형적으로 대략 100 내지 300 ns이다. 이는, 페이즈(503) 동안의 플라즈마 전류가 마찬가지로, 전자들에 의해 운반되기 때문이고 ― 즉, 전자 구름이 기판으로부터 멀어지게 이동하고 이온 공간 전하를 점진적으로 노출시킴으로써, 시스를 형성하고 시스 전압 강하를 생성하기 때문이다. 우리는, (1) 전류-리턴 출력 스테이지를 구성하는 엘리먼트들(예컨대, 레지스터)의 값들 뿐만 아니라 스트레이 캐패시턴스에 의해 T3가 주로 결정되고; 그리고 (2) 음의 전압 점프(VOUT) 및 설정된 시스 전압(VSH)이 Vm(페이즈들(501 및 502) 동안의 나노초 펄스 생성기 출력 전압의 크기) 및 총 펄스 폭(τtot = τrise + τp = T1 + T2)에 의해 결정됨을 유의한다. VOUT 및 VSH에 대한 τtot(실제로 제어되는 파라미터)의 영향을 설명하기 위해, 우리는, 페이즈(502) 동안의 바이어싱 전극 전압의 증가(ΔV(s,2))와 T2 둘 모두가 Vm 및 이온 전류(Ii)에 의해 주로 결정됨을 주목한다.따라서, 주어진 Vm 및 Ii에 대해, 총 펄스 폭(τtot)은 T1을 제어하고, T1은 차례로, 페이즈(501) 동안의 기판 전압의 증가(ΔV(sub,1)) 및 바이어싱 전극 전압의 증가(
Figure pat00002
)를 결정하고, 그에 따라, VOUT = ΔV(s,1) + ΔV(s,2)이고,
Figure pat00003
이다.
(4) 지속기간(T4)을 갖는 긴(사이클 지속기간(T)의 약 85 내지 90%) 이온 전류 페이즈(504), 그 이온 전류 페이즈(504) 동안, 나노초 펄스 생성기(214)는 마찬가지로, 그 나노초 펄스 생성기(214)의 출력 양단에 양의 전압을 유지하지 않고(스위치(S1)가 오프 포지션으로 유지됨), 이온 전류는 플라즈마로부터 전류-리턴 출력 스테이지를 통해 접지로 흐른다. 이온 전류는 기판 표면 상의 양의 전하의 누적을 발생시키고, 시스 및 척 캐패시터들을 점진적으로 방전하여, 느리게 시스 전압 강하를 감소시키고, 기판 전위가 제로에 더 근접하게 되게 한다. 이는 도 5b에 도시된 기판 전압 파형(510)에서 전압 드룹(droop)(ΔVsh)을 발생시킨다. 생성된 시스 전압 드룹은, 상기 (1) 내지 (3)에서 설명된 다음 사이클로 펄스형 전압 파형(500)이 이동할 필요가 있는 이유이며, 상기 (1) 내지 (3)에서 설명된 다음 사이클 동안, 나노초 펄스 생성기(214)는 이온 전류 페이즈 동안 누적된 전하를 제거하고(또는 초기 ESC 전하를 복원함), 원하는 시스 전압(VSH)을 재설정한다. 벌크 플라즈마로부터의 불균형 순 전류(이온 전류와 동일함) 및 전자-반발 캐소드 시스가 존재할 때마다 표면 전하 및 시스 전압 드룹이 누적됨을 유의한다. 이전에 설명된 바와 같이, 이는, 기판으로부터 전자들을 밀어내는 시스 전기장으로 인해, 벌크 플라즈마로부터의 이온 전류와 벌크 플라즈마로부터의 전자 전류가 균형을 이루지 않기 때문이다. 따라서, 표면 전하 누적 및 전압 드룹 생성은 또한, 시스 형성 페이즈(503) 동안 발생하며, 그 시스 형성 페이즈(503) 동안, 시작부터 바로 비-제로 시스 전압 강하가 존재한다.
상기(1) 내지 (4)로부터 알 수 있는 바와 같이, 펄스형 전압 파형(이를테면, 펄스형 전압 파형(500))의 단일 전압 펄스를 구성하는 “전자 전류” 페이즈들(501 내지 503)의 조합된 지속기간은 약 200 내지 400 ns이며, 이는 약 10 내지 15%의 비교적 짧은 듀티 사이클에 대응한다. 펄스형 전압 파형(500)의 짧은 듀티 사이클은 모든 플라즈마들에 대해 전형적인 큰 이온-대-전자 질량비의 결과이다. 따라서, 본원에서 제안되는 펄스형 전압 바이어싱 체계에서, 펄스형 바이어스 생성기는 각각의 사이클의 짧은 부분 동안만 플라즈마와 활성적으로 상호작용하여, 나머지 시간 동안 캐소드 시스가 자연적으로 전개될 수 있게 한다. 기본적인 플라즈마 특성들을 효과적으로 사용함으로써, 이러한 바이어싱 체계는 프로세싱 시간의 최대 ~90% 동안 거의 일정한 시스 전압을 유지할 수 있게 하며, 이는 단일 피크 IEDF(이를테면, 도 5c의 IEDF(520))를 발생시킨다. 반대로, 종래의 바이어싱 체계에서, (도 1a에 도시된 파형과 같은 파형을 갖는) 인가된 RF 전압이 전체 RF 주기 전체에 걸쳐 캐소드 시스를 조절하고, 그에 따라, 시스 전압 강하를 지속적으로 과도하게 변화시키고, 듀얼-피크 IEDF(이를테면, 도 1b에 도시된 IEDF))를 발생시킨다.
본원에서 제안되는 펄스형 전압 바이어싱 체계는, 음의 전압 오프셋(512) 위의 주기적인 일련의 짧은 양의 펄스들(511)로서 묘사될 수 있는, 도 5b에 도시된 기판 전압 파형(510)과 같은 특정 기판 전압 파형을 유지할 수 있게 한다. (T5 = T1 + T2 + T3의 총 지속기간을 갖는) 각각의 플스 동안, 기판 전위가 국부 플라즈마 전위에 도달하고, 시스가 단시간 붕괴된다. 그러나, (사이클 지속기간(T)을 갖는) 각각의 사이클의 약 90% 동안, 시스 전압 강하는 거의 일정하게 유지되고, 최대 음의 기판 전위(VSH(도 5b))의 절대 값과 대략 동일하게 유지되며, 그에 따라, 이는 기판 표면에서의 평균 이온 에너지를 결정한다. 바이어싱 사이클의 시스 붕괴 페이즈(501) 동안, 나노초 펄스 생성기(예컨대, 214)로부터의 전류는, 대략 비율 CSH/Cs에 따라, 병렬로 연결된, 스트레이 캐패시터(Cs)와 프로세싱 플라즈마 사이에서 분할되며, 이는 그다지 중요하지 않다. 이 때문에 그리고 Cw가 일반적으로 매우 크기 때문에, 페이즈(501) 동안 벽 시스에 걸쳐 누적되는 전압 강하는 비교적 작다. 결과로서, 벽 유전체 코팅(도 6)에 걸친 (매우 큰 Ccoat로 인해) 예상대로 작은 전압 강하와 벽 시스 전압 강하의 합과 동일한 벽-근방 플라즈마 전위(Vw)는 제로에 더 가깝게 유지된다(도 7). 따라서, 벽-근방 플라즈마 전위와 벌크 플라즈마(도 6)에 걸친 전압 강하의 합과 동일한 국부(기판-근방) 플라즈마 전위(Vpl)은 주로 후자에 의해 결정되며, 이는 제로 약간 위로 증가된다(도 5b 및 7f). 차례로, ESC 재충전 단계(502) 동안, 전자-반발 캐소드 시스가 없고, 벽 시스 캐패시터가 나노초 펄스 생성기(예컨대, 214)에 의해 ESC를 통해 푸시되는 큰 전류에 의해 상당한 전압(예컨대, 수 백 볼트)으로 충전된다. 벽-근방 플라즈마 전위의 증가 뿐만 아니라 (동일한 큰 전류에 의해 야기된) 벌크 플라즈마 양단의 비교적 큰 전압 강하의 존재로 인해, 국부(기판-근방) 플라즈마 전위(Vpl) 뿐만 아니라 기판 전위(Vsub)는 설정된 시스 전압(VSH)의 약 최대 1/3까지 실질적인 증가된다. 마지막으로, 신호 형성 페이즈(503) 동안, 프로세싱 플라즈마를 통한 전류는 (페이즈(501)에서와 같이) 비율 CSH/Cs에 의해 결정되며, 비교적 작을 뿐만 아니라(또한 빠르게 감쇠함), 벌크 플라즈마에 걸친 전압 강하를 발생시킨다. 따라서, 국부(기판-근방) 플라즈마 전위는 벽-근방 플라즈마 전위와 대략 동일하게 유지되며, 이들은, 시스가 챔버 벽들로 이온 전류에 의해 주로 방전되기 때문에, 페이즈(503)의 끝 무렵에 제로-근방 값들로 둘 모두 릴렉싱된다. 페이즈(501 내지 503) 동안의 국부 플라즈마 전위 섭동의 결과로서, 설정된 시스 전압(VSH)은 페이즈의 끝에서 기판 전압 파형(510)의 전체 음의 점프의 ~75%만을 구성한다(
Figure pat00004
). 음의 점프(
Figure pat00005
)는 주어진 Vm 및 τtot에 대해 최대 시스 전압을 정의하며(거의-무한 Cw 및 제로-근방 Rpl에 의해서만 달성가능함), 이는 바이어싱 전극 전압 파형(500)에서의 음의 점프에 근접한다(
Figure pat00006
). 후자는, 페이즈(503) 동안, 척 캐패시터가 그 초기 전차의 작은 부분(
Figure pat00007
)을 시스에 전달함으로써, 전극과 기판 사이에 거의 일정한 전위 차이를 유지하기 때문이다. 관계
Figure pat00008
는 측정된 VOUT으로부터 VSH를 추정하기 위해 실제로 사용될 수 있다.
A. 실제 고려사항들
효과적인 단순화된 전기 회로(600) 및 그 회로의 수치 시뮬레이션의 결과는 각각 도 6 및 도 7a 내지 도 7h에 도시된다. 우리는, 실제 PSPICE 모델에서 유한 폐쇄 시간을 갖는 비-이상적 스위치를 시뮬레이팅하기 위해, 최대 전압(Vm) 및 유한 상승-시간을 갖는 (스위치 제어 전압 펄스(P1)와 동기화된) 사다리꼴 전압 펄스로 정전압 소스(Vm)를 우리가 대체한 것을 유의한다. 모든 회로 파라미터들은 표 1에 제공된다:
표 1
Figure pat00009
도 7a는 시간에 따른 모델링된 나노초 펄스 생성기 출력 전압(V0(t))(및 3개의 파형 사이클)을 도시한다. 도 7b는 도 7a의 일부의 클로즈업 도면이다. 도 7c는 바이어싱 전극에서의 모델링된 전압(Vs(t)), 즉 도 6의 회로(600)에 도시된 바와 같은 Cs에 걸친 전압을 예시한다. 도 7d는 도 7c의 일부의 클로즈업 도면이다. 도 7e는 도 6에 도시된 바와 같은 모델링된 기판 전위(Vsub), 국부(기판-근방) 플라즈마 전위(Vpl), 및 벽-근방 플라즈마 전위(Vw)를 예시한다. 도 7f는 도 7d의 일부의 클로즈업 도면이다. 도 7g는 바이어싱 전극에 펄스형 바이어스 생성기를 커플링시키는 외부 전도체(이를테면, 전송 라인(206))를 통하는 모델링된 전류(IL(t)), 즉 도 6의 회로(600)에서 인덕턴스(Ltransm)를 통하는 전류를 예시한다. 도 7h는 도 7g의 일부의 클로즈업 도면이다.
도 7e 및 7f의 수치 결과는 펄스 바이어스 발생기(240) (나노초 펄스 발생기(214) 및 전류 복귀 출력 스테이지(215)를 포함함)를 사용하여 대부분의 파형 주기 동안 거의 일정한 시스(및 기판)(도 5c에 도시된 단일 피크 IEDF(520)와 같은) 좁은 단일 피크 IEDF를 생성한다. 도 7a 내지 도 7h에서 결과를 얻기 위해 사용 된 펄스 반복 주파수는 400 kHz이고, 대응하는 파형 주기는 2.5 마이크로초이다. 도 7e 및 7f의 기판 전위 파형은 이온 전류 페이즈 (504)의 과정 동안 누설되는 작은 전압 드리프트(도 5b에서 ΔVsh로 도시 됨)를 포함하며 다음과 같이 추정될 수 있다. 이온 전류 단계(504) 동안: (a) 바이어스 전극(즉, 척킹 폴)에서의 전압은 전류-복귀 출력 단계에서 저항 Rros에 의해 결정되는 레벨에서 일정하게 유지되므로,
Figure pat00010
및 (b) 플라즈마 전위는 일정하게 유지된다(거의 0에 가깝다) ― 이온 전류 단계 (504)(파형주기 T에 가깝다)의 지속 기간 T4에 걸친 시스 전압 저하 ΔVsh가 공식에 의해 주어지면 즉시 얻어 질 수있다:
Figure pat00011
(1), 여기서, Ii는 시스를 통해 흐르는 이온 전류이다.
이 공식은 이온 전류가 시스 캐패시터(CSH)와 척 커패시터(Ce) 사이에서 분할되어 시스 전압을 변경하기 위해 이들 모두를 방전해야한다는 사실을 반영한다. 위의 공식은 본원에서 제안된 펄스 전압 바이어싱 방식의 효과적인 작동을 위한 적절한 파라미터를 선택하는 데 사용할 수 있으며, 적용 범위를 결정할 수 있다.
예를 들어, 거의 일정한 시스 전압(VSH)을 유지한다는 목표에서, 우리는 즉,
Figure pat00012
의 비교적 작은 전압 드룹의 요건을 즉시 얻는다. 주어진 이온 전류(일반적으로 0.5 내지 5 A), Ce 및 T에 대해, 여기에 제안된 펄스 전압 바이어싱 방식이 가장 유용한 시스 전압의 범위를 제공한다. 이 요건은 좁은 단일 피크 IEDF(즉, 도 5c의 IEDF(520))를 생성 할 때 이 바이어스 체계의 효과가 원하는 시스 전압 및 이온 에너지에 따라 증가하므로 "하드 마스크 개방(hard mask open)” 및 "유전체 몰드 에칭"을 포함한다. 보다 정확하게는, 본원에 기재된 바이어싱 방식을 사용하여 생성된 "단일 에너지” IEDF에서의 단일 에너지 피크의 상대 폭은 비율 ΔVsh/VSH에 의해, 또는 실질적인 관점에서 Ce, Ii 및 T에 의해 결정된다.
위의 요건은 또한, 본원에 제안된 펄스형 전압 바이어싱 구조가 펄스형 전압 파형(예를 들어, 도 5a의 전압 파형(500))의 더 높은 펄스 반복 주파수 (PRF)(또는보다 짧은주기 T)에서 더 잘 동작함을 암시한다. 실제로, 식(1)을 사용하면, 전압 드룹의 값(ΔVSH)은 주기(T)와 함께 증가한다. 차례로, 전압 드룹의 증가는 다음을 사용하여 생성된 1차원 IEDF의 상대적 폭의 증가를 초래하고, 결과적으로, ΔVSH/VSH가 제안되어, 이 단 하나의 IEDF를 사용하여 생성된 임의의 IEDF의 형상을 정밀하게 제어할 수 있는 능력을 감소시킨다. 그러나, PRF의 선택은 2개의 추가 고려사항과 균형을 이루어야 한다. 즉, (a) 고전압 나노초 펄스를 생성하는 난제는 스위칭 주파수 및 (b) 이온이 기판 표면을 향하여 가속되고 기판의 이온 충격을 받는 이온 전류 위상(504)의 지속 시간(T4)(예를 들어, 에칭 프로세스 동안 에칭이 발생 함)은 시스 붕괴 단계(501), ESC 재충전 단계(502) 및 시스 형성 단계(503)의 조합 지속 기간(T1 + T2 + T3)보다 훨씬 길어야 할 필요가 있다. 이 결합된 지속시간은 펄스 반복 주파수와 독립적으로 회로 엘리먼트들(Cs, Rros, Lros, Linternal, Ltransm)(도 6)에 의해서만 결정되며 일반적으로 약 200 내지 400 ns이다. 실제적으로, 400 kHz는 수 암페어 및 수 나노패럿(예컨대, 7 내지 10 nF)의 이온 전류에 대한 펄스 반복 주파수의 합리적인 선택이며, 원하는 시스 전압(VSH)가 ΔVSH보다 훨씬 더 큰 경우(예를 들어, 상기 파라미터에 대해 VSH ~ 3 내지 8kV)이다.
위의 요건으로부터 큰 Ce를 갖는 것이 유리하다는 것이 또한 명백하며, 펄스 전압이 지지 베이스(207)보다는 척킹 폴에 인가될 때 본원에 제안된 펄스형 전압 바이어싱 구조가 가장 효과적으로 작용하는 이유이다(도 2). 실제적으로, Ce는 제안된 바이어싱 방식의 효과적인 구현을 위해 대략 수 나노패럿일 필요가 있다. 고 종횡비 애플리케이션에서 일반적인 CSH ~ 100 내지 300pF의 경우, 이는 주어진 VOUT에서
Figure pat00013
를 최대화하는 데 중요한
Figure pat00014
를 자동으로 암시한다.
본원에서 제안된 펄스 전압 바이어싱 방식에서, 전압 스위칭은 나노초 펄스 발생기 내부에서만 발생하고, 출력 승압 트랜스포머의 1차측을 구동하는 비교적 작은 전압(예를 들어, 100 내지 800V)에서만 발생한다. 이는 전체 시스 전압(예를 들어, 수천 볼트)에서 스위칭해야 하는 제2 스위치(저항성 출력단 대신에 위치함)가 일반적으로 존재하는 이전에 제안된 방식과 비교할 때 상당한 실용적인 이점을 제공한다. 이러한 이전에 제안된 바이어싱 방식에서의 제2 스위치의 존재는 시스템 견고성을 상당히 감소 시키며, 실제 관점에서 높은 종횡비 애플리케이션에 요구되는 충분히 높은 시스 전압(예를 들어, VSH ~ 4000 내지 8000V)에 대한 확장성을 제한한다. 저자는 RF 주파수 (예컨대, 400 kHz) 및 동시에 8,000V의 높은 전압으로 스위칭 할 수 있는 상업적으로 이용가능한 스위치를 식별할 수 없었다. 도 3의 블로킹 다이오드의 목적은 페이즈(503 및 504) 동안, 전류 복귀 출력 스테이지 대신에 승압 트랜스포머의 2차 권선을 통해 복귀 전류가 흐르는 것을 방지하는 것이다.
저자들은 또한, 전류 복귀 출력단(215)이 인덕터 및 커패시터(예컨대, 직렬 인덕터)와 같은 리액턴스 요소들의 조합을 포함할 수 있으며, 거의 일정한 시스 전압을 생성하는 데 있어서의 효과를 제한하지 않을 수 있음을 주목한다. 전류 복귀 출력 단계에서 저항의 값(예컨대, 도 6의 저항 Rros)은 RC-방전 시간(
Figure pat00015
)를 최소화하는 요구 사항과 결합된 전력 균형 고려 사항을 기반으로 결정해야 한다. 본원에서 제안된 펄스 전압 바이어싱 구조의 다른 이점은 나노초 펄스 발생기의 상업적 이용 가능성을 포함한다.
본원에서 제안된 펄스 전압 바이어싱 구조는 또한, 도 2에 도시된 바와 같이 ESC 기판 지지체의 기판 수용 표면에 기판을 척킹, 즉 "전기적으로 클램핑"하는데 사용되는 고전압 모듈 (HVM)과 쉽게 통합 될 수 있다. 기판을 척킹함으로써, 기판 수용면과 기판의 비 디바이스 측 표면 사이의 갭을 헬륨 가스(He)로 충전할 수 있으며, 이는 헬륨 가스(He) 사이의 양호한 열 접촉을 제공한다. HVM에 의해 생성된 DC 척킹 전압을 바이어싱 전극(예를 들어, 척킹 폴 (204))에서 펄스형 바이어스 발생기(이를테면 240)에 의해 생성된 펄스 형 전압과 결합하면 DC 척킹 전압과 동일한 펄스형 전압 파형의 추가 전압 오프셋(이를테면, 500)이 발생될 것이다. 적절히 큰 Chvm과 Rhvm을 선택하면 HVM이 펄스 바이어스 생성기의 동작에 미치는 영향을 무시할 수 있다. 회로 (300)에서의 차단 캐패시터 (Chvm)의 주요 기능은 HVM DC 전압으로부터 펄스 바이어스 생성기를 보호하는 것이며, 따라서 Chvm에 걸쳐 강하되고, 펄스 발생 바이어스 생성기 출력을 교란시키지 않는다. Chvm의 값은 HVM DC 전압만 차단하는 동안 펄스 바이어스 발생기의 고주파 출력 전압에 어떠한 부하도 주지 않도록 선택되어야 한다. 충분히 큰 Chvm(예컨대, 40 내지 80nF)을 선택하면, 시스템의 다른 관련 캐패시턴스보다 훨씬 크고, 이 소자의 전압 강하가 매우 작기 때문에, 400kHz 신호에 대해 거의 투명하게 만들 수 있으며, Ce, CSH와 같은 다른 관련된 캐패시터에 걸친 전압에 연결된다. 차례로, 차단 레지스터(Rhvm)의 목적은 고주파 펄스 바이어스 발생기의 전압을 차단하고 HVM DC 전압 공급에서 유도하는 전류를 최소화하는 것이다. 이 차단 레지스터(Rhvm)는 전류를 효율적으로 최소화하기 위해 충분히 커야 한다. 예를 들어, Rhvm > 1 MOhm은 펄스 바이어스 발생기의 400kHz 전류를 HVM에 무시할만큼 충분히 크며, Ihvm ~ VOUT/Rhvm은 5mA 피크 정도이며 파형 기간 동안 평균화하면 약 10배 정도 낮게 된다. 대략 0.5 내지 1 mA 정도의 결과 평균 유도 전류는 실제로 5 mA DC 전류인 HVM 전원 공급 장치의 일반적인 제한보다 훨씬 작다. 위의 추정은 VOUT(도 5a 참조)가 시스 붕괴 페이즈(501) 및 ESC 재충전 페이즈(502) 동안의 척킹 폴(204)에서의 양의 전압 점프이고, 스위치(S1)가 폐쇄 포지션으로 유지되는 경우, 나노초 펄스 발생기 (214)는 그 출력에 걸쳐 실질적으로 일정한 양의 전압을 유지한다. 또한 Rhvm을 선택할 때
Figure pat00016
을 보장하기에는 너무 클 수 없다는 사실을 유의해야 하며,
Figure pat00017
은 HVM 누설 전류인 Ileak가 대략 수 십 마이크로암페어인 것을 고려할 때 만족시키기 어렵다.
도 8은 본원에서 제안되는 펄스형 전압 바이어싱 체계의 실제 구현에 의해 생성되는 측정된 기판 전압 파형의 오실로스코프 트레이스를 도시한다. 측정은 전기적으로 결합된 Lecroy PPE4kV(100:1, 50MΩ/6pF, 4kVpp, 400MHz) 고전압 오실로스코프 프로브를 사용하여, 약 1.35A의 웨이퍼에 이온 전류로 10mT에서 주로 O2 플라즈마에서 수행되었다. 센서는 전도성 접착제로 알루미늄 테이프를 충분히 큰 패치(좋은 용량 성 결합을 위해)를 사용하여 저 저항 실리콘 웨이퍼에 연결한 알루미나 비드를 입힌 KaptonTM 코팅 와이어를 포함하고 있으며, 연결 이온 사이트는 KaptonTM 테이프 및 알루미나 페이스트에 의해 추가로 덮였다. 이 진단은 기능 생성기의 테스트 신호를 사용하여 벤치 테스트되었고 기판 전위 측정은 알루미늄 웨이퍼를 사용하여 검증되었다. 도 8에서 볼 수 있는 바와 같이, 실험적으로 관찰된 기판 파형은 도 7e에 나타난 모델 생성 파형과 잘 일치한다. 모델과 실험 사이의 양호한 일치는 전기의(진공) 피드스루를 통해 Lecroy PPE4kV 프로브에 부유 Langmuir 프로브 사용하여 덮개 근처에 측정된 플라즈마 전위의 오실로스코프 추적(미도시)에서도 관찰되었다. 즉, 측정된 파형은 이온 전류 단계(504)의 시작에 의해 플라즈마 포텐셜이 거의 제로로 완화되는 것을 나타낸다. 이러한 펄스형 전압 바이어싱 체계는 기판 프로세싱 시간의 최대 약 90% 동안 거의 일정한 시스 전압을 유지할 수 있게 하며, 이는 단일의(좁은) 피크 IEDF(이를테면, 도 5c의 IEDF(520))를 발생시키고, 그 단일의(좁은) 피크 IEDF는 임의의 형상을 갖는 IEDF를 생성하기 위해 추가로 사용될 수 있다.
B. 도 2의 상세한 설명: 챔버 도면
도 2는 일 실시예에 따른, 본원에서 제안되는 바이어싱 체계들을 실시하도록 구성된 예시적인 프로세싱 챔버의 개략적인 단면도이다. 이 실시에에서, 프로세싱 챔버는 반응성 이온 에칭(RIE) 플라즈마 챔버와 같은 플라즈마 프로세싱 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버는, 플라즈마-강화 증착 챔버, 예컨대, 플라즈마-강화 화학 기상 증착(PECVD) 챔버, 플라즈마 강화 물리 기상 증착(PEPVD) 챔버, 또는 플라즈마-강화 원자 층 증착(PEALD) 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버는 플라즈마 처리 챔버, 또는 플라즈마 기반 이온 주입 챔버, 예컨대 플라즈마 도핑(PLAD)이다. 본원에서, 프로세싱 챔버는 무선 주파수(RF) 전력 공급부에 전기적으로 커플링된 유도성 커플링 플라즈마(ICP) 소스를 포함한다. 다른 실시예들에서, 플라즈마 소스는 기판 지지부를 향하여 프로세싱 볼륨에 배치된 소스 전극과 같은 용량성 커플링 플라즈마(CCP) 소스이며, 여기서, 소스 전극은 RF 전력 공급부에 전기적으로 커플링된다.
프로세싱 챔버(200)는 프로세싱 볼륨(226)을 정의하는 챔버 덮개(223), 하나 이상의 측벽(222) 및 챔버 베이스(224)를 포함하는 챔버 바디(213)를 특징으로 한다. 챔버 덮개(223)를 통해 배치된 가스 유입구(228)는 하나 이상의 처리 가스를 그와 유체 연통하는 처리 가스 공급원 (219)으로부터 처리 볼륨(226)으로 제공하는데 사용된다. 여기에서, 프로세싱 가스로부터 프로세싱 플라즈마(201)를 발화시키고 유지하도록 구성된 플라즈마 발생기는 프로세싱 볼륨(226) 외부의 챔버 덮개(223)에 근접하게 배치된 하나 이상의 유도 코일 (217)을 포함한다. 하나 이상의 유도 코일(217)은 RF 정합 회로(230)를 통해 RF 전원(218)에 전기적으로 결합된다. 플라즈마 발생기는 유도 코일(217) 및 RF 전원(218)에 의해 발생된 프로세싱 가스 및 전자기장을 사용하여 플라즈마(201)를 발화시키고 유지시키는데 사용된다. 프로세싱 볼륨(226)은 대기압 이하의 조건에서 처리 체적 (226)을 유지하고 처리 및/또는 그로부터 다른 기체를 배출시키는 진공 출구(220)를 통해 하나 이상의 전용 진공 펌프에 유체 연결된다. 프로세싱 볼륨(226) 내에 배치된 기판 지지 조립체(236)는 챔버 베이스(224)를 통해 밀봉식으로 연장되는 지지 샤프트(238) 상에 배치된다.
기판 (203)은 기판(203)의 플라즈마 프로세싱 동안 도어 또는 밸브 (미도시)로 밀봉된 하나 이상의 측벽(222) 중 하나의 개구(미도시)를 통해 프로세싱 볼륨(226) 내로 로딩되고 이로부터 제거된다. 여기서, 기판(203)은 리프트 핀 시스템(미도시)을 사용하여 ESC 기판 지지부 (205)의 수신면으로 그리고 그로부터 전달된다.
기판 지지 조립체(236)는지지 베이스(207) 및 지지 베이스(207)에 열적으로 결합되고 그 위에 배치되는 ESC 기판 지지부(205)를 포함한다. 전형적으로,지지 베이스(207)는 ESC 기판 지지부(205) 및 기판 처리 동안 ESC 기판 지지부(205) 상에 배치된 기판 (203)의 온도를 조절하는데 사용된다. 일부 실시예에서, 지지 베이스(207)는 그 내부에 배치 된 하나 이상의 냉각 채널 (미도시)을 포함하며, 냉각 채널은 냉각제 공급원 또는 도시되지 않은 냉각제 공급원과 유체 연통한다. 일부 실시예에서, ESC 기판 지지부(205)는 그 유전체 재료에 내장된 저항성 가열 소자와 같은 히터 (미도시)를 포함한다. 여기서, 지지 베이스(207)는 부식 저항성 금속, 예를 들어 알루미늄, 알루미늄 합금 또는 스테인레스 강과 같은 내 부식성 열전 도성 물질로 형성되고 접착제 또는 기계적 수단에 의해 기판 지지체에 결합된다. 전형적으로, ESC 기판 지지부(205)는 부식 저항성 금속 산화물 또는 금속 질화물 물질, 예컨대 산화 알루미늄(Al2O3), 질화 알루미늄(AlN), 산화 티타늄(TiO2)과 같은 벌크 소결 된 세라믹 재료와 같은 유전체 재료로 형성됨), 질화 티탄(TiN), 산화 이트륨(Y2O3), 이들의 혼합물 또는 이들의 조합 물을 포함한다. 본원의 실시예들에서, ESC 기판 지지부(205)는 그 유전체 재료에 내장된 바이어싱 전극(204)을 더 포함한다. 일 구성에서, 바이어스 전극(204)은 기판(203)을 ESC 기판 지지부 (205)의 지지 표면에 고정(척킹)하고 펄스형 전압 바이어싱을 사용하여 프로세싱 플라즈마 (201)에 대해 기판 (203)을 바이어싱한다. 전형적으로, 바이어스 전극(204)은 하나 이상의 금속 메쉬, 포일, 플레이트 또는 이들의 조합과 같은 하나 이상의 전기 전도성 부분으로 형성된다. 여기에서, 바이어스 전극(204)은 동축 전송 라인(206), 예컨대 동축 케이블과 같은 전기 컨덕터를 사용하여 약 -5000V와 약 5000V 사이의 정적 DC 전압과 같은 처킹 전압을 제공하는 고전압 모듈 (216)에 커플링된다.
지지 베이스(207)는 절연 플레이트(211)에 의해 챔버 베이스(224)와 전기적으로 절연되고, 접지 플레이트(212)는 절연 플레이트(211)와 챔버 베이스(224) 사이에 개재된다. 일부 실시예에서, 프로세싱 챔버(200)는 ESC 기판 지지부(205) 및/또는 지지 베이스(207)가 부식성 프로세싱 가스 또는 플라즈마와 접촉하는 것을 방지하기 위해, 기판 지지 어셈블리(236)를 에워싸는 석영 파이프(210) 또는 세정 가스 또는 플라즈마 또는 이들의 부산물을 포함한다. 전형적으로, 석영 파이프(210), 절연체 플레이트(211) 및 접지 판은 라이너(208)에 의해 외접된다. 여기서, ESC 기판 지지부(205)의 기판 수용 표면과 대략 동일 평면인 플라즈마 스크린(209)은 라이너(208)와 하나 이상의 측벽(222) 사이의 볼륨 내에 플라즈마가 형성되는 것을 방지한다.
본원에서, 바이어스 전극(204)은 ESC 기판 지지체(205)의 기판 수용 표면 및 기판(203)으로부터 ESC 기판 지지체(205)의 유전체 물질 층에 의해 이격되어 있다. 전형적으로, 유전체 재료의 층은 약 0.1 mm 내지 약 1 mm, 예를 들어 약 0.1 mm 내지 약 0.5 mm, 예를 들면 약 0.3 mm의 두께를 갖는다. 여기에서, 바이어스 전극(204)은 전송선(206)과 같은 외부 도체를 사용하여 펄스형 바이어스 발생기(240)에 전기적으로 연결된다. 펄스형 바이어스 발생기(240) 및 그 구성 요소는 본 명세서의 텍스트에서 보다 상세히 설명된다. 전술한 바와 같이, 유전체 재료 및 층 두께는 유전체 재료의 층의 커패시턴스 Ce가 예를 들어 약 7 내지 약 10nF와 같이 약 5nF 내지 약 12nF가되도록 선택될 수 있다.
일반적으로, 프로세싱 챔버(200)의 프로세싱 볼륨(226) 내의 낮은 중립 충전 압력은 ESC 기판 지지부 (205)의 유전체 재료와 기판 수용 표면 상에 배치된 기판(203) 사이에 배치된 표면들 사이의 불량한 열 전도를 초래하며, 이는 기판 (203)을 가열 또는 냉각시키는 ESC 기판 지지부(205)의 효율을 감소시킨다. 따라서, 일부 프로세스들에서, 열적으로 전도성인 불활성 열 전달 가스, 전형적으로는 헬륨이 기판 (203)의 비-디바이스 측면과 ESC 기판 지지부 (205)의 기판 수용 표면 사이에 배치된 볼륨(미도시) 사이의 열 전달을 향상시킨다. 열 전달 가스 소스(미도시)에 의해 제공되는 열 전달 가스는 지지 베이스(207)를 통해 배치되고, ESC 기판 지지부(205)를 통해 추가로 배치된 가스 연통 경로(미도시)를 통해 후면 볼륨으로 흐른다.
프로세싱 챔버(200)는 시스템 제어기(232)를 더 포함한다. 시스템 제어기(232)는 중앙 프로세싱 유닛(CPU)(233), 메모리(234), 및 지원 회로들(235)을 포함한다. 시스템 제어기(232)는 본원에 기재된 기판 바이어싱 방법을 포함하는 기판(203)을 처리하는데 사용되는 프로세스 시퀀스를 제어하는데 사용된다. CPU(233)는 프로세싱 챔버 및 그에 관련된 서브 프로세서를 제어하기위한 산업 현장에서 사용하도록 구성된 범용 컴퓨터 프로세서이다. 본원에 설명된 메모리(234)는 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 로컬 또는 원격의 다른 적절한 형태의 디지털 스토리지를 포함할 수 있다. 지원 회로들(235)은 CPU(233)에 통상적으로 커플링되고, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들 등을 포함할 수 있다. 소프트웨어 명령들은 CPU(233)에 명령하기 위해 메모리 내에 코딩되어 저장될 수 있다. 시스템 제어기(232)에 의해 판독가능한 프로그램(또는 컴퓨터 명령들)은 어떤 태스크들이 기판에 대해 수행가능한지를 결정한다. 바람직하게, 시스템 제어기(232)에 의해 판독가능한 프로그램은, 프로세서에 의해 실행되는 경우, 본원에서 설명되는 전극 바이어싱 체계의 모니터링 및 실행에 관련된 태스크들을 수행하는 코드를 포함한다. 프로그램은, 본원에서 설명되는 전극 바이어싱 체계를 구현하기 위해 사용되는 다양한 프로세스 태스크들 및 다양한 프로세스 시퀀스들을 수행하기 위해, 프로세싱 챔버(200) 내의 다양한 하드웨어 및 전기 컴포넌트들을 제어하는 데 사용되는 명령들을 포함할 것이다.
전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (47)

  1. 기판 지지 조립체 상에 배치된 기판의 표면 위에 플라즈마를 생성하는 단계; 및
    생성기 커플링 조립체를 사용하여 전기 전도체의 생성기 단부에 전기적으로 커플링된 바이어스 생성기를 사용하여, 상기 기판 지지 조립체 내에 배치된 바이어싱 전극(biasing electrode)을 바이어싱하는 단계
    를 포함하며,
    상기 전기 전도체의 전극 단부는, 전극 커플링 조립체를 사용하여, 상기 바이어싱 전극에 전기적으로 커플링되고, 상기 바이어스 생성기는 상기 바이어싱 전극에 펄스형 전압 파형을 설정하기 위해 사용되고, 상기 펄스형 전압 파형은 일련의 반복 사이클들을 포함하고,
    상기 일련의 반복 사이클들의 각각의 사이클 내의 파형은 제1 시간 간격 동안 발생하는 제1 부분, 및 제2 시간 간격 동안 발생하는 제2 부분을 갖고,
    상기 제1 시간 간격 동안 양의 전압 펄스만이 존재하고,
    상기 바이어스 생성기는,
    상기 전기 전도체의 생성기 단부에 전기적으로 커플링된 펄스 생성기; 및
    전류-리턴 출력 스테이지
    를 포함하고,
    상기 전류-리턴 출력 스테이지의 제1 단부는 상기 전기 전도체에 전기적으로 커플링되고,
    상기 전류-리턴 출력 스테이지의 제2 단부는 접지에 전기적으로 커플링되며,
    상기 제2 시간 간격의 적어도 일부 동안, 전류가 상기 전류-리턴 출력 스테이지를 통해 상기 바이어싱 전극으로부터 접지로 흐르는,
    기판을 프로세싱하는 방법.
  2. 제1 항에 있어서,
    상기 파형의 제1 부분은 시스 전압 강하(sheath voltage drop)의 복원을 발생시키는 양의 전압 펄스를 더 포함하며, 상기 제1 시간 간격의 끝에서 상기 기판의 플라즈마 대향 표면 위에 시스가 형성되는,
    기판을 프로세싱하는 방법.
  3. 제1 항에 있어서,
    상기 제1 시간 간격은 약 200 ns 내지 약 400 ns의 시간 지속기간을 갖는,
    기판을 프로세싱하는 방법.
  4. 제1 항에 있어서,
    상기 제1 시간 간격은 상기 일련의 반복 사이클들의 사이클의 시간 지속기간의 약 20% 미만인,
    기판을 프로세싱하는 방법.
  5. 제3 항에 있어서,
    상기 일련의 반복 사이클들의 사이클은 약 2 마이크로초(μs) 내지 약 3 μs의 시간 지속기간을 갖는,
    기판을 프로세싱하는 방법.
  6. 제1 항에 있어서,
    상기 양의 전압 펄스는 약 0.1 킬로볼트(kV) 내지 약 10 kV인,
    기판을 프로세싱하는 방법.
  7. 제1 항에 있어서,
    상기 바이어싱 전극은, 상기 유전체 재료의 층에 의해, 상기 기판 지지 조립체의 기판 지지 표면으로부터 이격되며, 상기 바이어싱 전극과 상기 유전체 재료의 층을 포함하는 평행 플레이트형 구조는 약 5 nF 내지 약 50 nF의 유효 캐패시턴스를 갖는,
    기판을 프로세싱하는 방법.
  8. 제1 항에 있어서,
    공급부 커플링 조립체를 사용하여 상기 전기 전도체의 상기 생성기 단부에 전기적으로 커플링된 척킹 전력 공급부를 사용하여, 상기 바이어싱 전극에 DC 전압을 인가하는 단계를 더 포함하는,
    기판을 프로세싱하는 방법.
  9. 제8 항에 있어서,
    상기 공급부 커플링 조립체는 약 1 MOhm 초과의 저항을 갖는 차단 레지스터를 포함하는,
    기판을 프로세싱하는 방법.
  10. 제1 항에 있어서,
    상기 전기 전도체는, 직렬로 전기적으로 커플링된, 제1 전기 전도체와 제2 전기 전도체를 더 포함하며, 상기 제1 전기 전도체의 하나의 단부는 상기 바이어스 생성기의 출력에 전기적으로 커플링되고, 상기 제2 전기 전도체의 하나의 단부는 상기 바이어싱 전극에 전기적으로 커플링되는,
    기판을 프로세싱하는 방법.
  11. 유전체 재료의 층에 의해 상기 기판 지지 조립체의 기판 지지 표면으로부터 분리된 바이어싱 전극을 포함하는 기판 지지 조립체; 및
    생성기 커플링 조립체를 사용하여 전기 전도체의 생성기 단부에 전기적으로 커플링된 바이어스 생성기
    를 포함하며,
    상기 전기 전도체의 전극 단부는, 전극 커플링 조립체를 사용하여, 상기 바이어싱 전극에 전기적으로 커플링되고,
    상기 바이어스 생성기는,
    상기 전기 전도체의 생성기 단부에 전기적으로 커플링된 펄스 생성기; 및
    전류-리턴 출력 스테이지
    를 포함하고,
    상기 전류-리턴 출력 스테이지의 제1 단부는 상기 전기 전도체에 전기적으로 커플링되고,
    상기 전류-리턴 출력 스테이지의 제2 단부는 접지에 전기적으로 커플링되며,
    상기 전기 전도체는, 직렬로 전기적으로 커플링된, 제1 전기 전도체와 제2 전기 전도체를 더 포함하고, 상기 제1 전기 전도체의 하나의 단부는, 상기 생성기 커플링 조립체를 사용하여, 상기 바이어스 생성기의 출력에 전기적으로 커플링되고, 상기 제2 전기 전도체의 하나의 단부는, 상기 전극 커플링 조립체를 사용하여, 상기 바이어싱 전극에 전기적으로 커플링되는,
    프로세싱 챔버.
  12. 제11 항에 있어서,
    상기 기판 지지 조립체의 상기 기판 지지 표면 위에 플라즈마를 생성하도록 구성된, 유도성 커플링 플라즈마 소스 또는 용량성 커플링 플라즈마 소스를 더 포함하며,
    상기 펄스 생성기의 전압 소스는 실질적인 정전압 소스를 포함하는,
    프로세싱 챔버.
  13. 제11 항에 있어서,
    공급부 커플링 조립체를 사용하여 상기 전기 전도체의 상기 생성기 단부에 전기적으로 커플링된 척킹 전력 공급부를 더 포함하는,
    프로세싱 챔버.
  14. 제13 항에 있어서,
    상기 공급부 커플링 조립체는 약 1 MOhm 초과의 저항을 갖는 차단 레지스터를 포함하는,
    프로세싱 챔버.
  15. 제11 항에 있어서,
    상기 바이어싱 전극과 상기 유전체 재료의 층을 포함하는 평행 플레이트형 구조는 약 5 nF 내지 약 50 nF의 유효 캐패시턴스를 갖는,
    프로세싱 챔버.
  16. 제11 항에 있어서,
    상기 유전체 재료의 층은 약 0.1 mm 내지 약 1 mm의 두께를 갖는,
    프로세싱 챔버.
  17. 유전체 재료의 층에 의해 상기 기판 지지 조립체의 기판 지지 표면으로부터 분리된 바이어싱 전극을 포함하는 기판 지지 조립체;
    생성기 커플링 조립체를 사용하여 전기 전도체의 생성기 단부에 전기적으로 커플링된 바이어스 생성기; 및
    프로세서에 의해 실행되는 경우, 기판을 프로세싱하는 방법을 수행하기 위한 명령들이 저장된 컴퓨터 판독가능 매체
    를 포함하며,
    상기 전기 전도체의 전극 단부는, 전극 커플링 조립체를 사용하여, 상기 바이어싱 전극에 전기적으로 커플링되고,
    상기 바이어스 생성기는,
    상기 전기 전도체의 생성기 단부에 전기적으로 커플링된 펄스 생성기; 및
    전류-리턴 출력 스테이지
    를 포함하고,
    상기 전류-리턴 출력 스테이지의 제1 단부는 상기 전기 전도체에 전기적으로 커플링되고,
    상기 전류-리턴 출력 스테이지의 제2 단부는 접지에 전기적으로 커플링되고,
    상기 방법은,
    상기 기판 지지 조립체 상에 배치된 기판의 표면 위에 플라즈마를 생성하는 단계; 및
    상기 바이어스 생성기를 사용하여 상기 바이어싱 전극을 바이어싱하는 단계
    를 포함하며,
    상기 바이어싱 전극을 바이어싱하는 단계는 상기 바이어싱 전극에 펄스형 전압 파형을 설정하고,
    상기 펄스형 전압 파형은 일련의 반복 사이클들을 포함하고,
    상기 일련의 반복 사이클들의 각각의 사이클 내의 파형은 제1 시간 간격 동안 발생하는 제1 부분, 및 제2 시간 간격 동안 발생하는 제2 부분을 갖고,
    상기 제1 시간 간격 동안 양의 전압 펄스만이 존재하고,
    상기 전기 전도체는, 직렬로 전기적으로 커플링된, 제1 전기 전도체와 제2 전기 전도체를 더 포함하고, 상기 제1 전기 전도체의 하나의 단부는, 상기 생성기 커플링 조립체를 사용하여, 상기 바이어스 생성기의 출력에 전기적으로 커플링되고, 상기 제2 전기 전도체의 하나의 단부는, 상기 전극 커플링 조립체를 사용하여, 상기 바이어싱 전극에 전기적으로 커플링되는,
    프로세싱 챔버.
  18. 제17 항에 있어서,
    상기 양의 전압 펄스는, 상기 제1 시간 간격의 끝에서, 상기 기판의 플라즈마 대향 표면 위에 형성되는 시스 전압 강하의 복원을 발생시키며,
    상기 제2 시간 간격의 적어도 일부 동안, 전류가 상기 전류-리턴 출력 스테이지를 통해 상기 바이어싱 전극으로부터 접지로 흐르는,
    프로세싱 챔버.
  19. 제17 항에 있어서,
    상기 제1 시간 간격은 약 200 ns 내지 약 400 ns의 지속기간을 갖는,
    프로세싱 챔버.
  20. 제17 항에 있어서,
    상기 제1 시간 간격은 상기 일련의 반복 사이클들의 사이클의 시간 지속기간의 약 20% 미만인,
    프로세싱 챔버.
  21. 제17 항에 있어서,
    상기 일련의 반복 사이클들의 사이클은 약 2 μs 내지 약 3 μs의 지속기간을 갖는 주기를 갖는,
    프로세싱 챔버.
  22. 제1 항에 있어서,
    상기 펄스 생성기의 제1 단부는 상기 전기 전도체의 상기 생성기 단부에 전기적으로 커플링되며, 상기 펄스 생성기의 제2 단부는 접지에 전기적으로 커플링되는,
    기판을 프로세싱하는 방법.
  23. 제1 항에 있어서,
    상기 생성기 커플링 조립체는, 캐패시터, 직렬의 캐패시터와 전기 전도체, 인덕터, 및 직렬의 인덕터와 전기 전도체로 구성된 그룹으로부터 선택되는 컴포넌트들 중 하나를 포함하는,
    기판을 프로세싱하는 방법.
  24. 제1 항에 있어서,
    상기 전극 커플링 조립체는, 캐패시터, 직렬의 캐패시터와 전기 전도체, 인덕터, 및 직렬의 인덕터와 전기 전도체로 구성된 그룹으로부터 선택되는 컴포넌트들 중 하나를 포함하는,
    기판을 프로세싱하는 방법.
  25. 제1 항에 있어서,
    상기 생성기 커플링 조립체는 약 40 nF 내지 약 80 nF의 범위의 캐패시턴스를 갖는 캐패시터를 포함하는,
    기판을 프로세싱하는 방법.
  26. 제11 항에 있어서,
    상기 펄스 생성기의 제1 단부는 상기 전기 전도체의 상기 생성기 단부에 전기적으로 커플링되며, 상기 펄스 생성기의 제2 단부는 접지에 전기적으로 커플링되는,
    프로세싱 챔버.
  27. 제11 항에 있어서,
    상기 생성기 커플링 조립체는, 캐패시터, 직렬의 캐패시터와 전기 전도체, 인덕터, 및 직렬의 인덕터와 전기 전도체로 구성된 그룹으로부터 선택되는 컴포넌트들 중 하나를 포함하는,
    프로세싱 챔버.
  28. 제11 항에 있어서,
    상기 전극 커플링 조립체는, 캐패시터, 직렬의 캐패시터와 전기 전도체, 인덕터, 및 직렬의 인덕터와 전기 전도체로 구성된 그룹으로부터 선택되는 컴포넌트들 중 하나를 포함하는,
    프로세싱 챔버.
  29. 제17 항에 있어서,
    상기 펄스 생성기의 제1 단부는 상기 전기 전도체의 상기 생성기 단부에 전기적으로 커플링되며, 상기 펄스 생성기의 제2 단부는 접지에 전기적으로 커플링되는,
    프로세싱 챔버.
  30. 제17 항에 있어서,
    상기 생성기 커플링 조립체는, 캐패시터, 직렬의 캐패시터와 전기 전도체, 인덕터, 및 직렬의 인덕터와 전기 전도체로 구성된 그룹으로부터 선택되는 컴포넌트들 중 하나를 포함하는,
    프로세싱 챔버.
  31. 제17 항에 있어서,
    상기 전극 커플링 조립체는, 캐패시터, 직렬의 캐패시터와 전기 전도체, 인덕터, 및 직렬의 인덕터와 전기 전도체로 구성된 그룹으로부터 선택되는 컴포넌트들 중 하나를 포함하는,
    프로세싱 챔버.
  32. 제17 항에 있어서,
    상기 생성기 커플링 조립체는 약 40 nF 내지 약 80 nF의 범위의 캐패시턴스를 갖는 캐패시터를 포함하는,
    프로세싱 챔버.
  33. 기판 지지 조립체 상에 배치된 기판의 플라즈마 대향 표면 위에 플라즈마를 생성하는 단계; 및
    전기 전도체의 생성기 단부에 전기적으로 커플링된 바이어스 생성기를 사용하여, 상기 기판 지지 조립체 내에 배치된 바이어싱 전극(biasing electrode)을 바이어싱하는 단계
    를 포함하며,
    상기 전기 전도체의 전극 단부는 상기 바이어싱 전극에 전기적으로 커플링되고, 상기 바이어스 생성기는 상기 바이어싱 전극에 펄스형 전압 파형을 설정하기 위해 사용되고,
    상기 펄스형 전압 파형은,
    각각의 사이클 내의 파형이 제1 시간 간격 동안 발생하는 제1 부분, 및 제2 시간 간격 동안 발생하는 제2 부분을 갖고,
    상기 제1 시간 간격 동안 양의 전압 펄스만이 존재하고,
    상기 제2 시간 간격의 적어도 일부 동안, 상기 펄스형 전압 파형이 실질적으로 일정하며,
    상기 제2 시간 간격이 상기 제1 시간 간격보다 더 길도록,
    일련의 반복 사이클들을 갖는,
    기판을 프로세싱하는 방법.
  34. 제33 항에 있어서,
    상기 제1 부분은 시스 전압 강하(sheath voltage drop)의 복원을 발생시키는 양의 전압 펄스를 더 포함하며, 상기 제1 부분의 끝에서 상기 기판의 플라즈마 대향 표면 위에 시스가 형성되는,
    기판을 프로세싱하는 방법.
  35. 제34 항에 있어서,
    상기 일련의 반복 사이클들의 사이클 동안 형성되는 시스 전압 강하는 상기 일련의 반복 사이클들의 후속 사이클 동안 형성되는 시스 전압 강하와 실질적으로 동일한,
    기판을 프로세싱하는 방법.
  36. 제34 항에 있어서,
    상기 제2 부분은 상기 플라즈마로부터의 이온 전류에 의해 결정되는 실질적인 정전압을 더 포함하는,
    기판을 프로세싱하는 방법.
  37. 제33 항에 있어서,
    상기 제2 부분은 DC 척킹 전압 및 상기 플라즈마로부터의 이온 전류에 의해 결정되는 실질적인 정전압을 더 포함하는,
    기판을 프로세싱하는 방법.
  38. 제33 항에 있어서,
    생성된 플라즈마 내에 형성된 국부 플라즈마 전위는, 플라즈마 시스가 없을 때 상기 기판의 플라즈마 대향 표면에 인접한, 상기 플라즈마에서의 전위, 또는 플라즈마 시스가 있을 때 플라즈마 시스 경계에 인접한, 상기 플라즈마에서의 전위이며,
    상기 제1 부분은,
    시스 붕괴(collapse) 시간 지속기간을 갖는 시스 붕괴 페이즈 동안 시스 붕괴를 발생시키는 양의 전압 점프 ― 상기 시스 붕괴 시간 지속기간의 끝에서, 상기 기판의 표면에서의 전위는 상기 생성된 플라즈마의 국부 플라즈마 전위와 동일함 ―; 및
    시스 형성 시간 지속기간을 갖는 시스 형성 페이즈 동안 시스 형성을 발생시키는 음의 전압 점프
    를 더 포함하고,
    상기 시스 형성 시간 지속기간의 끝에서, 상기 기판의 플라즈마 대향 표면 위에 시스 전압 강하를 갖는 시스가 형성되는,
    기판을 프로세싱하는 방법.
  39. 제33 항에 있어서,
    상기 제1 시간 간격은 약 200 ns 내지 약 400 ns인,
    기판을 프로세싱하는 방법.
  40. 제39 항에 있어서,
    상기 제1 시간 간격은 상기 일련의 반복 사이클들의 사이클의 약 20% 미만인,
    기판을 프로세싱하는 방법.
  41. 제33 항에 있어서,
    상기 바이어스 생성기의 펄스 생성기의 제1 단부는 전기 전도체의 생성기 단부에 전기적으로 커플링되며, 상기 펄스 생성기의 제2 단부는 접지에 전기적으로 커플링되는,
    기판을 프로세싱하는 방법.
  42. 제33 항에 있어서,
    상기 바이어스 생성기는, 생성기 커플링 조립체를 사용하여, 상기 전기 전도체의 생성기 단부에 전기적으로 커플링되며, 상기 생성기 커플링 조립체는, 캐패시터, 직렬의 캐패시터와 전기 전도체, 인덕터, 및 직렬의 인덕터와 전기 전도체로 구성된 그룹으로부터 선택되는 컴포넌트들 중 하나를 포함하는,
    기판을 프로세싱하는 방법.
  43. 제33 항에 있어서,
    상기 바이어스 생성기는, 생성기 커플링 조립체를 사용하여, 상기 전기 전도체의 생성기 단부에 전기적으로 커플링되며, 상기 생성기 커플링 조립체는 전기 전도체를 포함하는,
    기판을 프로세싱하는 방법.
  44. 제33 항에 있어서,
    상기 전기 전도체는, 전극 커플링 조립체를 사용하여, 상기 바이어싱 전극에 전기적으로 커플링되며,
    상기 전극 커플링 조립체는, 캐패시터, 직렬의 캐패시터와 전기 전도체, 인덕터, 및 직렬의 인덕터와 전기 전도체로 구성된 그룹으로부터 선택되는 컴포넌트들 중 하나를 포함하는,
    기판을 프로세싱하는 방법.
  45. 제33 항에 있어서,
    상기 전기 전도체는, 전극 커플링 조립체를 사용하여, 상기 바이어싱 전극에 전기적으로 커플링되며, 상기 전극 커플링 조립체는 전기 전도체를 포함하는,
    기판을 프로세싱하는 방법.
  46. 제33 항에 있어서,
    상기 바이어스 생성기는, 생성기 커플링 조립체를 사용하여, 상기 전기 전도체의 생성기 단부에 전기적으로 커플링되며, 상기 생성기 커플링 조립체는 약 40 nF 내지 약 80 nF의 범위의 캐패시턴스를 갖는 캐패시터를 포함하는,
    기판을 프로세싱하는 방법.
  47. 제33 항에 있어서,
    상기 제1 부분은,
    시스 붕괴 시간 지속기간을 갖는 시스 붕괴 페이즈 동안 시스 붕괴를 발생시키는 양의 전압 점프 ― 상기 시스 붕괴 시간 지속기간의 끝에서, 상기 기판의 표면에서의 전위는 상기 생성된 플라즈마의 국부 플라즈마 전위와 동일함 ―; 및
    시스 형성 시간 지속기간을 갖는 시스 형성 페이즈 동안 시스 형성을 발생시키는 음의 전압 점프
    를 더 포함하고,
    상기 시스 형성 시간 지속기간의 끝에서, 상기 기판의 플라즈마 대향 표면 위에 시스 전압 강하를 갖는 시스가 형성되는,
    기판을 프로세싱하는 방법.
KR1020190054386A 2018-05-10 2019-05-09 전류-리턴 출력 스테이지를 갖는 펄스 생성기를 사용하여 이온 에너지 분포를 제어하는 방법 KR20190129745A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/976,728 US10555412B2 (en) 2018-05-10 2018-05-10 Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US15/976,728 2018-05-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190062687A Division KR20190129773A (ko) 2018-05-10 2019-05-28 전류-리턴 출력 스테이지를 갖는 펄스 생성기를 사용하여 이온 에너지 분포를 제어하는 방법

Publications (1)

Publication Number Publication Date
KR20190129745A true KR20190129745A (ko) 2019-11-20

Family

ID=68165071

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020190054386A KR20190129745A (ko) 2018-05-10 2019-05-09 전류-리턴 출력 스테이지를 갖는 펄스 생성기를 사용하여 이온 에너지 분포를 제어하는 방법
KR1020190062687A KR20190129773A (ko) 2018-05-10 2019-05-28 전류-리턴 출력 스테이지를 갖는 펄스 생성기를 사용하여 이온 에너지 분포를 제어하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020190062687A KR20190129773A (ko) 2018-05-10 2019-05-28 전류-리턴 출력 스테이지를 갖는 펄스 생성기를 사용하여 이온 에너지 분포를 제어하는 방법

Country Status (4)

Country Link
US (5) US10555412B2 (ko)
JP (4) JP7316091B2 (ko)
KR (2) KR20190129745A (ko)
CN (2) CN118016500A (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220119043A (ko) * 2019-12-24 2022-08-26 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
WO2022240651A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10483089B2 (en) * 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
CN111788655B (zh) 2017-11-17 2024-04-05 先进工程解决方案全球控股私人有限公司 对等离子体处理的离子偏置电压的空间和时间控制
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN110504149B (zh) * 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 射频电源的脉冲调制系统及方法
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
EP3834285A4 (en) * 2018-08-10 2022-07-20 Eagle Harbor Technologies, Inc. PLASMA JACKET CONTROL FOR RF PLASMA REACTORS
KR102509641B1 (ko) * 2018-08-28 2023-03-16 삼성전자주식회사 플라즈마 챔버의 rf 센싱 장치 및 이를 포함하는 플라즈마 챔버
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20210111841A (ko) 2019-01-08 2021-09-13 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 회로의 효율적 에너지 회수
JP7451540B2 (ja) * 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
JP6960421B2 (ja) * 2019-01-23 2021-11-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
JP7413095B2 (ja) * 2020-03-13 2024-01-15 東京エレクトロン株式会社 プラズマ処理装置
JP2023533284A (ja) * 2020-07-09 2023-08-02 イーグル ハーバー テクノロジーズ,インク. イオン電流ドループ補償
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (559)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ko) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) * 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
JPH08264509A (ja) * 1995-03-27 1996-10-11 Hitachi Ltd 表面処理方法および表面処理装置
JP3085151B2 (ja) * 1995-07-13 2000-09-04 株式会社日立製作所 プラズマ処理方法および装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
JPH09129621A (ja) * 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
EP1038042A1 (en) 1997-10-15 2000-09-27 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
EP1119033A4 (en) 1998-09-18 2004-11-17 Tokyo Electron Ltd PLASMA PROCESSING
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) * 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
JP4672941B2 (ja) 1999-07-13 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマを発生させるための高周波電源
EP1282909A1 (en) 1999-08-02 2003-02-12 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
JP4578651B2 (ja) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
JP5165825B2 (ja) 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP4718093B2 (ja) 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
PT1253216E (pt) 2001-04-27 2004-04-30 Europ Economic Community Metodo e aparelhagem para tratamento sequencial por plasma
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10296932T5 (de) * 2001-08-27 2004-10-14 Matsushita Electric Industrial Co. Limited, Kadoma Plasmabehandlungeinrichtung und Plasmabehandlungsverfahren
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
JP2005508078A (ja) 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US7633319B2 (en) 2003-11-28 2009-12-15 Advantest Corporation Digital QP detecting apparatus, spectrum analyzer having the same, and digital QP detecting method
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
JP5323317B2 (ja) 2004-11-04 2013-10-23 株式会社アルバック 静電チャック方法
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
US7852008B2 (en) 2005-05-13 2010-12-14 Panasonic Corporation Dielectric barrier discharge lamp lighting device
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CA2635629A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
DE502006005363D1 (de) 2006-11-23 2009-12-24 Huettinger Elektronik Gmbh Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
WO2008071732A2 (en) 2006-12-12 2008-06-19 Oc Oerlikon Balzers Ag Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
ATE493749T1 (de) 2007-03-08 2011-01-15 Huettinger Elektronik Gmbh Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
WO2009012735A1 (de) 2007-07-23 2009-01-29 Hüttinger Elektronik Gmbh + Co. Kg Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
EP2221614A1 (en) 2007-11-26 2010-08-25 Tokyo Electron Limited Microstructure inspecting device, and microstructure inspecting method
WO2009073361A1 (en) 2007-11-29 2009-06-11 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
JP5891341B2 (ja) 2009-01-13 2016-03-23 ヘルスセンシング株式会社 プラズマ生成装置及び方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4932942B2 (ja) 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US9313872B2 (en) * 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
WO2012030904A2 (en) 2010-08-31 2012-03-08 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
JP5689283B2 (ja) 2010-11-02 2015-03-25 東京エレクトロン株式会社 基板処理方法及びその方法を実行するプログラムを記憶する記憶媒体
JP2012104382A (ja) * 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
WO2012122064A1 (en) 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
JP5864879B2 (ja) * 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TWI638587B (zh) 2011-10-05 2018-10-11 美商應用材料股份有限公司 對稱電漿處理腔室
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
US9209034B2 (en) 2012-02-01 2015-12-08 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
KR102038649B1 (ko) 2012-02-20 2019-10-30 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
JP6201753B2 (ja) 2012-03-30 2017-09-27 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
EP2885248B1 (en) 2012-08-15 2018-08-22 Lockheed Martin Energy, LLC High solubility iron hexacyanides
KR102025540B1 (ko) 2012-08-28 2019-09-26 에이이에스 글로벌 홀딩스 피티이 리미티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR101860182B1 (ko) * 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
JP6154820B2 (ja) 2012-11-01 2017-06-28 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
US20160004475A1 (en) 2013-02-28 2016-01-07 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
EP3005220B1 (en) 2013-06-04 2019-09-04 Eagle Harbor Technologies Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
CN105555990B (zh) 2013-07-17 2018-01-09 先进能源工业公司 在脉冲双磁控管溅射(dms)工艺中平衡靶消耗的系统和方法
CN105408993A (zh) 2013-08-06 2016-03-16 应用材料公司 局部加热的多区域基板支撑件
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) * 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
CN116633324A (zh) 2013-11-14 2023-08-22 鹰港科技有限公司 高压纳秒脉冲发生器
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
JP2015162266A (ja) 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
TWI590329B (zh) 2014-03-02 2017-07-01 東京威力科創股份有限公司 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR101907375B1 (ko) 2014-03-24 2018-10-12 어드밴스드 에너지 인더스트리즈 인코포레이티드 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
CN106971964A (zh) 2014-07-23 2017-07-21 应用材料公司 可调谐温度受控的基板支撑组件
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10373755B2 (en) 2015-11-30 2019-08-06 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
WO2017172536A1 (en) * 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
WO2018048925A1 (en) 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) * 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US10268846B2 (en) 2016-12-30 2019-04-23 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN108281342B (zh) 2017-01-05 2020-01-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
EP4266579A3 (en) 2017-02-07 2023-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
CN110431655A (zh) 2017-03-17 2019-11-08 东京毅力科创株式会社 用于蚀刻度量改进的表面改性控制
CN117200759A (zh) 2017-03-31 2023-12-08 鹰港科技有限公司 高压电阻性输出级电路
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
TWI775862B (zh) 2017-05-30 2022-09-01 美商泰坦先進能源解決公司 電池壽命評估和容量恢復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
KR102557528B1 (ko) 2017-08-17 2023-07-19 도쿄엘렉트론가부시키가이샤 산업용 제조 장비에서 특성을 실시간 감지하기 위한 장치 및 방법
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102466195B1 (ko) 2017-08-25 2022-11-11 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10475622B2 (en) 2017-09-26 2019-11-12 Advanced Energy Industries, Inc. System and method for plasma ignition
KR102514231B1 (ko) 2017-10-30 2023-03-24 엔지케이 인슐레이터 엘티디 정전 척 및 그 제조법
JP6894000B2 (ja) 2017-11-06 2021-06-23 日本碍子株式会社 静電チャックアセンブリ及び静電チャック
WO2019099102A1 (en) 2017-11-16 2019-05-23 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
KR20200100643A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 시스템에서 변조 공급기들의 개선된 적용
JP7235761B2 (ja) 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
CN111788655B (zh) 2017-11-17 2024-04-05 先进工程解决方案全球控股私人有限公司 对等离子体处理的离子偏置电压的空间和时间控制
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11137372B2 (en) 2018-03-08 2021-10-05 Eagle Harbor Technologies, Inc. Eddy current nondestructive evaluation device with rotating permanent magnets and pickup coils
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
CN112106169A (zh) 2018-05-03 2020-12-18 应用材料公司 用于基座的rf接地配置
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
EP3834285A4 (en) 2018-08-10 2022-07-20 Eagle Harbor Technologies, Inc. PLASMA JACKET CONTROL FOR RF PLASMA REACTORS
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
EP3881423A4 (en) 2018-11-14 2022-08-03 AES Global Holdings, Pte. Ltd. ADDITIVE SYNTHESIS OF INTERLEAVED SWITCH MODE POWER STAGES FOR MINIMUM DELAY IN SETPOINT TRACKING
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
KR20210111841A (ko) 2019-01-08 2021-09-13 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 회로의 효율적 에너지 회수
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
US20200373114A1 (en) 2019-05-24 2020-11-26 Eagle Harbor Technologies, Inc. Klystron Driver
WO2021003319A1 (en) 2019-07-02 2021-01-07 Eagle Harbor Technologies. Inc Nanosecond pulser rf isolation
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
WO2021062223A1 (en) 2019-09-25 2021-04-01 Eagle Harbor Technologies, Inc. Nonlinear transmission line high voltage pulse sharpening with energy recovery
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20230150396A (ko) 2019-12-24 2023-10-30 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220119043A (ko) * 2019-12-24 2022-08-26 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
WO2022240651A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing

Also Published As

Publication number Publication date
JP2019197890A (ja) 2019-11-14
JP2019197892A (ja) 2019-11-14
US20200352017A1 (en) 2020-11-05
JP2023145535A (ja) 2023-10-11
CN110473762A (zh) 2019-11-19
US20200154556A1 (en) 2020-05-14
JP7372050B2 (ja) 2023-10-31
US11284500B2 (en) 2022-03-22
JP7382155B2 (ja) 2023-11-16
US10555412B2 (en) 2020-02-04
US10791617B2 (en) 2020-09-29
CN118016500A (zh) 2024-05-10
JP7316091B2 (ja) 2023-07-27
TW201948006A (zh) 2019-12-16
KR20190129773A (ko) 2019-11-20
US10448495B1 (en) 2019-10-15
US20190350072A1 (en) 2019-11-14
JP2019197891A (ja) 2019-11-14
US10448494B1 (en) 2019-10-15
CN110473762B (zh) 2024-03-05

Similar Documents

Publication Publication Date Title
JP7372050B2 (ja) 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法
US11462388B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
CN113169026A (zh) 用于控制脉冲电压波形的反馈回路
US11476090B1 (en) Voltage pulse time-domain multiplexing
TW202316478A (zh) 用於電漿處理應用的脈衝電壓源
TW202301913A (zh) 離子電流補償的設備及方法
JP2023542779A (ja) 基板処理のためのパルス電圧ブースト
TWI838371B (zh) 處理基板的處理腔室與方法
TWI835163B (zh) 用於基板處理的脈衝電壓增壓
TWI838453B (zh) 用於控制脈衝電壓波形的反饋迴路

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination