TWI838371B - 處理基板的處理腔室與方法 - Google Patents

處理基板的處理腔室與方法 Download PDF

Info

Publication number
TWI838371B
TWI838371B TW108116197A TW108116197A TWI838371B TW I838371 B TWI838371 B TW I838371B TW 108116197 A TW108116197 A TW 108116197A TW 108116197 A TW108116197 A TW 108116197A TW I838371 B TWI838371 B TW I838371B
Authority
TW
Taiwan
Prior art keywords
generator
conductor
electrically coupled
bias
electrode
Prior art date
Application number
TW108116197A
Other languages
English (en)
Other versions
TW201948006A (zh
Inventor
雷歐尼德 朵夫
奧黎維兒 魯爾
拉吉德 汀德沙
詹姆士 羅傑斯
蘇尼爾 斯里尼瓦桑
阿努拉格庫瑪 米胥拉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201948006A publication Critical patent/TW201948006A/zh
Application granted granted Critical
Publication of TWI838371B publication Critical patent/TWI838371B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/248Components associated with high voltage supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3438Electrodes other than cathode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3444Associated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3348Problems associated with etching control of ion bombardment energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本公開內容的實施方式描述了一種電極偏置方案,其能夠維持幾乎恆定的鞘層電壓,並因此在基板的表面處產生單能IEDF,因而能夠精確控制IEDF的形狀和在基板表面中形成的特徵的輪廓。

Description

處理基板的處理腔室與方法
本文所述實施方式總的來說涉及用在半導體製造中的電漿處理腔室。
可靠地產生高縱橫比特徵是下一代的半導體元件的超大規模集成(VLSI)和極大規模集成(ULSI)的關鍵技術挑戰之一。形成高縱橫比特徵的一種方法使用電漿輔助蝕刻製程(諸如反應性離子蝕刻(RIE)電漿製程)以在基板的材料層(諸如,介電質層)中形成高縱橫比開口。在典型的RIE電漿製程中,電漿是在RIE處理腔室中形成,並且來自電漿的離子朝向基板的表面加速,以便在設置在形成於基板表面上的掩模層下方的材料層中形成開口。
典型的反應性離子蝕刻(RIE)電漿處理腔室包括射頻(RF)偏置發生器,其向「功率電極」(嵌入「靜電吸盤」(ESC)組件中的金屬基底板,更通常稱作「陰極」),供應RF電壓。圖1A描繪在典型處理腔室中供應給功率電極的典型RF電壓的曲線圖。功率電極通過介電質材料(例如,陶瓷材料)層電容耦合到處理系統的電漿,所述介電質材料層是ESC組件的一部分。向功率電極施加RF電壓導致在基板的處理表面之上形成電子排斥電漿鞘層(也稱作「陰極鞘層」),所述基板在處理期間被定位在ESC組件的基板支撐表面上。電漿鞘層的非線性、類二極體性質導致施加的RF場的整流,使得在基板與電漿之間出現直流(DC)電壓降或「自偏置」,從而使得相對於電漿電勢而言基板電勢為負。這一電壓降決定了朝向基板加速的電漿離子的平均能量,並因此決定了蝕刻各向異性。更具體來說,離子方向性、特徵輪廓以及對掩模和終止層的蝕刻選擇性受離子能量分佈函數(IEDF)控制。在具有RF偏置的電漿中,IEDF通常具有低能量和高能量的兩個峰值,以及在其間的一些離子群,如圖1B中所示。IEDF的兩個峰值之間的離子群的存在反映了基板與電漿之間的電壓降在RF偏置頻率下振盪的事實。當使用較低頻率(例如,2MHz)的RF偏置發生器來獲得較高的自偏置電壓時,這兩個峰值之間的能量差可能是明顯的;並且由於低能量峰值處的離子引起的蝕刻輪廓更為各向同性,這可能潛在地導致特徵壁彎曲。與高能離子相比,低能離子在到達被蝕刻的特徵的底部處的拐角處(例如,由於充電效應)不太有效,但會導致掩模材料的濺射較少。這在高縱橫比的蝕刻應用(諸如硬掩模開口或介電質模蝕刻)中是重要的。
隨著特徵大小繼續減小並且縱橫比增大,同時特徵輪廓控制要求變得更加嚴格,更加期望在處理期間在基板表面處具有良好控制的離子能量分佈函數(IEDF)。單峰值IEDF可用於構建任何IEDF,包括具有受獨立控制的峰高和能量的雙峰值IEDF,這有益於高精度的電漿處理。作者已經注意到,產生單峰值IEDF(諸如圖5C中所示的單峰值IEDF 520)需要在電漿與基板之間具有幾乎恆定的電勢差(即,幾乎恆定的鞘層電壓),因為鞘層電壓決定在處理期間的基板表面處的離子能量。假設幾乎恆定的電漿電勢(所述幾乎恆定的電漿電勢在處理電漿的過程中通常不高於接地電勢幾十伏特),這需要在基板表面處相對於接地維持幾乎恆定的負電勢。作者已進一步注意到,這無法通過簡單地將DC電壓施加到功率電極來實現。這是因為在存在電子排斥電漿(陰極)鞘層的情況下,由於鞘層電場將電子排斥遠離基板,所以來自體電漿的離子電流不會被來自體電漿的電子電流平衡。因此,來自體電漿的不平衡淨電流(等於離子電流)不斷地給基板表面充電,這最終導致所有施加的DC電壓跨基板和ESC組件(即,吸盤電容器)的介電質層下降而不是如所期望的那樣跨電漿鞘層(即,鞘層電容器)下降。
因此,本領域需要新穎的偏置方法,其能夠維持幾乎恆定的鞘層電壓(等於基板電壓相對於接地的值,假設電漿電勢接近零)並因此在基板的表面處產生單能IEDF;因而能夠精確控制IEDF的形狀和在基板表面中形成的特徵的輪廓。
本文提供的本公開內容的實施方式可以包括一種處理基板的方法,所述方法使得能夠維持幾乎恆定的鞘層電壓長達基板處理時間的約90%。所執行的方法將導致單(窄)峰值離子能量分佈函數(IEDF),所述單(窄)峰值離子能量分佈函數(IEDF)可進一步用於產生具有任意形狀的IEDF。本文中,所述方法包括在設置在基板支撐件上的基板的表面之上產生電漿,以及在設置在基板支撐件內的偏置電極處建立脈衝電壓波形。脈衝電壓波形是使用通過第二電導體耦合到偏置電極的脈衝偏置發生器在偏置電極處建立。脈衝偏置發生器包括同時耦合到第二電導體的脈衝發生器和電流返回輸出級。脈衝發生器通過以預定速率重複地閉合和斷開其內部開關,在預定長度的規則重複的時間間隔期間在所述脈衝發生器的輸出(即,到接地)上維持預定的正電壓。脈衝發生器包括恆定電壓源、開關和緩衝器。當閉合時,開關將基本恆定的電壓源的正輸出電耦合到脈衝發生器的輸出,所述脈衝發生器的輸出通過第一電導體同時耦合到第二電導體。跨脈衝發生器的輸出的緩衝器(例如「反激」二極體)在開關的斷開後的電感部件(諸如第一和第二電導體)快速釋放磁能期間最小化(或「緩衝」)可能的電壓尖峰。本文中,電流返回輸出級的第一端通過第一電導體電耦合到納秒脈衝發生器的正輸出並同時電耦合到第二電導體,並且電流返回輸出級的第二端電耦合到接地。
在一些實施方式中,脈衝電壓波形包括多個脈衝電壓迴圈,其中每個脈衝電壓迴圈包括鞘層塌陷階段、吸盤電容器再充電階段、鞘層形成階段和離子電流階段。在塌陷階段期間,開關閉合,並且鞘層電容通過脈衝發生器所供應的電流放電。在吸盤電容器再充電階段期間,開關被維持在閉合位置,並且通過來自脈衝發生器的電流向偏置電極提供正電荷。在鞘層形成階段期間,開關斷開,並且電流通過電流返回輸出級從鞘層和雜散電容通過電流返回輸出級流到接地。在離子電流階段期間,開關被維持在斷開位置,並且同樣地通過電流返回輸出級從電漿流到接地的離子電流導致正電荷在基板表面上累積並逐漸使鞘層和吸盤電容器放電,因此緩慢降低了鞘層電壓降。
在一些實施方式中,鞘層塌陷階段、再充電階段和鞘層形成階段具有介於約200ns與約300ns之間的組合持續時間。在一些實施方式中,在開關保持閉合的時間期間,脈衝發生器的正輸出電壓在約0.1kV與約10kV之間。在一些實施方式中,開關保持在閉合位置達每個脈衝電壓迴圈的約10ns至約100ns之間。在一些實施方式中,每個脈衝電壓迴圈具有約2μs與約3μs之間的持續時間。在一些實施方式中,組合的鞘層塌陷階段和再充電階段佔脈衝電壓迴圈的小於約10%。在一些實施方式中,偏置電極通過介電質材料的層與基板支撐件的基板支撐表面間隔開,並且其中基板支撐件的介電質材料的層和設置在基板支撐件上的基板的組合串聯電容介於約5nF與約12nF之間。在一些實施方式中,夾持電源在連接點處耦合到外部電導體,並且其中具有介於約40nF與約80nF之間的電容的阻塞電容器與脈衝偏置發生器串聯設置在脈衝偏置發生器與連接點之間。在一些實施方式中,具有大於約1兆歐的電阻的阻塞電阻器設置在夾持電源與連接點之間。
在另一實施方式中,一種處理腔室包括腔室蓋、一個或多個側壁以及腔室基座,其共同限定處理容積。所述處理腔室進一步包括:基板支撐件,所述基板支撐件設置在處理容積中,其中基板支撐件包括偏置電極,所述偏置電極通過介電質材料層與所述基板支撐件的基板支撐表面分離開;以及脈衝偏置發生器,所述脈衝偏置發生器通過第二電導體耦合到偏置電極。脈衝偏置發生器包括脈衝發生器和電流返回級。脈衝發生器包括:電壓源;開關,所述開關在閉合時將電壓源的正輸出電耦合到脈衝發生器的輸出,其中脈衝發生器的輸出通過第一電導體耦合到第二電導體;以及在脈衝發生器的輸出上的緩衝器。所述電壓源可為恆定電壓源。本文中,電流返回輸出級的第一端同時電耦合到第二電導體並且通過第一電導體電耦合到脈衝發生器的正輸出,並且電流返回輸出級的第二端電耦合到接地。在一些實施方式中,所述處理腔室包括電感耦合電漿(ICP)或電容耦合電漿(CCP)電漿發生器。
本公開內容的實施方式可以進一步包括一種處理腔室,所述處理腔室包括:基板支撐件,所述基板支撐件包括偏置電極,所述偏置電極通過介電質材料層與基板支撐件的基板支撐表面分離開;以及偏置發生器,所述偏置發生器通過電導體耦合到偏置電極。偏置發生器包括脈衝發生器,所述脈衝發生器包括具有正端子和負端子的電壓源,其中負端子耦合到接地;開關,所述開關在閉合時將正端子電連接到電導體的一端;以及緩衝器,所述緩衝器連接在電導體的端與接地之間。偏置發生器也包括電流返回輸出級,其中電流返回輸出級的第一端電耦合到電導體,並且電流返回輸出級的第二端電耦合到接地。電導體可進一步包括串聯連接的第一電導體和第二電導體,其中第一電導體的一端連接到電壓源的正端子,並且第二電導體的一端連接到偏置電極。在一些配置中,第一電導體為在偏置發生器內發現的「內部」電導體,並且第二電導體是設置在偏置發生器與偏置電極之間的「外部」電導體。
本公開內容的實施方式可以進一步包括一種處理基板的方法,其包括在設置於基板支撐件上的基板的表面之上產生電漿,以及使用偏置發生器來偏置設置在基板支撐件內的偏置電極,所述偏置發生器通過電導體耦合到偏置電極。偏置發生器包括:脈衝發生器,所述脈衝發生器包括具有正端子和負端子的電壓源,其中負端子耦合到接地;以及開關,所述開關在閉合時將正端子電連接到電導體;以及電流返回輸出級,其中電流返回輸出級的第一端電耦合到電導體,並且電流返回輸出級的第二端電耦合到接地。將偏置電極偏置的方法包括通過重複閉合開關達第一時間週期並隨後多次斷開開關達第二時間週期來在偏置電極處產生脈衝電壓波形,其中閉合開關導致在第一時間週期期間由電壓源將相對於接地的正電壓施加到電導體,並且斷開開關導致電流在第二時間週期的至少一部分期間通過電流返回輸出級從偏置電極流到接地。所述方法還可以包括由所產生的電漿在第一時間週期的結束時基本上減弱形成於基板表面之上的鞘層電壓降,以及使電流在第二時間週期期間從偏置電極通過電流返回輸出級流到接地。所述方法還可以包括形成電漿電勢,並且所述第一時間週期包括:具有第一持續時間的鞘層塌陷階段,其中在第一持續時間的結束處,形成於基板表面之上的電勢基本上等於所產生的電漿的電漿電勢;以及具有第二持續時間的吸盤電容再充電階段,其中在第一持續時間和第二持續時間已經順序完成之後減弱由所產生的電漿在基板表面之上形成的鞘層電壓降。第二時間週期可以包括:具有第三持續時間的鞘層形成階段,其中通過電流返回輸出級從偏置電極流到接地的電流在第三持續時間期間發生;以及具有第四持續時間的離子電流階段,其中第四持續時間長於組合的第一、第二和第三持續時間。
本公開內容的實施方式進一步包括一種處理腔室,所述處理腔室包括:基板支撐件,所述基板支撐件包括偏置電極,所述偏置電極通過介電質材料層與基板支撐件的基板支撐表面分離開;以及偏置發生器,所述偏置發生器通過電導體耦合到偏置電極。偏置發生器包括脈衝發生器,所述脈衝發生器包括具有正端子和負端子的電壓源,其中負端子耦合到接地;以及開關,所述開關在閉合時將正端子電連接到電導體的一端。偏置發生器也包括電流返回輸出級,其中電流返回輸出級的第一端電耦合到電導體,並且電流返回輸出級的第二端電耦合到接地。處理腔室還包括電腦可讀介質,所述電腦可讀介質具有存儲於所述電腦可讀介質上的指令,所述指令用於在由處理器執行時執行處理基板的方法,所述方法包括:在設置於基板支撐件上的基板的表面之上產生電漿;以及使用偏置發生器將偏置電極偏置,其中將偏置電極偏置包括通過重複閉合開關達第一時間週期並隨後多次斷開開關達第二時間週期而在偏置電極處產生脈衝電壓波形,並且其中閉合開關導致相對於接地的正電壓在第一時間週期期間被施加到電導體的端部,並且斷開開關導致電流在第二時間週期的至少一部分期間通過電流返回輸出級從偏置電極流到接地。電導體可進一步包括串聯連接的第一電導體和第二電導體,其中第一電導體的一端連接到電壓源的正端子,並且第二電導體的一端連接到偏置電極。
本文所述實施方式適用於所有電漿輔助處理腔室或電漿增強處理腔室以及對基板的電漿輔助處理或電漿增強處理的方法。更具體來說,本公開內容的實施方式描述了一種電極偏置方案,其能夠維持幾乎恆定的鞘層電壓,並因此在基板的表面處產生單能IEDF;因而能夠精確控制IEDF的形狀和在基板表面中形成的特徵的輪廓。貫穿本公開內容使用以下定義:(1)除非指定基準,否則所有電勢均以接地為基準;(2)任何物理點(如基板或偏置電極)處的電壓同樣被定義為該點相對於接地(零電勢點)的電勢;(3)陰極鞘層暗指電子排斥的、離子加速的鞘層,其對應於相對於電漿的負基板電勢;(4)鞘層電壓(有時也稱作「鞘層電壓降」)Vsh 被定義為電漿與相鄰表面(例如,基板或腔室壁的相鄰表面)之間的電勢差的絕對值;以及(5)基板電勢是面向電漿的基板表面處的電勢。
我們提出脈衝電壓偏置方案(諸如,關於圖2和圖3所描述的偏置方案),其中脈衝偏置發生器(諸如,圖2中的脈衝偏置發生器240)用於建立在偏置電極(諸如,夾持極204)處的脈衝電壓波形(諸如,圖5A中所示的脈衝電壓波形500),所述偏置電極通過ESC元件內的介電質材料的薄層(此薄層形成ESC電容器,CESC )與所述基板分離開。此脈衝電壓偏置方案實現維持幾乎恆定的鞘層電壓長達基板處理時間的約90%,這導致單(窄)峰值IEDF(諸如,圖5C中的IEDF 520),其可以進一步用於產生具有任意形狀的IEDF。
我們注意到使用其他偏置方案來在偏置電極(諸如夾持極)處建立脈衝電壓波形(諸如,在偏置電極(諸如,夾持極)處的波形500(在圖5A中說明))的可能性,所述偏置電極通過ESC元件內的介電質層的薄層與基板分離開。因此,我們單獨提出(而不指定偏置方案)在所述偏置電極處建立諸如波形500之類的脈衝電壓波形使得能夠維持幾乎恆定的鞘層電壓長達基板電漿處理時間的約90%,這導致單(窄)峰值IEDF,其可用於產生具有任意形狀的IEDF。
在圖2所說明的腔室圖中展示上文提出的脈衝電壓偏置方案的一個實施方式;在圖3中說明此偏置方案的等效電路;並且在圖6中展示此等效電路的簡化形式。對圖6中所示的簡化電路以數值方式進行建模,以產生圖7A至圖7H所示的結果。
圖2展示根據一個實施方式的包括上文提出的脈衝電壓偏置方案的腔室圖(稍後將在文中給出對圖2的更詳細描述)。本文所述的偏置方案基本上由以下主要部件組成: (1) 納秒脈衝發生器214,納秒脈衝發生器214通過以預定速率重複地閉合和斷開納秒脈衝發生器214的內部開關來在預定長度的規則重複的時間間隔期間在納秒脈衝發生器214的輸出(即,接地)上維持預定的、基本上恆定的正電壓。圖2展示納秒脈衝發生器的簡化的、功能上等效的示意性表示。在圖2中,納秒脈衝發生器被簡化為部件的最小組合,所述部件對於理解納秒脈衝發生器在偏置電極(諸如,夾持極204)處建立所期望的脈衝電壓波形(諸如,波形500)態樣的作用是重要的。這些部件通常包括內部電壓源、高重複率開關和反激二極體。需要理解的是,實際的納秒脈衝發生器可以包括任何數目的內部部件,並且可以基於比圖2的電路更複雜的電路。又,圖2的示意圖僅提供了納秒脈衝發生器及其電路的部件的功能上等效的表示,因為需要解釋其操作的基本原理、其與處理容積中的電漿的相互作用、以及其在在偏置電極(諸如,夾持極204)處建立脈衝電壓波形(諸如,波形500)態樣的作用。從圖2中所示的示意圖可以推斷,當開關S1 從斷開(「關」)位置移動到閉合(「開」)位置時,它將納秒脈衝發生器的輸出連接到其內部電壓源,所述內部電壓源產生基本上恆定的輸出電壓。事實上,如可從圖3中所示的納秒脈衝發生器的一種實現的更詳細(但仍然是簡化)的等效電路看出的,所述開關實際上將內部電池連接到升壓輸出變壓器。這一細節對於理解納秒脈衝發生器的基本操作原理和其在偏置電極(諸如,夾持極204)處建立脈衝電壓波形(諸如,波形500)的功能並不重要,但它具有重要的實際意義,將在後面進行描述。反激二極體(也可用不同的緩衝器電路代替)的目的是為了抑制或「緩衝」由開關S1 斷開所引起的可能的電壓尖峰,然後快速釋放累積在電感元件中的磁能。這些電感元件包括:(A)外部電導體,諸如具有組合的電感Ltransm 的傳輸線206,以及(B)脈衝偏置發生器240的部件,包括連接納秒脈衝發生器214和電流返回輸出級215的內部電導體,其具有組合電感Linternal 。當開關S1 保持在閉合位置並且納秒脈衝發生器將電流提供給系統時,磁能在時間間隔期間累積在電感元件中。在開關S1 處於閉合(「開」)位置並且基本上恆定的正輸出電壓(等於Vm )得以維持的時間間隔期間,納秒脈衝發生器輸出電壓V0 的幅值Vm 可以高達幾千伏(例如,0.1KV至10kV)。將開關保持在閉合(「開」)位置並且基本上恆定的正輸出電壓得以維持的時間間隔稱作「脈衝寬度」τp ,並且它可以長達幾十納秒(例如,10ns至100 ns)。又,將開關從斷開(「關」)位置轉換到閉合(「開」)位置的時間間隔稱作「上升時間」,τrise ,並且它也可以是幾十納秒(例如,25ns至50ns)。當開關從斷開位置轉換到閉合位置時,納秒脈衝發生器的輸出電壓逐漸增加,直至達到Vm 為止。最後,將從斷開(「關」)到閉合(「開」)位置(反之亦然)的兩個連續轉換之間的時間長度稱作「週期」,T ,並且其等於脈衝重複頻率的倒數,例如,其可以高達400kHz。我們注意到以下幾點:(a)在本文提出的脈衝電壓偏置方案中,納秒脈衝發生器主要用作電荷佈植器(電流源),而不是用作恆壓源;因此,沒有必要對其輸出電壓的穩定性強加嚴格的要求,因為即使開關保持在閉合(「開」)位置,它也可以隨時間變化;(b)納秒脈衝發生器基本上是一個來源,而不是吸收源,因為它只在一個方向上使電流通過(所以它只能充電,而不能使(例如)電容器放電);(c)當開關保持在斷開(「關」)位置時,納秒脈衝發生器的輸出的電壓V0 不受內部電壓源控制,而是由其內部部件與其他電路元件的相互作用來決定;以及(d)名稱「納秒脈衝發生器」源於如下事實:當它工作在低雜散電容/電感(主要是電阻性負載)時,它在其輸出處產生電壓波形,所述電壓波形可以被描述為一系列接地基準正電壓脈衝。 (2) 電流返回輸出級215,其中一端215B接地,並且另一端215A通過內部電導體連接到納秒脈衝發生器的正輸出並同時連接到外部電導體。將納秒脈衝發生器與電流返回輸出級和內部電導體的組合在這裡稱作「脈衝偏置發生器」240,並且其既是來源也是吸收源,因為它在兩個方向上使電流通過。電流返回輸出級可包括以下元件:(a)電阻器,(b)串聯連接的電阻器和電感器,或(c)包括並聯電容器的電氣元件的更複雜組合,所述電氣元件的更複雜組合允許正電流流向地面。 (3) 將脈衝偏置發生器240的輸出連接到夾持極的外部電導體。脈衝偏置發生器240的輸出為點215A,這裡納秒脈衝發生器214的輸出通過內部電導體連接到電流返回輸出級215。外部電導體可以包括:(a)同軸傳輸線206,其可包括具有電感Lf1es 的柔性同軸纜線,所述柔性同軸纜線與具有電感Lrigid 的剛性同軸傳輸線串聯;(b)絕緣的高壓耐電暈連接線;(c)裸線;(d)金屬棒;(e)電連接器;或(f) (a)至(e)中的電氣元件的任何組合。注意,內部電導體可以包括與外部電導體相同的基本元件。夾持極通常是嵌入靜電吸盤中的金屬板,並通過介電質材料的薄層(例如,約0.3mm厚)與電漿分離開。夾持極可以是嵌入在圖2中所示的ESC元件的靜電吸盤部分(即,ESC基板支撐件205)內的偏置電極204。外部導體(諸如傳輸線206)和偏置電極204具有一些組合的到接地的雜散電容,Cs
圖3描繪本文提出的脈衝電壓偏置方案的功能上等效的簡化電路300,包括處理容積中的電漿。又,圖6描繪電路600,其為電路300的進一步簡化版本。這些電路僅用於建模脈衝偏置發生器(諸如,240)與處理腔室308的相互作用的主要態樣;解釋其基本操作原理,且其作用是在偏置電極(諸如,204)處建立脈衝電壓波形(諸如,500);描述在脈衝電壓波形(諸如,500)的不同階段期間發生的伴隨物理現象;並且大致解釋了本文提出的脈衝電壓偏置方案的基本操作原理。在實踐中,本文所述的脈衝電壓偏置方案與處理容積中的電漿的相互作用可能伴隨著複雜的物理現象(例如,由諸如外部和內部電導體的電感元件的存在所引起的高頻振盪),這裡大致省略了這些考慮。然而,需要理解的是,雖然關於脈衝電壓波形(諸如,500)的階段501至504的論述(稍後在文中進行)大致基於其中省略考慮一些更複雜物理現象的簡化電路模型600,但那些現象對於理解本文提出的脈衝電壓偏置方案的基本操作原理並不重要。另外,儘管圖5A至圖5B和圖7A至圖7H中的波形是通過使用OrCAD P-Spice Designer軟體(具有用於生成每個圖集的不同電路參數集)數值類比簡化電路600而產生的,但是通過建模揭示的主要的基礎物理現象(即,鞘層塌陷、ESC再充電、鞘層形成以及通過離子電流對基板表面充電)與實際系統有關。分別在圖3和圖6中示出的等效電路300和600中,根據以下解釋,在圖2的腔室圖中顯示的所有相關物理部件均由分立電路元件表示。
首先,靜電吸盤中的介電質層和放置在其表面上的經處理的基板(例如,電容大於10nF的0.8mm厚的矽摻雜板)將夾持極與電漿分開,並且在圖3和圖6中的電路中由具有電容Ce (例如,約7nF至10nF)的單個吸盤電容器303(實際上是兩個串聯的電容器)表示。換句話說,我們認為基板(通常由半導體和/或介電質材料的薄層製成)是ESC介電質層的一部分,並且每當我們提到吸盤電容Ce 時,我們暗指Ce 是ESC(即,CESC )和基板(即,Cwafer )的組合的串聯電容。因為基板電容Cwafer 通常很大(大於10nF),或者基板可以是導電的(無限電容),所以串聯電容主要由實際CESC 決定。
其次,夾持極204、脈衝偏壓發生器240和將它們連接在一起的外部電導體(諸如,傳輸線206)具有:(A) 一些組合的到接地的雜散電容,其在電路600中由具有電容Cs (例如,約500pF)的單個雜散電容器302表示;以及(B)一些電感,其在電路600中由用於內部電導體和脈衝偏置發生器240的其他部件的電感器Linternal (例如,約300nH)以及用於外部電導體(諸如,傳輸線206)的Ltransm (例如,約500nH)表示。電流返回輸出級215在電路600中由單個電阻器Rros (例如,約150歐姆)表示。
第三,我們使用標準的電電漿模型,其將處理容積中的整個電漿表示為3個串聯元件: I. 與基板相鄰的電子排斥陰極鞘層304(我們有時也將其稱作「電漿鞘層」或僅稱作「鞘層」)。陰極鞘層在圖3和圖6中是由常規的3部分電路元件表示的,所述常規的3部分電路元件包括:(a)二極體DSH ,其在斷開時表示鞘層塌陷,(b)電流源Ii (例如,約0.5A至5A),其表示在鞘層存在的情況下流向基板的離子電流,和(c)電容器CSH (例如,對於高縱橫比應用而言,約100pF至300pF),其表示在偏置迴圈的主要部分(約90%)(即,離子電流階段)的鞘層,在此期間發生離子加速和蝕刻。 II. 體電漿305,其在圖3和圖6中由單個電阻器Rpl 表示(例如,約5至10歐姆), III. 形成在腔室壁處的電子排斥壁鞘層306。所述壁鞘層同樣在圖3和圖6中由3部分電路元件表示,所述3部分電路元件包括:(a)二極體Dw ,(b)表示到壁的離子電流的電流源Iiw (例如,約5A至10A),和(c)電容器Cw (例如,約5nF至10nF),當沒有電子排斥陰極鞘層並且壁鞘層電容器由納秒脈衝發生器推動通過ESC的大電流充電時,所述電容器Cw 主要在ESC再充電階段502(在本文中稍後描述)期間表示壁鞘層。由於陰極鞘層遠比壁鞘層厚(歸因於高電壓),並且總壁面積遠大於基板面積,因此我們選擇了Cw CSH 。接地金屬壁的內表面被認為是塗覆有介電質材料的薄層,在圖3和圖6中由大電容器Ccoat (例如,約300nF至1000nF)表示。
圖4描繪根據一個實施方式的說明使用本文所述脈衝電壓偏置方案來處理基板的方法400的流程圖。在活動401處,方法400包括在設置於基板支撐件上的基板表面之上產生電漿。在活動402處,方法400包括使用脈衝偏置發生器在設置於基板支撐件內的偏置電極處建立脈衝電壓波形,所述脈衝偏置發生器使用外部電導體(諸如,傳輸線206)耦合到偏置電極。
圖5A說明在偏置電極處建立的脈衝電壓波形500。圖5A中所示的脈衝電壓波形500導致圖5B中所示的基板電壓波形510,並因此能夠使鞘層電壓保持幾乎恆定達基板處理時間的約90%。圖5A至圖5B中所繪的電壓波形500和510以及圖7A至圖7H中所示的波形是通過使用OrCAD P-Spice Designer軟體來數值類比簡化電路600而產生的。選擇了用於產生圖5A至圖5B的電路參數以清楚地說明波形500和510的不同階段(例如,波形週期被設定為1μs)。相反,選擇了用於生成圖7A至圖7H的參數以演示本文提出的脈衝電壓偏置方案的潛在實際實施(例如,波形週期被設定為2.5μs)。我們注意到,圖5A至圖5B和圖7A至圖7H中所說明的波形應該被解釋為實驗上可觀察波形的簡化的示意表示,諸如,圖8中所示的一種波形。實際波形可以顯著更複雜並含有在圖5A至圖5B和圖7A至圖7H中未示出的諸多精細尺度特徵(例如,由諸如外部和內部電導體之類的電感元件的存在所引起的高頻振盪)。然而,這些精細尺度特徵對於理解決定由本文提出的脈衝電壓偏置方案產生的實際脈衝電壓波形的一般形狀的基礎物理現象而言不是重要的。因此,雖然以下論述大致基於圖5A至圖5B和圖7A至圖7H中所示的電路600和類比波形,但是在脈衝電壓波形迴圈的階段501-504期間發生的主要基礎物理現象(即,鞘層塌陷、ESC再充電、鞘層形成和由離子電流對基板表面充電)與實際系統有關。
在圖5A中,脈衝電壓波形500包括在電壓偏移的頂部上以週期T(例如,2.5微秒)重複的週期性的一系列的短正脈衝。每個週期(重複迴圈)內的波形包括以下各者: (1) 正電壓跳變以對系統的雜散電容器充電並使陰極鞘層塌陷,即,鞘層塌陷階段501,在此期間鞘層電容器放電並且基板電勢達到局部電漿電勢的位準(如圖5B中所說明的)。鞘層塌陷階段501使得能夠通過在ESC再充電階段502期間從電漿提供的電子對吸盤電容器快速再充電。開關S1 (參見圖6)閉合並保持在閉合(「開」)位置達階段501的持續時間,從而允許納秒脈衝發生器(諸如,214)在其輸出上維持基本恆定的正電壓並將電流供應給系統。階段501的持續時間T1 遠短於離子電流階段504(以下進行描述)的持續時間T4 或整個週期T,並且通常大約為幾十納秒(例如,20ns至50ns)。這是因為階段501期間的電漿電流是由電子攜載——即,電子雲向基板移動並逐漸掃過離子空間電荷,因而減弱了鞘層電壓降——並且因為所述兩種物質之間的質量比非常大因此電子速度遠大於離子速度。 (2) 在ESC再充電階段502期間,通過在離子電流階段504(以下進行描述)期間快速佈植與基板表面上累積的總電荷的值相等且極性相反的電荷,對吸盤電容器Ce 再充電。在階段501期間,納秒脈衝發生器214在其輸出上維持基本恆定的正電壓(開關S1 保持在「開」位置)。類似於階段501,階段502的持續時間T2 遠短於離子電流階段504(以下進行描述)的持續時間T4 或整個週期T,並且通常為大約幾十納秒(例如,30ns至80ns)。這是因為階段502期間的電漿電流也是由電子攜載——即,在沒有陰極鞘層的情況下,電子到達基板並積累表面電荷,從而對電容器Ce 充電。 (3) 負電壓跳變(VOUT )以在鞘層形成階段503期間使處理腔室的雜散電容器放電,重新形成鞘層並設定鞘層電壓(VSH )的值。圖6中的開關S1 在鞘層形成階段503的開始處斷開,並且電感元件快速(例如,在約10納秒內)將它們存儲的磁能釋放到吸盤電容器Ce 和雜散電容器Cs 中。電感元件可以包括由電感Linternal 表示的脈衝偏置發生器240(例如,內部導體)的內部部件,和由電路600中編號為309的電感Ltransm 表示的外部導體(例如,傳輸線206)。在磁能釋放期間,對應的電流流過反激二極體或者具有抑制(或「緩衝」)可能的電壓尖峰的類似功能的不同緩衝器電路。從如圖7B中所示的納秒脈衝發生器輸出電壓V0 的時間曲線圖可以看出,在磁能釋放期間,納秒脈衝發生器(諸如,214)的內部電壓源不維持正輸出電壓(開關S1 保持在「關」位置),因此它短暫地塌縮到零下幾伏以允許反激二極體使電流通過。此處我們注意到,若沒有反激二極體(或具有「緩衝」可能的電壓尖峰的類似功能的不同部件),則需要通過電阻式電流返回輸出級來釋放磁能,從而導致R上的達幾納秒的不切實際的大的負電壓(例如,-20kV,其可能損壞脈衝偏置發生器240的內部部件),而不是塌陷到接近零的值。在釋放磁能並且通過Ltransm 的電流降至零(以及通過內部Linternal )後,其反轉方向並通過電流返回輸出級從電漿和雜散電容器流到接地(反向偏置的反激二極體阻止電流流過自身),因而使雜散電容器Cs 放電,並對鞘層電容器Csh 充電(即,重新形成鞘層)。在圖5B中可以清楚地識別鞘層形成的開始(Csh 的充電)作為基板電勢開始降低到低於局部電漿電勢的點。類似於階段501,階段503的持續時間T3 遠短於離子電流階段504(以下進行描述)的持續時間T4 或整個週期T,並且通常大約為100ns至300ns。這是因為階段503期間的電漿電流同樣由電子攜載——即,電子雲移動遠離基板並逐漸暴露離子空間電荷,因而形成鞘層並產生鞘層電壓降。我們注意到(1)T3 主要由雜散電容以及包括電流返回輸出級的元件(例如,電阻器)的值決定;以及(2)負電壓跳變VOUT 和建立的鞘層電壓VSH 是由Vm (在階段501至502期間納秒脈衝發生器輸出電壓的幅值)決定,並且總脈衝寬度τtot =τrise +τp =T1 +T2 。為瞭解釋τtot (實際所控制的參數)對VOUTVSH 的影響,我們注意到T2 和階段502期間偏置電極電壓ΔVs,2 的增加這兩者都主要由Vm 和離子電流Ii 來決定。因此,對於給定的Vm Ii ,總脈衝寬度τtot 控制T1 ,這進而決定了在階段501期間的基板電壓的增加ΔVsub,1 以及偏置電極電壓ΔVs,1 ΔVsub,1 ,並且因此VOUT =ΔVs,1 +ΔVs,2 VSH ΔVsub,1 。 (4) 具有持續時間T4 的長的(約為迴圈持續時間T的85%至90%)離子電流階段504,在其期間納秒脈衝發生器214同樣不在其輸出上維持正電壓(開關S1 保持在「關」狀態),並且離子電流通過電流返回輸出級從電漿流到接地。離子電流引起正電荷在基板表面上的積累,並逐漸使鞘層和吸盤電容器放電,緩慢降低鞘層電壓降並使基板電勢更為接近零。這導致圖5B中所示的基板電壓波形510中的電壓降ΔVsh 。所產生的鞘層電壓降是脈衝電壓波形500需要移動到在上述(1)至(3)中所描述的下一個迴圈的原因,在所述下一個迴圈期間納秒脈衝發生器214去除在離子電流階段期間累積的電荷(或恢復初始ESC電荷)並且重新建立所期望的鞘層電壓VSH 。注意,每當存在電子排斥陰極鞘層和來自體電漿的不平衡淨電流(等於離子電流),表面電荷和鞘層電壓降就會累積。如先前所解釋,這是因為來自體電漿的離子電流未被來自體電漿的電子電流平衡,原因是鞘層電場將電子排斥離開基板。因此,表面電荷累積和電壓降的產生也發生在鞘層形成階段503期間,在所述鞘層形成階段503期間從一開始就存在非零鞘層電壓降。
從以上(1)至(4)可以看出,構成脈衝電壓波形(諸如,脈衝電壓波形500)的單個電壓脈衝的「電子電流」階段501至503的組合持續時間為約200ns至400ns,其對應於約10%至15%的相對較短的工作週期。脈衝電壓波形500的短工作週期特性是對於所有電漿而言典型的大的離子-電子質量比的結果。因此,在本文提出的脈衝電壓偏置方案中,脈衝偏置發生器僅在每個週期的短部分期間與電漿主動地相互作用,從而允許陰極鞘層在其餘時間內自然演化。通過有效地使用基本電漿性質,此偏置方案使得能夠維持幾乎恆定的鞘層電壓長達處理時間的約90%,這導致了單峰值IEDF(諸如,圖5C中的IEDF 520)。相反,在常規的偏置方案中,所施加的RF電壓(具有諸如圖1A中所示的一個波形)在整個RF週期內調製陰極鞘層,因此總是不當地改變鞘層電壓降並導致雙峰值IEDF(諸如,圖1B中所示的IEDF)。
本文提出的脈衝電壓偏置方案使得能夠維持特定的基板電壓波形,諸如,圖5B中所示的基板電壓波形510,所述波形可以被描述為在負電壓偏移512的頂部上的週期性的一系列的短正脈衝511。在每個脈衝(具有為T5 = T1 + T2 + T3 的總持續時間)期間,基板電勢達到局部電漿電勢,並且鞘層短暫地塌陷。然而,對於每一個迴圈(具有迴圈持續時間T)的約90%而言,鞘層電壓降保持幾乎恆定並且近似等於最負的基板電勢VSH 的絕對值(圖5B),這因此決定了基板表面處的平均離子能量。在偏置迴圈的鞘層塌陷階段501期間,來自納秒脈衝發生器(例如,214)的電流在並聯連接的處理電漿與雜散電容器Cs 之間分開,大致根據比率CSH /Cs 並且不是非常顯著。因此並且因為Cw 通常是非常大的,所以在階段501期間在壁鞘層上累積的電壓降相對較小。結果,近壁電漿電勢Vw (其等於壁鞘層電壓降與壁介電質塗層上的預期為小(由於非常大的Ccoat )的電壓降的總和(圖6))保持接近零(圖7F)。因而,局部(近基板)電漿電勢Vpl (等於近壁電漿電勢與體電漿上的電壓降之和(圖6))主要是由後者決定,並且其增大略高於零(圖5B和圖7F)。又,在ESC再充電階段502期間,沒有電子排斥陰極鞘層,並且壁鞘層電容器被由納秒脈衝發生器(例如,214)推動通過ESC的大電流充電到大量的電壓(例如,幾百伏)。由於近壁電漿電勢的增加、以及在體電漿上存在相對大的電壓降(由相同的大電流引起),局部(近基板)電漿電勢Vpl 以及基板電勢Vsub 經歷了高達所建立的鞘層電壓VSH 的約1/3的大量增加。最後,在鞘層形成階段503期間,通過處理電漿的電流再次(如在階段501中)由比率CSH /Cs 決定,並且相對較小(也快速衰減),在體電漿上的所得電壓降也是如此。 因此,局部(近基板)電漿電勢保持近似等於近壁電漿電勢,並且它們都在較接近階段503的結束處放鬆到接近零的值,因為壁鞘層主要通過到腔室壁的離子電流來放電。由於在階段501至503期間局部電漿電勢擾動,所建立的鞘層電壓VSH 僅構成階段503的結束處的基板電壓波形510中的總負跳變V'SH 的約75%。負跳變V'SH 定義了給定Vm τtot 下的最大鞘層電壓(僅在接近無限Cw 和接近零Rpl 時可得到),並且它接近偏置電極電壓波形500中的負跳變V'SH ~VOUT 。後者是因為在階段503期間,吸盤電容器僅將其初始電荷的一小部分(∝CSH /Ce >> 1)轉移到鞘層,從而在電極與基板之間維持幾乎恆定的電勢差。在實踐中可以使用VSH /VOUT 約0.75-0.8的關係從測量到的VOUT 估計VSH A . 實踐考慮
有效的簡化電路600和此電路的數值類比結果分別展示於圖6和圖7A至圖7H中。我們注意到,為了模擬具有有限閉合時間的非理想開關,在實際PSPICE模型中,我們用一個具有最大電壓Vm 和有限上升時間的梯形電壓脈衝(與開關控制電壓脈衝P1 同步)來替代恆定電壓源Vm 。在表1中給出建模中所使用的所有電路參數: 表1
圖7A說明建模出的納秒脈衝發生器輸出電壓隨時間的變化V0 (t) (和3個波形迴圈)。圖7B為圖7A的一部分的詳細視圖。圖7C說明偏置電極處的建模出的電壓Vs (t) ,即,跨Cs 的電壓,如圖6的電路600中所示。圖7D是圖7C的一部分的詳細視圖。圖7E說明建模出的基板電勢Vsub 、局部(近基板)電漿電勢Vpl 和近壁電漿電勢Vw ,如圖6中所示。圖7F是圖7D的一部分的詳細視圖。圖7G說明通過外部導體(諸如,傳輸線206)的建模出的電流,所述外部導體將脈衝偏置發生器耦合到偏置電極,IL (t) ,即,通過圖6的電路600中的電感Ltransm 的電流。圖7H是圖7G的一部分的詳細視圖。
圖7E和圖7F中的數值結果清楚地表明,使用脈衝偏置發生器240(包括納秒脈衝發生器214和電流返回輸出級215)在大部分波形週期內產生幾乎恆定的鞘層(和基板)電壓,因此產生一個窄的單峰值IEDF(諸如圖5C中所示的單峰值IEDF 520)。用於獲得圖7A至圖7H中的結果的脈衝重複頻率是400kHz,並且對應的波形週期是2.5微秒。圖7E和圖7F的基板電勢波形包括小電壓降(在圖5B中展示為ΔVsh ),所述小電壓降在離子電流階段504的過程中累積並且可以如下進行估計。因為在離子電流階段504期間:(a)偏置電極(即,夾持極)處的電壓保持恆定在由電流返回輸出級中的電阻器Rros 所決定的位準,Vesc =Ii *Rros ,以及(b)電漿電勢也保持恆定(接近零)——可以容易地獲得在離子電流階段504的持續時間T4 (接近波形週期T)內的鞘層電壓降ΔVsh 由下式給出:(1),其中Ii 為流過鞘層的離子電流。此公式反映了離子電流在鞘層電容器CSH 與吸盤電容器Ce 之間分裂的事實,並且需要使其兩者放電以便改變鞘層電壓。上述公式可用於選擇適當的參數以有效地操作本文提出的脈衝電壓偏置方案,且允許決定其適用性限制。
例如,從維持幾乎恆定的鞘層電壓VSH 的目標出發,我們立刻得到了相對較小的電壓降的要求,即,。對於給定的離子電流(通常為0.5A至5A)、Ce 和T而言,它給出了鞘層電壓的範圍,對此本文提出的脈衝電壓偏置方案是最有用的。此要求示出,此偏置方案在產生窄的單峰值IEDF(即,圖5C中的IEDF 520)中的有效性隨著所期望的鞘層電壓和離子能量而增加,這使得它特別適用於(例如)如「硬掩模開口」和「介電質模蝕刻」這樣的有挑戰性的高縱橫比應用。更準確地說,使用本文所述的偏置方案產生的「單能」IEDF中的單個能量峰值的相對寬度是由比率ΔVsh /VSH 決定,或者實際上是由CeIi 和T決定。
上述要求還暗指本文提出的脈衝電壓偏置方案在脈衝電壓波形(例如,圖5A中的電壓波形500)的較高脈衝重複頻率(PRF)(或較短週期T)下工作得更好。的確,從方程式(1)可以看出,電壓降ΔVsh 的值隨著週期T而增加。又,電壓降的增加導致使用本文提出的脈衝電壓偏置方案所產生的單峰值IEDF的相對寬度的增加,ΔVsh /VSH ,因而削弱了精確控制使用此單峰值IEDF所產生的任意IEDF的形狀的能力。然而,我們注意到,PRF的選擇必須與兩個額外考慮因素相平衡。即:(a)產生高壓納秒脈衝的挑戰隨著切換頻率而大大增加,以及(b)期間離子被加速朝向基板表面並且發生離子轟擊基板表面(例如,在蝕刻製程期間發生蝕刻)的離子電流階段504的持續時間T4 需要比鞘層塌陷階段501、ESC再充電階段502和鞘層形成階段503的組合持續時間T1 + T2 + T3 長得多。此組合持續時間是僅由電路元件Cs Rros Lros Linternal Ltransm (圖6)決定而與脈衝重複頻率無關,並且通常為約200ns至400ns。實踐中,對於高達幾安培的離子電流和幾納法(例如,7nF至10nF)的離子電流而言,400kHz是脈衝重複頻率的合理選擇;條件是所期望的鞘層電壓VSH 遠大於ΔVsh (例如,針對上述參數VSH 約3kV至8kV)。
從上述要求中還可以清楚地看出,具有大Ce 是有益的,這就是為什麼當脈衝電壓被施加到夾持極而不是支撐基座207(圖2)時本文提出的脈衝電壓偏置方案最有效的原因,在常規的電漿反應器中通常將RF功率施加到支撐基座207。實踐中,為了有效地實施所提出的偏置方案,Ce 需要大約為幾納法。對於高縱橫比應用來說典型的約100pF至300pF的CSH ,這也自動暗指Ce >> CSH ,這對於在給定的VOUT 下最大化V'SH 是重要的。
我們注意到,在本文提出的脈衝電壓偏置方案中,電壓切換僅發生在納秒脈衝發生器內,並且僅在驅動輸出升壓變壓器的初級側的相對較小的電壓(例如,100V至800V)下發生。與先前提出的方案相比,此方案提供了顯著的實際益處,先前方案中通常存在需要在全鞘層電壓下(即,例如數千伏特下)切換的第二開關(定位在電阻輸出級處)。在這些先前提出的偏置方案中存在第二開關大大降低了系統的魯棒性,並且在實踐中將它們的可擴展性限制到高縱橫比應用所需要的足夠高的鞘層電壓(例如,VSH 為約4000V至8000V)。作者無法識別能夠在RF頻率(例如,400kHz)下切換且同時具有例如8000V的高電壓的商用開關。這裡需要提到的是,圖3中的阻塞二極體的目的是防止返回電流在階段503和504期間流過升壓變壓器的次級繞組而不是電流返回輸出級。
作者進一步注意到,電流返回輸出級215可包含電抗元件的組合,如電感器和電容器(例如,串聯電感器),而不限制其產生幾乎恆定的鞘層電壓的有效性。我們還注意到,電流返回輸出級中的電阻器(例如,圖6中的電阻器Rros )的值需要基於功率平衡考慮與最小化RC放電時間tstab Rros Cs +CSH )的要求相結合來決定,所述RC放電時間決定鞘層形成階段503的持續時間T3 。本文提出的脈衝電壓偏置方案的其他益處包括納秒脈衝發生器的商業可用性。
本文提出的脈衝電壓偏置方案也可以容易地與標準用於夾持的高壓模組(HVM)集成,即,將基板「電夾緊」到ESC基板支撐件的基板接收表面,如圖2和圖3中所示。夾持基板允許用氦氣(He)填充基板接收表面與基板的非設備(non-device)側表面之間的間隙,這麼做是為了在這兩者之間提供良好的熱接觸並允許通過調節ESC基板支撐件的溫度進行基板溫度控制。將HVM所產生的DC夾持電壓與脈衝偏置發生器(諸如,240)在偏置電極(諸如,夾持極204)處產生的脈衝電壓相結合將導致脈衝電壓波形(諸如,500)的額外電壓偏移等於DC夾持電壓。通過選擇適當大的Chvm 和Rhvm ,可以使HVM對脈衝偏置發生器的操作的影響可以忽略不計。電路300中的阻塞電容器Chvm 的主要功能是保護脈衝偏置發生器免受HVM DC電壓的影響,HVM DC電壓因此在Chvm 上下降並且不擾亂脈衝偏置發生器輸出。需要選擇Chvm 的值以使得僅阻塞HVM DC電壓時,它不會對脈衝偏置發生器的高頻輸出電壓產生任何負載。通過選擇足夠大的Chvm (例如,40nF至80nF),我們可以使其對於400kHz信號幾乎透明,因為它比系統中的任何其他相關的電容都大得多,並且相比於其他相關的電容器(諸如,Ce 、CSH )上的電壓降而言此元件上的電壓降非常小。又,阻塞電阻器Rhvm 的目的是阻止高頻脈衝偏置發生器的電壓並且最小化其在HVM DC電壓源中感應出的電流。此阻塞電阻器Rhvm 需要足夠大以有效地最小化通過它的電流。例如,Rhvm >1兆歐足以使從脈衝偏置發生器到HVM中的400 kHz電流可忽略不計:Ihvm 約為VOUT /Rhvm 在波形週期內被平均時,其峰值大約為5mA,並且約低10倍。大約為0.5mA至1mA的所得平均感應電流確實遠小於HVM電源的典型極限制,所述典型極限為約5mA的DC電流。上述估計是針對Vout 約為5kV作出,其中Vout (見圖5A)是:在鞘層塌陷階段501和ESC充電階段502期間,當開關S1 保持閉合(「開」)位置且納秒脈衝產生器214保持其輸出上的基本恆定正電壓時,夾持極204上的正電壓跳變。也要注意,當選擇Rhvm 時,考慮到典型的HVM洩漏電流Ileak 為大約數十微安,需要記住Rhvm 不能過大從而確保Ileak *Rhvm >> Vhvm ,這不應該太難以滿足。
圖8展示通過本文提出的脈衝電壓偏置方案的實際實施所產生的測量到的基板電壓波形的示波器軌跡。使用通過電氣(真空)饋通耦合到直接接觸感測器的Lecroy PPE4kV(100:150MΩ/6pF,4kVpp,400MHz)高壓示波器探針,在10 mT下在主要為O2 的電漿中(其中到晶圓的離子電流為約1.35A)進行測量。感測器包括塗有氧化鋁珠的KaptonTM 塗層線,其使用具有導電黏合劑的鋁帶的足夠大貼片(用於良好的電容耦合)連接到低電阻率矽晶圓;連接部位進一步被KaptonTM 膠帶和氧化鋁漿料覆蓋。使用來自函數發生器的測試信號對這個診斷進行了台架測試,並且還使用鋁晶圓獨立地驗證了基板電勢測量。從圖8可見,實驗觀察到的基板電壓波形與圖7E所示的模型產生的波形很好地一致。對於使用類似地通過電氣(真空)饋通耦合到Lecroy PPE4kV探針的浮動Langmuir探針在腔室蓋附近測量到的電漿電勢的示波器跡線(未示出),也觀察到模型與實驗之間的良好一致。即,測量到的波形示出,在離子電流階段504開始時電漿電勢放鬆至幾乎為零。這些測量表明,本文提出的脈衝電壓偏置方案確實可用於產生幾乎恆定的鞘層(基板)電壓長達基板處理時間的90%,這又導致可以用於產生具有任意形狀的IEDF的窄的單峰值IEDF(即,圖5C中的IEDF 520)。B. 2 的詳細描述:腔室圖
圖2是根據一個實施方式的被配置為實踐本文提出的偏置方案的處理腔室的示意性橫截面圖。在此實施方式中,處理腔室是電漿處理腔室,諸如,反應離子蝕刻(RIE)電漿腔室。在一些其他實施方式中,處理腔室是電漿增強的沉積腔室,例如,電漿增強化學氣相沉積(PECVD)腔室、電漿增強物理氣相沉積(PEPVD)腔室或者電漿增強原子層沉積(PEALD)腔室。在一些其他實施方式中,處理腔室是電漿處理腔室,或基於電漿的離子佈植腔室,例如電漿摻雜(PLAD)腔室。 本文中,處理腔室包括電感耦合電漿(ICP)源,其電耦合到射頻(RF)電源。在其他實施方式中,電漿源是電容耦合電漿(CCP)源,諸如,設置在處理容積中面向基板支撐件的源電極,其中源電極電耦合到RF電源。
處理腔室200具有腔室主體213,所述腔室主體213包括腔室蓋223、一個或多個側壁222和腔室基座224,上述部件限定處理容積226。被設置成穿過腔室蓋223的氣體入口228用於從與其流體連通的處理氣源219將一種或多種處理氣體提供到處理容積226。本文中,被配置為從處理氣體點燃並維持處理電漿201的電漿發生器包括一個或多個感應線圈217,所述一個或多個感應線圈217被設置成在處理容積226外部靠近腔室蓋223。一個或多個感應線圈217經由RF匹配電路230電耦合到RF電源218。電漿發生器用於使用處理氣體以及由感應線圈217和RF電源218產生的電磁場來點燃並維持電漿201。處理容積226通過真空出口220流體耦合到一個或多個專用真空泵,所述真空出口220將處理容積226維持在低於大氣壓的條件下並從中排出處理和/或其他氣體。設置在處理容積226中的基板支撐組件236是設置在支撐軸238上,所述支撐軸238密封地延伸穿過腔室基座224。
基板203通過一個或多個側壁222中的一個中的開口(未示出)被裝載到處理容積226中以及從處理容積226移除,所述開口在基板203的電漿處理期間用門或閥(未示出)密封。本文中,使用升降銷系統(未示出)將基板203傳送到ESC基板支撐件205的接收表面並從ESC基板支撐件205的接收表面傳送基板203。
基板支撐組件236包括支撐基座207和ESC基板支撐件205,所述ESC基板支撐件205與支撐基座207熱耦合並設置在支撐基座207上。通常,在基板處理期間,支撐基座207用於調節ESC基板支撐件205的溫度、以及設置在ESC基板支撐件205上的基板203溫度。在一些實施方式中,支撐基座207包括設置在支撐基座207中的一個或多個冷卻通道(未示出),所述冷卻通道流體地耦合到諸如具有相對高電阻的製冷劑源或水源之類的冷卻劑源(未示出)並且與冷卻劑源(未示出)流體連通。在一些實施方式中,ESC基板支撐件205包括加熱器(未示出),諸如嵌入其介電質材料中的電阻加熱元件。本文中,支撐基座207由耐腐蝕的導熱材料形成,諸如耐腐蝕的金屬,例如鋁、鋁合金或不銹鋼,並且支撐基座207以黏合劑或通過機械構件耦合到基板支撐件。通常,ESC基板支撐件205由介電質材料形成,諸如塊狀燒結陶瓷材料,例如耐腐蝕金屬氧化物或金屬氮化物材料,例如氧化鋁(Al2 O3 )、氮化鋁(AlN)、氧化鈦(TiO)、氮化鈦(TiN)、氧化釔(Y2 O3 )、其混合、混成物、或其組合。在本文實施方式中,ESC基板支撐件205進一步包括嵌入ESC基板支撐件205的介電質材料中的偏置電極204。在一種配置中,偏置電極204是夾持極,所述夾持極用於將基板203緊固(夾持)到ESC基板支撐件205的支撐表面並使用本文所述的脈衝電壓偏置方案相對於處理電漿201來偏置基板203。通常,偏置電極204由一個或多個導電部件形成,諸如一個或多個金屬網、箔、板或其組合。本文中,偏置電極204電耦合到高壓模組216,所述高壓模組216使用諸如同軸傳輸線206(例如,同軸纜線)之類的電導體向所述高壓模組216提供夾持電壓,諸如介於約-5000V與約5000V之間的靜態DC電壓。
支撐基座207通過絕緣器板211與腔室基座224電隔離,並且接地板212插在絕緣器板211與腔室基座224之間。在一些實施方式中,處理腔室200進一步包括石英管210或者套環,其圍繞基板支撐組件236以防止ESC基板支撐件205和/或支撐基座207與腐蝕性處理氣體或電漿、清潔氣體或電漿或者其副產物接觸。通常,石英管210、絕緣板211和接地板由襯裡208限定。本文中,與ESC基板支撐件205的基板接收表面大致共面電漿罩209防止了電漿在襯裡208與一個或多個側壁222之間的容積中形成。
本文中,偏置電極204通過ESC基板支撐件205的介電質材料層與ESC基板支撐件205的基板接收表面間隔開,並因此與基板203間隔開。通常,介電質材料層具有介於約0.1mm與約1mm之間的厚度,諸如介於約0.1mm與約0.5mm之間,例如為約0.3mm。本文中,偏置電極204使用外部導體(諸如,傳輸線206)電耦合到脈衝偏置發生器240。在本公開內容的文本中較早地詳細描述了脈衝偏置發生器240及其部件。如以上所提及,可選擇介電質材料和層厚度以使得介電質材料層的電容Ce 例如介於約5nF與約12nF之間,諸如介於約7nF與約10nF之間。
通常,處理腔室200的處理容積226中的低中性填充壓力導致設置在其中的表面之間(諸如,在ESC基板支撐件205的介電質材料與設置在其基板接收表面上的基板203之間)的不良熱傳導,這降低了ESC基板支撐件205在加熱或冷卻基板203方面的有效性。因此,在一些製程中,將導熱惰性傳熱氣體(通常為氦)引入設置在基板203的非元件側與ESC基板支撐件205的基板接收表面之間的真空(未示出),以改進其間的熱傳遞。由傳熱氣源(未示出)提供的傳熱氣體通過被設置成穿過支撐基座207並進一步被設置成穿過ESC基板支撐件205的氣體連通路徑(未示出)流到後側容積。
處理腔室200進一步包括系統控制器232。本文中的系統控制器232包括中央處理單元(CPU)233、記憶體234和支援電路235。系統控制器232用於控制用於處理包括本文所述的基板偏置方法的基板203的處理序列。CPU 233為通用電腦處理器,其被配置為用在用於控制處理腔室和與之有關的子處理器的工業環境中。本文所述的記憶體234可以包括隨機存取記憶體、唯讀記憶體、軟碟或硬碟、或者本端或遠端的其他合適形式的數位記憶體。支援電路235常規上耦合到CPU 233,並且包括快取記憶體、時鐘電路、輸入/輸出系統、電源等、以及其組合。軟體指令和資料可以被編碼並存儲在記憶體234中,用於給CPU 233內的處理器下指令。系統控制器232可讀的程式(或電腦指令)決定哪些任務可由處理腔室200中的部件執行。優選可由系統控制器232讀取的程式包括代碼,所述代碼在由處理器執行時執行與監視和執行本文所述的電極偏置方案有關的任務。所述程式將包括用於控制處理腔室200內的各種硬體和電部件的指令,以執行用於實現本文所述的電極偏置方案的各種處理任務和各種處理序列。
雖然前文針對本公開內容的實施方式,但是可以在不脫離本公開內容的基本範圍的情況下設計本公開內容的其他和另外的實施方式,並且本公開內容的範圍由所附申請專利範圍決定。
200‧‧‧處理腔室 201‧‧‧電漿 203‧‧‧基板 204‧‧‧偏置電極 205‧‧‧ESC基板支撐件 206‧‧‧同軸傳輸線 207‧‧‧支撐基座 208‧‧‧襯裡 209‧‧‧電漿罩 210‧‧‧石英管 211‧‧‧絕緣器板 212‧‧‧接地板 213‧‧‧腔室主體 214‧‧‧納秒脈衝發生器 215‧‧‧電流返回輸出級 215A‧‧‧另一端 215B‧‧‧一端 216‧‧‧高壓模組 217‧‧‧感應線圈 218‧‧‧RF電源 219‧‧‧處理氣源 220‧‧‧真空出口 222‧‧‧側壁 223‧‧‧腔室蓋 224‧‧‧腔室基座 226‧‧‧處理容積 228‧‧‧氣體入口 230‧‧‧RF匹配電路 232‧‧‧系統控制器 233‧‧‧中央處理單元 234‧‧‧記憶體 235‧‧‧支援電路 236‧‧‧基板支撐組件 238‧‧‧支撐軸 240‧‧‧脈衝偏置發生器 300‧‧‧簡化電路 302‧‧‧單個雜散電容器 303‧‧‧單個吸盤電容器 304‧‧‧陰極鞘層 305‧‧‧體電漿 400‧‧‧方法 401‧‧‧活動 402‧‧‧活動 500‧‧‧脈衝電壓波形 501-504‧‧‧階段 510‧‧‧脈衝電壓波形 511‧‧‧短正脈衝 512‧‧‧負電壓偏移 520‧‧‧單峰值IEDF 600‧‧‧電路
因此,可以詳細地理解本公開內容的上述特徵的方式,可以通過參考實施方式獲得以上簡要概述的本公開內容的更具體描述,其中一些實施方式在附圖中進行說明。然而,應注意,附圖僅僅說明本公開內容的典型實施方式,並且因此不應被視為對其範圍的限制,因為本公開內容可以允許其他同等有效的實施方式。
圖1A說明根據先前技術的提供給電漿處理腔室的偏置電極的RF電壓波形。
圖1B說明根據先前技術的在常規處理腔室中執行的電漿製程期間在基板表面處的離子能量分佈函數(IEDF)。
圖2為根據一個實施方式的被配置為實踐本文所述方法的示例處理腔室的示意性橫截面圖。
圖3為根據一個實施方式的本文所述的脈衝電壓偏置方案的功能上等效的近似電路圖。
圖4為根據一個實施方式的使用本文所述的脈衝電壓偏置方案來處理基板的方法的流程圖。
圖5A至圖5C說明圖4中所闡述的方法。
圖6為關於圖2至圖3所描述的偏置方案的簡化電路圖。
圖7A至圖7H說明本文所述的偏置方案的數值模擬的結果。
圖8展示通過本文提出的脈衝電壓偏置方案的實際實施所產生的測得的基板電壓波形的示波器軌跡。
為了便於理解,在可能的情況下,使用相同的參考數字來表示各圖中所共有的相同元件。預期一個實施方式的元件和特徵可以有益地併入其他實施方式中而無需另外敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200:處理腔室
201:電漿
203:基板
204:偏置電極
205:ESC基板支撐件
206:同軸傳輸線
207:支撐基座
208‧‧‧襯裡
209‧‧‧電漿罩
210‧‧‧石英管
211‧‧‧絕緣器板
212‧‧‧接地板
213‧‧‧腔室主體
214‧‧‧納秒脈衝發生器
215‧‧‧電流返回輸出級
215A‧‧‧另一端
215B‧‧‧一端
216‧‧‧高壓模組
217‧‧‧感應線圈
218‧‧‧RF電源
219‧‧‧處理氣源
220‧‧‧真空出口
222‧‧‧側壁
223‧‧‧腔室蓋
224‧‧‧腔室基座
226‧‧‧處理容積
228‧‧‧氣體入口
230‧‧‧RF匹配電路
232‧‧‧系統控制器
233‧‧‧中央處理單元
234‧‧‧記憶體
235‧‧‧支援電路
236‧‧‧基板支撐組件
238‧‧‧支撐軸
240‧‧‧脈衝偏置發生器

Claims (75)

  1. 一種處理一基板的方法,包括以下步驟:在一基板的一表面之上產生一電漿,該基板設置於一基板支撐組件上;和使用一偏置發生器來偏置設置在該基板支撐組件內的一偏置電極,該偏置發生器使用其所包含之一發生器耦合組件電耦合到一電導體的一發生器端,該電導體的一電極端使用其所包含之一電極耦合組件電耦合到該偏置電極,該偏置發生器用於在該偏置電極處建立一脈衝電壓波形,並且該脈衝電壓波形包括一系列重複迴圈,其中該一系列重複迴圈中的每個迴圈內的一波形具有在一第一時間間隔期間發生的一第一部分和在一第二時間間隔期間發生的一第二部分,其中一正電壓脈衝僅在該第一時間間隔期間存在,其中該偏置發生器包括:一納秒脈衝發生器,該納秒脈衝發生器電耦合到該電導體的該發生器端;和一電流返回輸出級,其中該電流返回輸出級的一第一端電耦合到該電導體,和該電流返回輸出級的一第二端電耦合到接地, 和其中,在該每個迴圈的約90%的該第二時間間隔的至少一部分期間,一電流通過該電流返回輸出級從該偏置電極流到接地。
  2. 如請求項1所述的方法,其中:該正電壓脈衝導致一鞘層電壓降的恢復,其中一鞘層在該第一時間間隔的結束處形成於該基板的一面向電漿的表面之上。
  3. 如請求項1所述的方法,其中該第一時間間隔具有介於約200ns與約400ns之間的一持續時間。
  4. 如請求項1所述的方法,其中該第一時間間隔小於該一系列重複迴圈中的一迴圈的一持續時間的約10%。
  5. 如請求項4所述的方法,其中該一系列重複迴圈中的該迴圈具有介於約2微秒(μs)與約3μs之間的一持續時間。
  6. 如請求項1所述的方法,其中該正電壓脈衝介於約0.1千伏(kV)與約10kV之間。
  7. 如請求項1所述的方法,其中該偏置電極通過一介電質材料的一層與該基板支撐組件的一基板支撐表面間隔開,並且其中包括該偏置電極和該介電質 材料的該層的一平行板狀結構具有介於約5nF與約50nF之間的一有效電容。
  8. 如請求項1所述的方法,進一步包括以下步驟:使用一夾持電源將一DC電壓施加到該偏置電極,該夾持電源使用其所包含之一電源耦合組件電耦合到該電導體的該發生器端。
  9. 如請求項8所述的方法,其中該電源耦合組件包括一阻塞電阻器,該阻塞電阻器具有大於約1兆歐的一電阻。
  10. 如請求項1所述的方法,其中該發生器耦合組件進一步包括一第一電導體且該電極耦合組件進一步包含一第二電導體,該第一電導體和該第二電導體串聯電耦合,其中該第一電導體的一端電耦合到該偏置發生器的一輸出,並且該第二電導體的一端電耦合到該偏置電極。
  11. 如請求項1所述的方法,其中該納秒脈衝發生器的一第一端電耦合到該電導體的該發生器端,並且該納秒脈衝發生器的一第二端電耦合到接地。
  12. 如請求項1所述的方法,其中該發生器耦合組件包括一電感器。
  13. 如請求項1所述的方法,其中該電極耦合 組件包括一電感器。
  14. 如請求項8所述的方法,其中該夾持電源包括一電容器,該電容器具有在約40nF至約80nF的一範圍內的一電容。
  15. 一種處理腔室,包括:一基板支撐組件,該基板支撐組件包括一偏置電極,該偏置電極通過一介電質材料的一層與該基板支撐組件的一基板支撐表面分離開;和一偏置發生器,該偏置發生器使用其所包含之一發生器耦合組件電耦合到一電導體的一發生器端,並且該電導體的一電極端使用其所包含之一電極耦合組件電耦合到該偏置電極,其中該偏置發生器包括:一納秒脈衝發生器,該納秒脈衝發生器電耦合到該電導體的該發生器端;和一電流返回輸出級,其中該電流返回輸出級的一第一端電耦合到該電導體,和該電流返回輸出級的一第二端電耦合到接地,和其中偏置該偏置電極在該偏置電極處建立了一脈衝電壓波形,和 其中該脈衝電壓波形包括一系列重複迴圈,該一系列重複迴圈中的每個迴圈內的一波形具有在一第一時間間隔期間發生的一第一部分和在每個迴圈的約90%的一第二時間間隔期間發生的一第二部分,和一正電壓脈衝僅存在於該第一時間間隔期間,其中該發生器耦合組件包括一第一電導體且該電極耦合組件進一步包含一第二電導體,該第一電導體和該第二電導體串聯電耦合,其中該第一電導體的一端電耦合到該偏置發生器的一輸出,並且該第二電導體的一端電耦合到該偏置電極。
  16. 如請求項15所述的處理腔室,進一步包括:一電感耦合的電漿源或一電容耦合的電漿源,該電感耦合的電漿源或電容耦合的電漿源被配置為在該基板支撐組件的該基板支撐表面之上產生一電漿,和該納秒脈衝發生器的該電壓源包括一基本上恆定的電壓源。
  17. 如請求項15所述的處理腔室,進一步包括:一夾持電源,該夾持電源使用其所包含的一電源耦 合組件電耦合到該電導體的該發生器端。
  18. 如請求項17所述的處理腔室,其中該電源耦合組件包括一阻塞電阻器,該阻塞電阻器具有大於約1兆歐的一電阻。
  19. 如請求項15所述的處理腔室,其中包括該偏置電極和該介電質材料的該層的一平行板狀結構具有介於約5nF與約50nF之間的一有效電容。
  20. 如請求項15所述的處理腔室,其中該介電質材料的該層具有介於約0.1mm與約1mm之間的一厚度。
  21. 如請求項15所述的處理腔室,其中該納秒脈衝發生器的一第一端電耦合到該電導體的該發生器端,並且該納秒脈衝發生器的一第二端電耦合到接地。
  22. 如請求項15所述的處理腔室,其中該發生器耦合組件包括一電感器。
  23. 如請求項15所述的處理腔室,其中該電極耦合組件包括一電感器。
  24. 如請求項17所述的處理腔室,其中該夾持電源包括一電容器,該電容器具有在約40nF至約80nF的一範圍內的一電容。
  25. 一種處理腔室,包括:一基板支撐組件,該基板支撐組件包括一偏置電極,該偏置電極通過一介電質材料的一層與該基板支撐組件的一基板支撐表面分離開;一偏置發生器,該偏置發生器使用其所包含之一發生器耦合組件電耦合到一電導體的一發生器端,並且該電導體的一電極端使用其所包含之一電極耦合組件電耦合到該偏置電極,其中該偏置發生器包括:一納秒脈衝發生器,該納秒脈衝發生器電耦合到該電導體的該發生器端;和一電流返回輸出級,其中該電流返回輸出級的一第一端電耦合到該電導體,和該電流返回輸出級的一第二端電耦合到接地,和一電腦可讀介質,該電腦可讀介質具有存儲於該電腦可讀介質上的指令,該指令用於在由一處理器執行時執行處理一基板的一方法,該方法包括以下步驟:在一基板的一表面之上產生一電漿,該基板設置於該基板支撐組件上;和使用該偏置發生器來偏置該偏置電極,其中偏置該偏置電極在該偏置電極處建立了一脈 衝電壓波形,和其中該脈衝電壓波形包括一系列重複迴圈,該一系列重複迴圈中的每個迴圈內的一波形具有在一第一時間間隔期間發生的一第一部分和在每個迴圈的約90%的一第二時間間隔期間發生的一第二部分,和一正電壓脈衝僅存在於該第一時間間隔期間,其中該發生器耦合組件包括一第一電導體且該電極耦合組件進一步包含一第二電導體,該第一電導體和第二電導體串聯電耦合,其中該第一電導體的一端電耦合到該偏置發生器的一輸出,並且該第二電導體的一端電耦合到該偏置電極。
  26. 如請求項25所述的處理腔室,其中該正電壓脈衝導致一鞘層電壓降的恢復,該鞘層電壓降在該第一時間間隔的結束處形成在該基板的一面向電漿的表面之上,和在每個迴圈的約90%的該第二時間間隔的至少一部分期間,一電流通過該電流返回輸出級從該偏置電極流到接地。
  27. 如請求項25所述的處理腔室,其中該第一時間間隔具有介於約200ns與約400ns之間的一持 續時間。
  28. 如請求項25所述的處理腔室,其中該第一時間間隔小於該一系列重複迴圈中的一迴圈的一持續時間的約10%。
  29. 如請求項28所述的處理腔室,其中該一系列重複迴圈中的該迴圈具有擁有介於約2μs與約3μs之間的一持續時間的一週期。
  30. 如請求項25所述的處理腔室,其中該納秒脈衝發生器的一第一端電耦合到該電導體的該發生器端,並且該納秒脈衝發生器的一第二端電耦合到接地。
  31. 如請求項25所述的處理腔室,其中該發生器耦合組件包括一電感器。
  32. 如請求項25所述的處理腔室,其中該電極耦合組件包括一電感器。
  33. 一種處理腔室,包括:一基板支撐組件,該基板支撐組件包括一偏置電極和一基板支撐表面,其中該偏置電極通過一介電質材料的一層與該基板支撐表面分離開,其中該層具有介於約0.1mm與約1mm之間的一厚度;和 一偏置發生器,該偏置發生器使用其所包含之一發生器耦合組件電耦合到一電導體的一發生器端,並且該電導體的一電極端使用其所包含之一電極耦合組件電耦合到該偏置電極,其中該偏置發生器被配置為在該偏置電極處建立一脈衝電壓波形,且包括:一納秒脈衝發生器,該納秒脈衝發生器電耦合到該電導體的該發生器端;和一電流返回輸出級,其中該電流返回輸出級的一第一端電耦合到該電導體,和該電流返回輸出級的一第二端電耦合到接地,其中偏置該偏置電極在該偏置電極處建立了一脈衝電壓波形,和其中該脈衝電壓波形包括一系列重複迴圈,該一系列重複迴圈中的每個迴圈內的一波形具有在一第一時間間隔期間發生的一第一部分和在每個迴圈的約90%的一第二時間間隔期間發生的一第二部分,和一正電壓脈衝僅存在於該第一時間間隔期間。
  34. 如請求項33所述的處理腔室,進一步包 括:一夾持電源,該夾持電源使用其所包含的一電源耦合組件電耦合到該電導體的該發生器端。
  35. 如請求項34所述的處理腔室,其中該電源耦合組件包括一阻塞電阻器,該阻塞電阻器具有大於約1兆歐的一電阻。
  36. 如請求項33所述的處理腔室,其中包括該偏置電極和該介電質材料的該層的一平行板狀結構具有介於約5nF與約50nF之間的一有效電容。
  37. 如請求項33所述的處理腔室,其中該基板支撐組件進一步包括一基板支撐件和一支撐基座,其中該基板支撐件包括該介電質材料。
  38. 如請求項37所述的處理腔室,其中該基板支撐件具有一第二表面,該第二表面定位為與該基板支撐表面相對,該支撐基座定位為與該第二表面相鄰,並且該支撐基座包括多個冷卻通道,該多個冷卻通道被配置為接收來自一冷卻劑源的一流體,和該基板支撐組件進一步包括一絕緣器板,該絕緣器板設置在一接地板與該支撐基座之間。
  39. 如請求項37所述的處理腔室,其中該偏置電極設置在該基板支撐組件的該基板支撐件內。
  40. 如請求項37所述的處理腔室,其中該基板支撐件具有一第二表面,該第二表面定位在該基板支撐表面下方並且與該基板支撐表面相對,並且該偏置電極設置在該第二表面下方。
  41. 如請求項37所述的處理腔室,其中該支撐基座被配置為用作一偏置電極。
  42. 如請求項33所述的處理腔室,其中該納秒脈衝發生器的一第一端電耦合到該電導體的該發生器端,並且該納秒脈衝發生器的一第二端電耦合到接地。
  43. 如請求項33所述的處理腔室,其中該發生器耦合組件包括一電感器。
  44. 如請求項33所述的處理腔室,其中該電極耦合組件包括一電感器。
  45. 如請求項34所述的處理腔室,其中該夾持電源包括一電容器,該電容器具有在約40nF至約80nF的一範圍內的一電容。
  46. 如請求項33所述的處理腔室,其中該發生器耦合組件包括一第一電導體且該電極耦合組件進一步包含一第二電導體,該第一電導體和該第二電導體串聯電耦合,其中該第一電導體的一端電耦合到該偏置發生器的一輸出,並且該第二電導體的一端電耦合 到該偏置電極。
  47. 一種處理一基板的方法,包括以下步驟:在一基板的一表面之上產生一電漿,該基板設置於一基板支撐組件的一基板支撐表面上;和使用一偏置發生器來偏置設置在該基板支撐組件內的一偏置電極,該偏置發生器使用其所包含之一發生器耦合組件電耦合到一電導體的一發生器端,該電導體的一第二端使用其所包含之一電極耦合組件電耦合到該偏置電極,其中:該偏置電極通過一介電質材料的一層與該基板支撐表面分離開,其中該層具有介於約0.1mm與約1mm之間的一厚度;其中該偏置發生器被配置為在該偏置電極處建立一脈衝電壓波形,並且該脈衝電壓波形包括一系列重複迴圈,該一系列重複迴圈中的每個迴圈內的一波形具有在一第一時間間隔期間發生的一第一部分和在一第二時間間隔期間發生的一第二部分,一正電壓脈衝僅在該第一時間間隔期間存在,並且該偏置發生器包括:一納秒脈衝發生器,該納秒脈衝發生器電耦合 到該電導體的該發生器端;和一電流返回輸出級,其中該電流返回輸出級的一第一端電耦合到該電導體,和該電流返回輸出級的一第二端電耦合到接地,和其中,在每個迴圈的約90%的該第二時間間隔的至少一部分期間,一電流通過該電流返回輸出級從該偏置電極流到接地。
  48. 如請求項47所述的方法,其中包括該偏置電極和該介電質材料的該層的一平行板狀結構具有介於約5nF與約50nF之間的一有效電容。
  49. 如請求項47所述的方法,進一步包括以下步驟:一夾持電源,該夾持電源使用其所包含之一電源耦合組件電耦合到該電導體的該發生器端。
  50. 如請求項49所述的方法,其中該電源耦合組件包括一阻塞電阻器,該阻塞電阻器具有大於約1兆歐的一電阻。
  51. 如請求項47所述的方法,其中該發生器耦合組件包括一第一電導體且該電極耦合組件進一步包含一第二電導體,該第一電導體和該第二電導體串聯 電耦合,其中該第一電導體的一端電耦合到該偏置發生器的一輸出,並且該第二電導體的一端電耦合到該偏置電極。
  52. 如請求項47所述的方法,其中該基板支撐組件進一步包括一基板支撐件和一支撐基座,其中該基板支撐件包括該介電質材料。
  53. 如請求項52所述的方法,其中該基板支撐件具有一第二表面,該第二表面定位為與該基板支撐表面相對,該支撐基座定位為與該第二表面相鄰,並且該支撐基座包括多個冷卻通道,該多個冷卻通道被配置為接收來自一冷卻劑源的一流體,和該基板支撐組件進一步包括一絕緣器板,該絕緣器板設置在一接地板與該支撐基座之間。
  54. 如請求項52所述的方法,其中該偏置電極設置在該基板支撐組件的該基板支撐件內。
  55. 如請求項52所述的方法,其中該基板支撐件具有一第二表面,該第二表面定位在該基板支撐表面下方並且與該基板支撐表面相對,並且該偏置電極設置在該第二表面下方。
  56. 如請求項52所述的方法,其中該支撐基座被配置為用作一偏置電極。
  57. 如請求項47所述的方法,其中該納秒脈衝發生器的一第一端電耦合到該電導體的該發生器端,並且該納秒脈衝發生器的一第二端電耦合到接地。
  58. 如請求項47所述的方法,其中該發生器耦合組件包括一電感器。
  59. 如請求項47所述的方法,其中該電極耦合組件包括一電感器。
  60. 如請求項50所述的方法,其中該夾持電源包括一電容器,該電容器具有在約40nF至約80nF的一範圍內的一電容。
  61. 一種處理一基板的方法,包括以下步驟:在一基板的一面向電漿的表面之上產生一電漿,該基板設置於一基板支撐組件上;和使用一偏置發生器來偏置設置在該基板支撐組件內的一偏置電極,該偏置發生器電耦合到一電導體的一發生器端,該電導體的一電極端電耦合到該偏置電極,其中該偏置發生器用於在該偏置電極處建立一脈衝電壓波形,其中該脈衝電壓波形具有一系列重複迴圈,使得每個迴圈內的一波形具有在一第一時間間隔期間發生的一第一部分和在一第二時間間隔期間發生的一第二部分, 一正電壓脈衝僅存在於該第一時間間隔期間,其中該偏置發生器包括:一納秒脈衝發生器,該納秒脈衝發生器電耦合到該電導體的該發生器端;和一電流返回輸出級,其中該電流返回輸出級的一第一端電耦合到該電導體,和該電流返回輸出級的一第二端電耦合到接地,和其中,一電流通過該電流返回輸出級從該偏置電極流到接地且在該每個迴圈的90%的該第二時間間隔的至少一部分期間,該脈衝電壓波形基本上恆定,和該第二時間間隔大於該第一時間間隔。
  62. 如請求項61所述的方法,其中該第一部分進一步包括一正電壓脈衝,該正電壓脈衝導致一鞘層電壓降的恢復,其中一鞘層在該第一部分的結束處形成於該基板的該面向電漿的表面之上。
  63. 如請求項62所述的方法,其中在該一系列重複迴圈中的一個迴圈期間形成的該鞘層電壓降基本上等於在該一系列重複迴圈中的一隨後迴圈期間形成的該鞘層電壓降。
  64. 如請求項62所述的方法,其中該第二部分 進一步包括由來自該電漿的一離子電流決定的一基本上恆定的電壓。
  65. 如請求項61所述的方法,其中該第二部分進一步包括由來自該電漿的一離子電流決定的一基本上恆定的電壓和一DC夾持電壓。
  66. 如請求項61所述的方法,其中在該所產生的電漿內形成的一局部電漿電勢是在沒有一電漿鞘層的情況下與所述基板的所述面向電漿的表面相臨的所述電漿中的一電勢,或者是在存在一電漿鞘層的情況下與一電漿鞘層邊界相臨的所述電漿中的一電勢,和該第一部分進一步包括:一正電壓跳變,該正電壓跳變在具有一鞘層塌陷持續時間的一鞘層塌陷階段期間導致一鞘層塌陷,其中在該鞘層塌陷持續時間的結束處,該基板的該表面處的一電勢基本上等於所產生的電漿的該局部電漿電勢;和一負電壓跳變,該負電壓跳變在具有一鞘層形成持續時間的一鞘層形成階段期間導致一鞘層形成,其中在該鞘層形成持續時間的結束處,具有一鞘層電壓降的一鞘層形成在該基板的該面向電漿的表面之上。
  67. 如請求項61所述的方法,其中該第一時間間隔介於約200ns與約400ns之間。
  68. 如請求項67所述的方法,其中該第一時間間隔小於該一系列重複迴圈中的一迴圈的約10%。
  69. 如請求項61所述的方法,其中該偏置發生器的一納秒脈衝發生器的一第一端電耦合到該電導體的該發生器端,且該脈衝發生器的一第二端電耦合到接地。
  70. 如請求項61所述的方法,其中該偏置發生器使用一發生器耦合組件電耦合到該電導體的一發生器端,且該發生器耦合組件包括一電感器。
  71. 如請求項61所述的方法,其中該偏置發生器使用一發生器耦合組件電耦合到該電導體的一發生器端,且該發生器耦合組件包括一第一電導體。
  72. 如請求項61所述的方法,其中該電導體使用一電極耦合組件電耦合到該偏置電極,且該電極耦合組件包括一電感器。
  73. 如請求項61所述的方法,其中該電導體使用一電極耦合組件電耦合到該偏置電極,且該電極耦合組件包括一第二電導體。
  74. 如請求項61所述的方法,進一步包含使用一夾持電源將一DC電壓施加到該偏置電極,該夾持 電源使用其所包含之一電源耦合組件電耦合到該電導體的該發生器端,且該夾持電源包括一電容器,該電容器具有在約40nF至約80nF的一範圍內的一電容。
  75. 如請求項61所述的方法,其中該第一部分進一步包括:一正電壓跳變,該正電壓跳變在具有一鞘層塌陷持續時間的一鞘層塌陷階段期間導致一鞘層塌陷,其中在該鞘層塌陷持續時間的結束處,該基板的該表面處的一電勢基本上等於所產生的電漿的一局部電漿電勢;和一負電壓跳變,該負電壓跳變在具有一鞘層形成持續時間的一鞘層形成階段期間導致一鞘層形成,其中在該鞘層形成持續時間的結束處,具有一鞘層電壓降的一鞘層形成在該基板的該面向電漿的表面之上。
TW108116197A 2018-05-10 2019-05-10 處理基板的處理腔室與方法 TWI838371B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/976,728 US10555412B2 (en) 2018-05-10 2018-05-10 Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US15/976,728 2018-05-10

Publications (2)

Publication Number Publication Date
TW201948006A TW201948006A (zh) 2019-12-16
TWI838371B true TWI838371B (zh) 2024-04-11

Family

ID=68165071

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108116197A TWI838371B (zh) 2018-05-10 2019-05-10 處理基板的處理腔室與方法

Country Status (5)

Country Link
US (5) US10555412B2 (zh)
JP (4) JP7382155B2 (zh)
KR (2) KR20190129745A (zh)
CN (2) CN110473762B (zh)
TW (1) TWI838371B (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10483089B2 (en) * 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11227745B2 (en) * 2018-08-10 2022-01-18 Eagle Harbor Technologies, Inc. Plasma sheath control for RF plasma reactors
US11004660B2 (en) * 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN110504149B (zh) * 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 射频电源的脉冲调制系统及方法
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
KR102509641B1 (ko) * 2018-08-28 2023-03-16 삼성전자주식회사 플라즈마 챔버의 rf 센싱 장치 및 이를 포함하는 플라즈마 챔버
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020146436A1 (en) * 2019-01-08 2020-07-16 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
KR20210107716A (ko) * 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
JP6960421B2 (ja) * 2019-01-23 2021-11-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
JP7413095B2 (ja) * 2020-03-13 2024-01-15 東京エレクトロン株式会社 プラズマ処理装置
CN113496863B (zh) * 2020-04-01 2022-04-12 吉佳蓝科技股份有限公司 等离子体天线模块
JP2023533284A (ja) 2020-07-09 2023-08-02 イーグル ハーバー テクノロジーズ,インク. イオン電流ドループ補償
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
JP2024519760A (ja) * 2021-05-12 2024-05-21 アプライド マテリアルズ インコーポレイテッド プラズマ処理中の自動静電チャックバイアス補償
US11948780B2 (en) * 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) * 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US12046448B2 (en) 2022-01-26 2024-07-23 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5810982A (en) * 1994-06-17 1998-09-22 Eni Technologies, Inc. Preferential sputtering of insulators from conductive targets
US20020069971A1 (en) * 1996-01-03 2002-06-13 Tetsunori Kaji Plasma processing apparatus and plasma processing method
TWI539485B (zh) * 2013-03-15 2016-06-21 東京威力科創股份有限公司 選擇性地活化化學處理之方法、電漿處理方法、及電漿蝕刻設備
TW201810422A (zh) * 2016-06-29 2018-03-16 應用材料股份有限公司 使用材料變性及rf脈衝的選擇性蝕刻

Family Cites Families (556)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
JPH08264509A (ja) * 1995-03-27 1996-10-11 Hitachi Ltd 表面処理方法および表面処理装置
JP3085151B2 (ja) * 1995-07-13 2000-09-04 株式会社日立製作所 プラズマ処理方法および装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
JPH09129621A (ja) * 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
EP1038042A1 (en) 1997-10-15 2000-09-27 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
WO2000017920A1 (fr) 1998-09-18 2000-03-30 Tokyo Electron Limited Procede de traitement au plasma
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) * 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
WO2001009918A1 (en) 1999-08-02 2001-02-08 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
JP4578651B2 (ja) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP4718093B2 (ja) 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1435654A3 (en) 2000-05-10 2004-07-14 Ibiden Co., Ltd. Electrostatic chuck
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
JPWO2002059954A1 (ja) 2001-01-25 2004-10-14 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
EP1253216B1 (en) 2001-04-27 2003-11-12 European Community Method and apparatus for sequential plasma treatment
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
KR100890790B1 (ko) * 2001-08-27 2009-03-31 파나소닉 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
JP2005508078A (ja) 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
DE112004002262T5 (de) 2003-11-28 2006-10-26 Advantest Corp. Digitale QP Detektionsvorrichtung, Spektrumanalysator aufweisend dieselbe und ein Verfahren zur digitalen QP Detektierung
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
WO2006049085A1 (ja) 2004-11-04 2006-05-11 Ulvac, Inc. 静電チャック装置
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
ATE344973T1 (de) 2005-03-10 2006-11-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP3910210B2 (ja) 2005-05-13 2007-04-25 松下電器産業株式会社 誘電体バリア放電ランプ点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
WO2007082388A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
CN101589450B (zh) 2006-12-12 2013-08-28 Oc欧瑞康巴尔斯公司 生成靶溅射以在衬底上产生涂层的设备和在其上实施电压脉冲的方法
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
WO2009012735A1 (de) 2007-07-23 2009-01-29 Hüttinger Elektronik Gmbh + Co. Kg Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
CN101874203A (zh) 2007-11-26 2010-10-27 东京毅力科创株式会社 微细结构体检测装置以及微细结构体检测方法
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) * 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
US20110298376A1 (en) 2009-01-13 2011-12-08 River Bell Co. Apparatus And Method For Producing Plasma
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
SG175695A1 (en) 2009-08-07 2011-12-29 Kyosan Electric Mfg Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US9313872B2 (en) * 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP2611465A4 (en) 2010-08-31 2014-06-04 Theraclone Sciences Inc NEUTRALIZING ANTI-VIRUS ANTIBODIES FOR HUMAN IMMUNODEFICIENCY (HIV)
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
JP5689283B2 (ja) * 2010-11-02 2015-03-25 東京エレクトロン株式会社 基板処理方法及びその方法を実行するプログラムを記憶する記憶媒体
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
KR102023784B1 (ko) 2011-03-04 2019-09-20 도쿄엘렉트론가부시키가이샤 질화규소막 에칭 방법
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI661746B (zh) 2011-10-05 2019-06-01 應用材料股份有限公司 電漿處理設備及其蓋組件(一)
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
CN104067375B (zh) 2012-02-01 2016-05-11 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
JP5922218B2 (ja) 2012-02-20 2016-05-24 東京エレクトロン株式会社 電源システム及びプラズマ処理装置
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
JP6201753B2 (ja) 2012-03-30 2017-09-27 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
PL3403995T3 (pl) 2012-08-15 2021-07-12 Lockheed Martin Energy, Llc Heksacyjanki żelaza o wysokiej rozpuszczalności
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
KR101860182B1 (ko) 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9396960B2 (en) 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
US20160004475A1 (en) 2013-02-28 2016-01-07 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
KR20150128965A (ko) 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
WO2014197611A1 (en) 2013-06-04 2014-12-11 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
EP4177370A1 (en) 2013-07-17 2023-05-10 AES Global Holdings, Pte. Ltd. System for balancing consumption of targets in pulsed dual magnetron sputtering (dms)
JP6441927B2 (ja) 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) * 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
CN105793955B (zh) 2013-11-06 2019-09-13 应用材料公司 通过dc偏压调制的颗粒产生抑制器
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
EP3069445B1 (en) 2013-11-14 2023-04-05 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10522343B2 (en) 2014-03-02 2019-12-31 Tokyo Electron Limited Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6586424B2 (ja) 2014-03-24 2019-10-02 エーイーエス グローバル ホールディングス, プライベート リミテッド 高周波発生器ソースインピーダンスの制御のためのシステムおよび方法
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
CN105474381B (zh) 2014-07-23 2018-06-05 应用材料公司 可调谐温度受控的基板支撑组件
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
JP6698033B2 (ja) 2014-12-25 2020-05-27 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
WO2016131061A1 (en) 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10373755B2 (en) 2015-11-30 2019-08-06 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
CN108369921B (zh) 2015-12-07 2023-12-12 应用材料公司 使用静电夹盘夹持及解夹持基板的方法及装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
SG11201808603VA (en) * 2016-03-31 2018-10-30 Tokyo Electron Ltd Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11227745B2 (en) 2018-08-10 2022-01-18 Eagle Harbor Technologies, Inc. Plasma sheath control for RF plasma reactors
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
TWI757334B (zh) 2016-09-06 2022-03-11 日商東京威力科創股份有限公司 準原子層蝕刻方法
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) * 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
CN110268808A (zh) 2016-12-30 2019-09-20 鹰港技术股份有限公司 高压感应加法器
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
EP4266579A3 (en) 2017-02-07 2023-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
SG11201908533PA (en) 2017-03-17 2019-10-30 Tokyo Electron Ltd Surface modification control for etch metric enhancement
WO2018183874A1 (en) 2017-03-31 2018-10-04 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
TWI775862B (zh) 2017-05-30 2022-09-01 美商泰坦先進能源解決公司 電池壽命評估和容量恢復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
CN110998820B (zh) 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10475622B2 (en) 2017-09-26 2019-11-12 Advanced Energy Industries, Inc. System and method for plasma ignition
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
KR102387008B1 (ko) 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
US10991554B2 (en) 2017-11-16 2021-04-27 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
JP7235761B2 (ja) 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
JP2021503702A (ja) 2017-11-17 2021-02-12 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理システムにおける変調供給源の改良された印加
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11137372B2 (en) 2018-03-08 2021-10-05 Eagle Harbor Technologies, Inc. Eddy current nondestructive evaluation device with rotating permanent magnets and pickup coils
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
WO2019212799A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Rf grounding configuration for pedestals
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210090674A (ko) 2018-11-14 2021-07-20 에이이에스 글로벌 홀딩스 피티이 리미티드 세트포인트 추적에서 최소 지연을 위한 인터리빙된 스위치 모드 전력 스테이지들의 가산 합성
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
WO2020146436A1 (en) 2019-01-08 2020-07-16 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
US20200373114A1 (en) 2019-05-24 2020-11-26 Eagle Harbor Technologies, Inc. Klystron Driver
US20210029815A1 (en) 2019-07-02 2021-01-28 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
EP4035267A4 (en) 2019-09-25 2023-11-01 Eagle Harbor Technologies, Inc. HIGH VOLTAGE PULSE SHARPENING OF A NON-LINEAR TRANSMISSION LINE WITH ENERGY RECOVERY
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5810982A (en) * 1994-06-17 1998-09-22 Eni Technologies, Inc. Preferential sputtering of insulators from conductive targets
US20020069971A1 (en) * 1996-01-03 2002-06-13 Tetsunori Kaji Plasma processing apparatus and plasma processing method
TWI539485B (zh) * 2013-03-15 2016-06-21 東京威力科創股份有限公司 選擇性地活化化學處理之方法、電漿處理方法、及電漿蝕刻設備
TW201810422A (zh) * 2016-06-29 2018-03-16 應用材料股份有限公司 使用材料變性及rf脈衝的選擇性蝕刻

Also Published As

Publication number Publication date
JP7382155B2 (ja) 2023-11-16
US10448494B1 (en) 2019-10-15
JP2019197892A (ja) 2019-11-14
JP7372050B2 (ja) 2023-10-31
US10791617B2 (en) 2020-09-29
CN110473762A (zh) 2019-11-19
KR20190129745A (ko) 2019-11-20
US20190350072A1 (en) 2019-11-14
JP7316091B2 (ja) 2023-07-27
US20200352017A1 (en) 2020-11-05
US10448495B1 (en) 2019-10-15
JP2019197890A (ja) 2019-11-14
JP2019197891A (ja) 2019-11-14
US11284500B2 (en) 2022-03-22
CN110473762B (zh) 2024-03-05
US20200154556A1 (en) 2020-05-14
US10555412B2 (en) 2020-02-04
KR20190129773A (ko) 2019-11-20
TW201948006A (zh) 2019-12-16
CN118016500A (zh) 2024-05-10
JP2023145535A (ja) 2023-10-11

Similar Documents

Publication Publication Date Title
TWI838371B (zh) 處理基板的處理腔室與方法
US11462389B2 (en) Pulsed-voltage hardware assembly for use in a plasma processing system
TWI838453B (zh) 用於控制脈衝電壓波形的反饋迴路
JP7516657B2 (ja) プラズマ処理用途のためのパルス電圧源
JP2023544472A (ja) 電圧パルスの時間領域多重化
TW202301913A (zh) 離子電流補償的設備及方法
JP2023542779A (ja) 基板処理のためのパルス電圧ブースト
JP2024523852A (ja) パルスdcプラズマチャンバにおけるプラズマ均一性制御
TW202312218A (zh) 具有離子能量控制的電漿激發
TWI846014B (zh) 電壓脈衝的時域多工
TW202349438A (zh) 用於電漿處理應用的脈衝電壓源