KR20150128965A - 구리를 위한 uv-보조 반응성 이온 에칭 - Google Patents

구리를 위한 uv-보조 반응성 이온 에칭 Download PDF

Info

Publication number
KR20150128965A
KR20150128965A KR1020157028681A KR20157028681A KR20150128965A KR 20150128965 A KR20150128965 A KR 20150128965A KR 1020157028681 A KR1020157028681 A KR 1020157028681A KR 20157028681 A KR20157028681 A KR 20157028681A KR 20150128965 A KR20150128965 A KR 20150128965A
Authority
KR
South Korea
Prior art keywords
copper
substrate
plasma etching
etching
bias
Prior art date
Application number
KR1020157028681A
Other languages
English (en)
Inventor
수바쉬 데쉬무크
징징 리우
헤 렌
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150128965A publication Critical patent/KR20150128965A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

몇몇 실시예들에서, 구리를 에칭하기 위한 플라즈마 에칭 장치가 제공되며, 이 장치는, (1) 기판을 수용하도록 적응된 프로세스 챔버를 갖는 챔버 본체; (2) RF 전극에 커플링되는 RF 소스; (3) 프로세스 챔버 내에 위치되며 기판을 지지하도록 적응된 페디스털; 및 (4) 플라즈마 에칭 장치 내에서 수행되는 에칭 프로세스의 적어도 일부 동안, 프로세스 챔버에 UV 광을 전달하도록 구성되는 UV 소스를 포함한다. 다수의 다른 양태들이 제공된다.

Description

구리를 위한 UV-보조 반응성 이온 에칭{UV-ASSISTED REACTIVE ION ETCH FOR COPPER}
[0001] 본 출원은, 2013년 3월 13일자로 출원되고 명칭이 "PULSED DC PLASMA ETCHING PROCESS AND APPARATUS"인 US 가출원 번호 제61/779,296호(대리인 사건 번호 제17758/L호) 및 2013년 3월 15일자로 출원되고 명칭이 "UV-ASSISTED REACTIVE ION ETCH FOR COPPER"인 US 가출원 번호 제61/787,243호(대리인 사건 번호 제17818/L호)에 대한 우선권을 주장하며, 이로써 이들 가출원은 각각 모든 목적들을 위해서 인용에 의해 본원에 포함된다.
[0002] 본 발명은 일반적으로, 반도체 디바이스 제조에 관한 것이며, 보다 구체적으로 플라즈마 프로세스들 및 장치에 관한 것이다.
[0003] 반도체 기판 제조에 있어서, 하나 또는 그 초과의 물질 층들 또는 막들을 제거하거나, 기판에 패턴들, 등을 형성(예를 들면, 패터닝된 실리콘 웨이퍼를 형성)하기 위해, 플라즈마 에칭 프로세스가 사용될 수 있다. 임계 치수들이 계속해서 줄어듦에 따라, 웨이퍼 균일성에 있어서의 양호한 트렌치 프로파일, 및 보다 정밀한 임계 치수(CD) 제어를 달성하기 위해, 에칭 프로세스를 보다 엄격하게 제어하는 것이 바람직해진다.
[0004] 한가지 종래의 에칭 프로세스는, 플라즈마 무선-주파수(RF) 소스의 펄싱(pulsing)을 사용한다. RF 소스 제어는, 프로세스 윈도우를 넓히기 위해, 이온(반응성 부식제) 밀도 및 에너지 분포의 비교적 독립된(separate) 제어를 유발할 수(lead to) 있다. 펄싱은, RF 포지티브/네거티브 사이클들(RF positive/negative cycles)에 개선된 프로세스 제어를 제공하도록 동기화될 수 있다. 그러나, RF 펄싱 기술들은, 정밀한 제어에 이르기 어려운 점 및 복잡한 구현의 면에서 결점들을 가질 수 있다.
[0005] 다른 구현예들에서, 부식제 에너지를 제어하기 위해, DC 바이어스가 페디스털에 인가될 수 있다. 그러나, 그러한 DC 바이어싱되는 프로세스들은 좁은 프로세스 윈도우의 단점을 겪는다.
[0006] 따라서, 개선된 CD 제어를 위한 개선된 에칭 방법들 및 장치가 요구된다.
[0007] 몇몇 실시예들에서, 구리를 에칭하기 위한 플라즈마 에칭 장치가 제공되며, 이 장치는, (1) 기판을 수용하도록 적응된(adapted) 프로세스 챔버를 갖는 챔버 본체; (2) RF 전극에 커플링되는 RF 소스; (3) 프로세스 챔버 내에 위치되며 기판을 지지하도록 적응된 페디스털; 및 (4) 플라즈마 에칭 장치 내에서 수행되는 에칭 프로세스의 적어도 일부 동안, 프로세스 챔버에 UV 광을 전달하도록 구성되는 UV 소스를 포함한다.
[0008] 몇몇 실시예들에서, 구리 플라즈마 에칭 방법이 제공되며, 이 방법은, (1) 프로세스 챔버 내에 기판을 제공하는 단계; (2) 프로세스 챔버에 프로세스 가스를 제공하는 단계; (3) 프로세스 챔버 내의 프로세스 가스를 RF 펄스들에 노출시키는 단계; (4) 프로세스 챔버 내의 기판을 플라즈마 에칭하는 단계; 및 (5) 플라즈마 에칭하는 단계의 적어도 일부 동안, 기판 및 프로세스 가스 중 적어도 하나를 UV 광에 노출시키는 단계를 포함한다.
[0009] 몇몇 실시예들에서, 구리 플라즈마 에칭 방법이 제공되며, 이 방법은, (1) 프로세스 챔버 내에 기판을 제공하는 단계; (2) 프로세스 챔버에 프로세스 가스를 제공하는 단계; (3) 프로세스 챔버 내에 플라즈마를 발생시키기 위해, 프로세스 챔버 내의 프로세스 가스를 RF 에너지에 노출시키는 단계; (4) 프로세스 챔버 내의 기판을 플라즈마 에칭하는 단계; 및 (5) 플라즈마 에칭하는 단계의 적어도 일부 동안, 기판 및 프로세스 가스 중 적어도 하나를 UV 광에 노출시키는 단계;를 포함한다. 다수의 다른 양태들이 제공된다.
[0010] 본 발명의 다른 특징들 및 양태들이, 예시적인 실시예들에 대한 하기의 상세한 설명, 첨부된 청구항들, 및 첨부 도면들로부터 보다 충분히 자명해질 것이다.
[0011] 도 1은, 본원에서 제공되는 실시예들에 따른 기판 에칭 장치의 부분적인 측면도(side plan view)를 도시한다.
[0012] 도 2a는, 본원에서 제공되는 실시예들에 따른, DC 바이어스 전도체 핀들(DC bias conductor pins)의 가능한 위치들(possible positions)을 도시하는 DC 바이어스 전도체 핀 조립체의 부분적인 평면도를 도시한다.
[0013] 도 2b는, 본원에서 제공되는 실시예들에 따른 DC 바이어스 전도체 핀 조립체의 측면도를 도시한다.
[0014] 도 3은 본원에서 제공되는 실시예들에 따른, 마스터 클록(master clock) 펄스에 대한 RF 펄스 및 DC 바이어스 펄스의 그래픽 도표(graphical plot)를 도시한다.
[0015] 도 4는, 본원에서 제공되는 실시예들에 따른 플라즈마 에칭 방법의 흐름도를 도시한다.
[0016] 도 5는, 본원에서 제공되는 실시예들에 따른 기판 에칭 장치의 부분적인 측면도를 도시한다.
[0017] 도 6은 본원에서 제공되는 실시예들에 따른, Cu 에칭 프로세스의 이방성 및 등방성 컴포넌트들의 개략도이다.
[0018] 도 7a는, 듀얼 다마신 프로세스(Dual Damascene process)에 의해 형성된 인터커넥트(interconnect)의 개략적 단면도를 도시한다.
[0019] 도 7b는 본원에서 제공되는 실시예들에 따른, 블랭킷 구리 층들이 에칭되어 인터커넥트를 형성하는 건식 에칭에 의해 형성된 인터커넥트의 개략적 단면도를 도시한다.
[0020] 도 8a 및 도 8b는, 본원에서 제공되는 몇몇 실시예들에 따른 예시적인 토로이드형(torroidal) 플라즈마 챔버들의 단면도들이다.
[0021] 반도체 디바이스들에 대한 인터커넥트 물질로서, 알루미늄을 대신하여 구리를 사용하는 것은, 구리의 보다 낮은 저항률(resistivity) 및 더 높은 일렉트로마이그레이션 저항(electromigration resistance)으로 인해, 인기가 높아졌다. 그러나, 알루미늄과 달리, 구리의 에칭은, 구리를 에칭하는 동안 발생되는 비-휘발성 에칭 부산물들 및 효과적인 에칭-후 세정(post-etch cleaning) 기술들의 부족으로 인해 어렵다(chanllenging).
[0022] 전술된 결점들을 방지하기 위해, 다마신 프로세스(damascene process)들이 이용되어 왔는데, 다마신 프로세스에서는 라인들, 트렌치들, 및 비아들이 유전체 층들에 형성되며, 이러한 피쳐들은 구리를 충진하기 전에 하나 또는 그 초과의 배리어 층들로 라이닝된다(lined). 배리어 층들은 구리에 대한 확산 배리어들로서의 역할을 하며, 하부에 놓인 실리콘 기판 및 유전체 층들 내로 구리가 침투하는 것을 방지한다. 벌크 구리 에칭(bulk copper etch)이 이용되지 않는다.
[0023] 디바이스 치수들이, 특히 약 20 나노미터 미만으로 줄어듦에 따라, 배리어 층들의 사용이 어려워지는데, 이는 배리어 층 두께가 구리로 충진될 피쳐들의 대부분을 없애 버릴(consume) 수 있기 때문이다. 부가적으로, 20 나노미터 또는 그 미만의 노드 크기 및 특히 약 10 나노미터 또는 그 미만의 노드 크기에서, 측벽/입계 산란(sidewall/grain boundary scattering) 및 일렉트로마이그레이션이 RF 지연에 영향을 미치며 디바이스 성능을 열화시킨다(degrade).
[0024] 본원에서 설명되는 실시예들은 구리를 건식 에칭하기 위한 장치 및 방법들에 관한 것이다. 구리를 건식 에칭하는 능력은, 구리 라인들 및 인터커넥트들의 직접 패터닝을 허용한다(예를 들면, 다마신 프로세스들에 대한 필요성을 제거한다). 블랭킷 구리 층들(blanket copper layers)로부터 건식 에칭된 구리 피쳐들이 형성되기 때문에, 그러한 에칭된 구리 피쳐들은 더 큰 입도들(grain sizes) 및 훨씬 더 낮은 저항률을 갖는다. 구리 피쳐들은 저 k 유전체 충진(fill)을 이용하여 절연될(isolated) 수 있다. 저 k 물질로 된 유전체 충진을 이용하는 것은 (다마신 프로세스로 구리 충진을 수행하는 것에 비교할 때) 저 k 물질에 대한 손상을 감소시키며, 그에 따라 감소된 저항 및 RC 특성들을 야기한다.
[0025] 몇몇 실시예들에서, 구리 건식 에칭 프로세스가 제공되며, 이 프로세스는 구리 건식 에칭 프로세스를 향상시키기 위해, 자외선(UV) 조사(ultra-violet(UV) irradiation)를 이용한다. UV 조사는, 에칭 프로세스를 추진하고 그리고 더 낮은 프로세스 온도들에서 에칭 잔류물 제거를 용이하게 하기 위해, 보충 에너지 소스(supplemental energy source)를 제공한다. 더 낮은 에칭 온도의 이용은, 프로파일 고려사항들 및 균일성과 에칭 레이트의 균형을 이룸으로써(balancing) 에칭 중에 더 많은 제어를 허용하는 반면, UV 보조(UV assisted) 잔류물 제거는 더 큰 프로세스 윈도우 및 등방성 반응들(isotropic reactions)에 대한 더 우수한 제어를 허용한다.
[0026] 몇몇 실시예들에서, 약 150-400 나노미터의 파장 또는 약 3eV-8eV의 에너지, 및/또는 약 1x1015-1x1018의 photons/(cm2-min)의 플럭스 레이트(flux rate)를 갖는 UV 광이 이용될 수 있다. 다른 파장들, 에너지들 및/또는 플럭스 레이트들이 이용될 수 있다.
[0027] 구리의 건식 에칭을 위한 하나의 적합한 가스는 H2이다. 수소 플라즈마에서, 원자 수소 및 수소 이온들은, 분자 수소 소스로부터 형성될 수 있으며, 구리 수소화물(CuH) 및 구리 이수소화물(copper dihydride)(CuH2)의 형성을 통해 구리 표면을 에칭할 수 있다:
(1) 2Cu + H2 2CuH
(2) Cu + H2 CuH2
반응들(1) 및 (2)가 일어나기 위해서, 수소 플라즈마로부터 원자 수소가 공급될 수 있다. DC 바이어스는 더 많은 이방성 에칭을 가능하게 하기 위해, 방향성의 고에너지 수소 이온들을 제공한다. 그러나, 구리가 에칭되는 구리-수소 결합을 허용하기 위해서는, Cu-Cu 결합들을 파괴하기에 충분한 표면 에너지가 제공되어야만 한다. 이러한 에너지는, 예를 들면, 열적으로 제공될 수 있다. 몇몇 실시예들에서, (하기에서 "hν"로 나타낸) UV 광은, 휘발성 2CuH 및 CuH2의 형성을 추진하도록(drive) 에너지를 제공하기 위해 이용될 수 있다:
(3) Cu(s) + hν Cu+ + e
[0028] Cu-Cu 표면 결합들을 파괴하기 위한 UV 광의 이용은, 에칭 동안에 감소된 기판 온도들이 사용되도록 허용할 수 있으며, 그에 따라 감소된 에칭 레이트를 통하여 더 우수한 에칭 제어를 제공한다. 몇몇 실시예들에서, 약 200℃ 미만의 기판 에칭 온도가 이용될 수 있으며, 몇몇 실시예들에서, 약 100℃ 또는 그 미만의 기판 에칭 온도가 이용될 수 있다. 감소된 기판 에칭 온도들은 또한, 좁은 트렌치들 및 비아들과 같은 정밀한 표면 구조들에 대한 열 손상을 방지한다. 다른 기판 에칭 온도들이 이용될 수 있다.
[0029] 다른 실시예들에서, 구리를 건식 에칭하기 위해 Cl2가 이용될 수 있다. 염소 플라즈마에서, 원자 염소 및 염소 이온들은, 분자 염소로부터 형성될 수 있으며, 하기에 나타낸 바와 같은, 구리 염화물(CuCl 또는 CuCl2) 및 다양한 다른 구리-염소 종의 형성을 통해 구리 표면을 에칭할 수 있다:
(4) xCl(g) + e xCl-(g)
(5) Cu(s) + Cl-(g) CuCl (s)
(6) 3CuCl(s) + hν Cu3Cl3(g)
(7) CuCl(s) + hν CuCl(g)
(8) CuCl2(g) + e CuCl2 -(g)
(9) CuCl(g) + Cl(g) CuCl2(g)
(10) CuCl-(g) + Cl(g) CuCl2(g) + e
(11) CuCl2 -(g) + Cu(g) + Cl(g) Cu2Cl3(g) + e
(12) Cu2Cl2 -(g) + Cl(g) Cu2Cl3(g)
(13) 3CuCl2(s) + 3H(g) Cu3Cl3(g) + 3HCl(g)
[0030] 고체 구리-염소 부산물들의 형성 증대(formation buildup)를 감소시키기 위해, 에칭 챔버로부터 펌핑될 수 있는 가스 부산물들(gaseous byproducts)을 형성하는 것이 바람직하다. 몇몇 실시예들에서, CuCl과 같은 고체 구리-염소 부산물들을, 상기의 반응식들 (6) 및 (7)에 의해 표시된 바와 같이, Cu3Cl3(g) 및 CuCl(g)과 같은 가스 부산물들로 변환시키기 위해, UV 광이 사용된다.
[0031] 전술된 바와 같이, UV 광은 Cu-Cu 표면 결합들을 파괴하는데 또한 사용될 수 있으며, 이는 에칭 동안 감소된 기판 온도들이 이용되도록 허용할 수 있으며, 그에 따라 감소된 에칭 레이트를 통하여 더 우수한 에칭 제어를 제공한다. 몇몇 실시예들에서, 약 200℃ 미만의 기판 에칭 온도가 이용될 수 있으며, 몇몇 실시예들에서, 약 100℃ 또는 그 미만의 기판 에칭 온도가 이용될 수 있다. 다른 기판 에칭 온도들이 이용될 수 있다.
[0032] 다른 에칭 종이 UV 조사로부터 이득을 얻을 수 있다. 예를 들면, 몇몇 실시예들에서, 건식 구리 에칭을 위해 UV 광이 보조되는 산소 에칭(UV light assisted oxygen etch)이 이용될 수 있다. UV 광은 구리 표면에서의 산화 온도를 낮출 수 있으며, 그에 따라 에칭 동안에 감소된 기판 가열을 허용한다. UV 조사로부터 이득을 얻을 수 있는 다른 예시적인 에칭 종은, 예를 들면 CF4, C2F4, C4F6, C4F8, 등을 포함한다. 다른 에칭 종이 사용될 수 있다.
[0033] 임의의 적합한 에칭 챔버는, 본 발명에 따른 UV 조사를 포함하도록 변형될 수 있다. 예시적인 에칭 챔버들은, 유도-결합 플라즈마(ICP) 챔버들, 용량성-결합 플라즈마(CCP) 챔버들 등을 포함한다. UV 조사를 포함하도록 변형될 수 있는 한가지 예시적인 ICP 챔버는, 명칭이 "Externally Excited Torroidal Plasma Source Using A Gas Distribution Plate"인 U.S. 특허 번호 제6,453,842호에서 설명되며, 이 특허는 이로써 모든 목적들을 위해 전체로서 인용에 의해 본원에 포함된다. 예시적인 에칭 챔버들 및/또는 에칭 프로세스들은, 도 1-8b를 참조하여 하기에서 설명된다.
[0034] 도 5는, 본원에서 제공되는 실시예들에 따른 기판 에칭 장치(500)의 부분 측면도를 도시한다. 에칭 장치는 챔버(502)를 포함하며, 챔버는 챔버(502)에 하나 또는 그 초과의 프로세스 가스들을 공급하기 위한, 최상부 가스 유입구(504) 및 측면 가스 유입구(506)를 갖는다. 챔버(502)는 에칭 동안에 기판(510)을 지지하기 위한 기판 지지부(508)를 포함한다. 몇몇 실시예들에서, 에칭 동안에 복수의 전도성 핀들(512)이 기판(510)과 접촉하고 그리고/또는 기판을 지지할 수 있다. 예를 들면, 전도성 핀들(512)은, DC 공급부(514) 및 펄스 제어부(516)의 사용을 통해 에칭 동안에 기판(510)의 바이어싱을 허용하도록, 기판(510)에 펄스 DC 바이어스를 제공할 수 있다.
[0035] 챔버(502)는 또한, 플라즈마를 발생시키기 위해 챔버(502)에 RF 에너지를 유도적으로 공급하기 위한 RF 코일(518)을 포함한다. RF 에너지는 RF 소스(520)에 의해 공급될 수 있으며, 몇몇 실시예들에서 (예를 들면, 펄스 발생기(522)를 이용하여) 펄싱될(pulsed) 수 있다. 샤워 헤드(524)가, 유입구(504)에 공급되는 가스들을 균일하게 분배하는 것을 도울 수 있다.
[0036] 몇몇 실시예들에 따르면, UV 광은 하나 또는 그 초과의 UV 소스들(526a 및/또는 526b)로부터 챔버(502)에 제공될 수 있다. 몇몇 실시예들에서, 약 150-400 나노미터의 파장, 또는 약 3eV-8eV의 에너지, 및/또는 약 1x1015-1x1018 photons/(cm2-min)의 플럭스 레이트를 갖는 UV 광이 이용될 수 있다. 다른 파장들, 에너지들 및/또는 플럭스 레이트들이 이용될 수 있다. UV 광은, 전체 에칭 프로세스 동안 또는 에칭 프로세스의 임의의 부분 동안 적용될 수 있다.
[0037] 에칭 동안에 희망 압력으로 챔버를 진공배기(evacuate)하고 그리고/또는 에칭 동안에 발생된 휘발성 에칭 종을 제거하기 위해, 펌핑 시스템(528)이 사용될 수 있다.
[0038] UV 노출 및 (예를 들면, 전도성 핀들(512), DC 공급부(514) 및 펄스 제어부(516)를 이용하여) 기판(510)의 펄싱된 DC 바이어스를 이용하는 것은, 에칭 장치(500) 내에서의 Cu 에칭 동안에, 향상된 에칭 이방성(etch anisotropy) 및 향상된 인-시츄 부산물 탈착(in-situ byproduct desorption)을 제공할 수 있다. 예를 들면, UV 광원(526a 및/또는 526b)은, 전술된 (1)-(13)과 같은 반응들 및/또는 다른 UV 보조 반응들을 통해 부산물 제거를 보조함으로써, 에칭 장치(500) 내에서의 Cu 에칭 프로세스들 동안에 등방성 에칭 반응들을 조절하기(tuning) 위한 별도의 파라미터를 제공한다. 명시된 바와 같이, UV 광은 Cu-Cu 표면 결합들을 파괴하는 것을 도울 수 있고, 고체 구리-염소 부산물들을 휘발성 가스 부산물들로 변환시킬 수 있으며, 휘발성 가스 부산물들은 펌핑 시스템(528)을 통해 제거될 수 있다. 기판의 DC 바이어스는, 에칭 프로세스의 이방성 컴포넌트들을 개별적으로 제어하기 위해, 플라즈마 에칭 동안에 증가될 수 있거나, 그렇지 않으면 이온 충격/방향성을 조정할(tailor) 수 있다. DC 바이어스 제어 및 UV 조사의 사용은, 더 낮은 온도들에서 에칭 부산물들을 제거하는 것뿐 아니라 명확한(well-defined) 측벽 프로파일들의 형성을 허용할 수 있다. (예를 들면, UV 광으로) 화학반응(chemistry)을 그리고 (예를 들면, DC 바이어스로) 플라즈마 소스를 조절함으로써, Cu 에칭 프로세스의 이방성 및 등방성 컴포넌트들을 제어하는 것을 개략적으로 도시하는 도 6을 예를 들어 참조한다. 예시적인 이방성 상호작용들은, 유도 결합 플라즈마(ICP), 용량성 결합 플라즈마(CCP), DC 바이어스, 유틸리티 가스(utility gas) 및/또는 그밖에 유사한 것(the like)과 같은 제어들과 함께, 화살표들(602)에 의해 전체적으로 나타낸, 이온 보조 반응들, 이온 충격, 등을 포함한다. 예시적인 등방성 화학 반응들은, 이를테면 측벽들(604)에, 반응 역학(reaction kinetics), 온도, UV 광 및/또는 그밖에 유사한 것과 같은 제어들과 함께, 라디칼 반응들, 분자 반응들, 등을 포함한다.
[0039] 도 7a는, 듀얼 다마신 프로세스에 의해 형성된 인터커넥트(700a)의 개략적 단면도를 도시한다. 명시된 바와 같이, 피쳐 크기가 감소함에 따라, 측벽 및 입계 영향들(affects)이 상당해진다. 인터커넥트(700a)는, 유전체 층들 또는 영역들(706)(예를 들면, 저-k 또는 다른 유전체 물질)로부터 금속 층들 또는 영역들(704)(예를 들면, 구리 또는 다른 전도체)을 분리시키기 위해, 금속 배리어 층들(702)을 이용할 수 있다. 도 7b는, 블랭킷 금속(예를 들면, 구리) 층들이 에칭되어 인터커넥트를 형성하는 건식 에칭에 의해 형성된 인터커넥트(700b)의 개략적 단면도를 도시한다. 인터커넥트(700b)는, 몇몇 영역들(예를 들면, 라인 영역들)에서 유전체 층들 또는 영역들(706)(예를 들면, 저-k 또는 다른 유전체 물질)로부터 금속 층들 또는 영역들(704)(예를 들면, 구리 또는 다른 전도체)을 분리시키기 위해, 유전체 배리어 층들(708)을 이용할 수 있다. 건식 에칭 프로세스의 이용은, (예를 들면, 도 7a의 입자(710a) 대(versus) 도 7b의 입자(710b)에 의해 도시된 바와 같이, 블랭킷 층들이 충진 영역들보다 더 큰 입도를 가지기 때문에) 측벽 및 입계들로부터의 훨씬 더 적은 산란을 야기하고 최소 유전체 손상을 야기한다.
[0040] 몇몇 실시예들에서, UV 조사는, 기판에 인가되는 펄싱된 DC 바이어스 및 RF 펄스 소스의 사용과 결합될 수 있다. 펄싱된 DC 바이어스는, 기판과 직접 전기 접촉하여 제공되는 전도성 DC 바이어스 핀들을 통해 제공될 수 있다. 전도성 DC 바이어스 핀들은 DC 바이어스 전도체 조립체의 일부분일 수 있으며, DC 바이어스 전도체 조립체는 기판을 들어올리고, 개선된 기판 에칭을 달성하기 위해 기판에 DC 바이어스 펄싱을 또한 제공한다. 본 발명의 실시예들의 이러한 그리고 다른 양태들은, 본원의 도 1-4를 참조하여 하기에서 설명된다.
[0041] 도 1은, 구리 에칭을 개선하기 위해 UV 조사를 사용할 수 있는, 기판 에칭 장치(100) 및 기판 에칭 장치의 컴포넌트들의 부분적인 측단면도를 도시한다. 몇몇 실시예들에서, UV 광은 하기에서 추가로 설명되는 바와 같이, 에칭 장치(100)의 리드(107) 상에 위치되는 UV 소스(101)로부터 제공될 수 있다.
[0042] 기판 에칭 장치(100)는 메인프레임 섹션(104)에 커플링되도록 적응되며, 장치(100)의 본체(106) 내에 형성되는 프로세스 챔버(105) 내에 기판(102)을 수용하고 그리고 기판 상에 에칭 프로세스를 수행하도록 구성되고 적응된다. 기판(102)은, 에칭되기에 적합한 임의의 기판, 이를테면 도핑되거나 도핑되지-않은 실리콘 기판, III-V족 화합물 기판, 실리콘 게르마늄(SiGe) 기판, 에피-기판, 실리콘-온-인슐레이터(SOI) 기판, 액정 디스플레이(LCD) 기판, 플라즈마 디스플레이 기판, 전자-발광(EL) 램프 디스플레이 기판, 발광 다이오드(LED) 기판과 같은 디스플레이 기판, 태양 전지 어레이 기판, 태양전지판(solar panel) 기판, 등일 수 있다. 다른 기판들이 또한 프로세싱될 수 있다. 몇몇 실시예들에서, 기판(102)은, 패턴 또는 마스크가 위에 형성된 반도체 웨이퍼일 수 있다.
[0043] 몇몇 실시예들에서, 기판(102)은 기판 상에 배치되는 하나 또는 그 초과의 층들을 가질 수 있다. 하나 또는 그 초과의 층들은 임의의 적합한 방식으로, 이를테면 전기도금, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 원자 층 증착(ALD), 등에 의해 증착될 수 있다. 하나 또는 그 초과의 층들은, 제조되는 특정 디바이스에 적합한 임의의 층들일 수 있다.
[0044] 예를 들면, 몇몇 실시예들에서, 하나 또는 그 초과의 층들은 하나 또는 그 초과의 유전체 층들을 포함할 수 있다. 그러한 실시예들에서, 하나 또는 그 초과의 유전체 층들은 실리콘 산화물(SiO2), 실리콘 질화물(SiN), 저-k 또는 고-k 물질, 등을 포함할 수 있다. 본원에서 사용되는 바와 같이, 저-k 물질들은 대략 실리콘 산화물(SiO2)의 유전 상수 미만인 유전 상수를 갖는다. 따라서, 고-k 물질들은 실리콘 산화물보다 더 큰 유전 상수를 갖는다. 유전체 층이 저-k 물질을 포함하는 몇몇 실시예들에서, 저-k 물질은 탄소-도핑된 실리콘 산화물(SiOC)과 같은 탄소-도핑된 유전체 물질, 유기 폴리머(이를테면 폴리이미드, 파릴렌, 등), 유기 도핑된 실리콘 유리(OSG), 플루오린 도핑된 실리콘 유리(FSG), 등일 수 있다. 유전체 층이 고-k 물질인 실시예들에서, 고-k 물질은 하프늄 산화물(HfO2), 지르코늄 산화물(ZrO2), 하프늄 실리케이트(HfSiO), 알루미늄 산화물(Al2O3), 등일 수 있다. 몇몇 실시예들에서, 하나 또는 그 초과의 층들은, 예를 들면 금속과 같은, 전도성 물질로 된 하나 또는 그 초과의 층들을 포함할 수 있다. 그러한 실시예들에서, 금속은, 구리(Cu), 알루미늄(Al), 텅스텐(W), 티타늄(Ti), 코발트(Co), 이들의 합금들, 이들의 조합들, 등을 포함할 수 있다.
[0045] 몇몇 실시예들에서, 기판(102)은 패터닝된 마스크 층을 포함할 수 있으며, 패터닝된 마스크 층은 기판(102) 상에 에칭될 하나 또는 그 초과의 피쳐들을 정의할 수 있다. 몇몇 실시예들에서, 에칭될 하나 또는 그 초과의 피쳐들은 고종횡비 피쳐들일 수 있으며, 하나 또는 그 초과의 피쳐들은 약 10:1 초과의 종횡비를 갖는다. 패터닝된 마스크 층은, 하드 마스크, 포토레지스트 층, 또는 이들의 조합들과 같은 임의의 적합한 마스크 층일 수 있다. 임의의 적합한 마스크 층 조성이 이용될 수 있다. 마스크 층은, 기판(102)의 하나 또는 그 초과의 층들 내에 에칭될 피쳐들을 정의하기 위한 적절한 형판(template)을 제공할 수 있는 임의의 적합한 형상을 가질 수 있다. 예를 들면, 몇몇 실시예들에서, 패터닝된 마스크 층은 에칭 프로세스를 통해 형성될 수 있다. 몇몇 실시예들에서, 패터닝된 마스크 층은, 진보된 또는 매우 작은 노드 디바이스들(예를 들면, 약 20 nm 또는 그보다 작은 노드들)을 정의하기 위해 활용될 수 있다. 패터닝된 마스크 층은, 임의의 적합한 기술, 이를테면 스페이서 마스크 패터닝 기술에 의해 형성될 수 있다.
[0046] 기판 에칭 장치(100)는, 프로세스 챔버(105)를 서비싱하기(service) 위해 제거가능할 수 있는, 본체(106)의 일부를 구성하는 리드(107)를 더 포함한다. UV 광원(101)은, 프로세스 챔버(105)의 벌크 플라즈마 영역 및/또는 기판(102)에 대해 UV 조사를 제공할 수 있다. 예를 들면, 하나 또는 그 초과의 포트들 또는 윈도우들이 리드(107)에 형성될 수 있어서, 프로세스 챔버(105) 내로 UV 광이 전송되게 할 수 있다. UV 광은 다른 위치들에서, 이를테면 프로세스 챔버(105)의 측벽을 통하여 공급될 수 있다.
[0047] 본체(106)는 슬릿 개구(108)를 포함하며, 슬릿 개구는, 기판들(102)이 로봇(미도시)의 엔드 이펙터(109)에 의해 이송 챔버(111)로부터 프로세스 챔버(105) 내로 삽입되어, 에칭 프로세스를 받도록 허용한다. 엔드 이펙터(109)는, 프로세스 챔버에서의 에칭 프로세스를 완료한 이후에, 프로세스 챔버(105)로부터 기판(102)을 제거할 수 있다. 슬릿 개구(108)는, 프로세스 동안 슬릿 밸브 장치(110)에 의해 밀봉될 수 있다. 슬릿 밸브 장치(110)는 개구(108)를 커버하는 슬릿 밸브 도어를 가질 수 있다. 슬릿 밸브(110)는, US 특허 번호 제6,173,938호; 제6,347,918호; 및 제7,007,919호에서 교시된 바와 같은 임의의 적합한 슬릿 밸브 구성을 포함할 수 있다. 몇몇 실시예들에서, 슬릿 밸브(110)는, 예를 들어 L-모션 슬릿 밸브(L-motion slit valve)일 수 있다.
[0048] 기판 에칭 장치(100)는 가스 공급 조립체(112)를 또한 포함하며, 가스 공급 조립체는 프로세스 챔버(105) 내에 프로세스 가스(113)를 제공하도록 구성되고 적응된다. 가스 공급 조립체(112)는, 프로세스 가스 소스(114), 하나 또는 그 초과의 유동 제어 디바이스들, 이를테면 하나 또는 그 초과의 질량 유동 제어기들(116) 및/또는 하나 또는 그 초과의 유동 제어 밸브들(118)을 포함할 수 있다. 프로세스 가스 소스(114)는, 하나 또는 그 초과의 프로세스 가스들을 포함하는 하나 또는 그 초과의 가압된 용기들(pressurized vessels)을 포함할 수 있다.
[0049] 도시된 실시예에서, 본체(106)의 측벽에 형성된 제 1 유입구(122)를 통해서, 제 1 프로세스 가스(113)가 프리-챔버(120) 내에 제공될 수 있다. 복수의 통로들이 내부에 형성된 샤워헤드(124)가 프로세스 챔버(105)와 프리-챔버(120)를 분리시키며, 샤워헤드는 제 1 프로세스 가스(113)가 프로세스 챔버(105) 내로 유동할 때, 제 1 프로세스 가스(113)를 균일하게 분배하는 기능을 한다. 때때로 제 2 가스가 제 2 유입구(123)에서 프로세스 챔버(105) 내로 직접적으로 도입될 수 있다. 제 2 프로세스 가스는, 제 1 가스(113)와 협력작용으로(synergistically) 반응함으로써 프로세스를 보조하거나 향상시키고 그리고 프로세스 챔버(105)를 세정하는 것을 돕도록 기능할 수 있다.
[0050] 제 1 프로세스 가스(113)는, 하나 또는 그 초과의 층들 및/또는 기판(102)을 에칭하기 위해, 플라즈마를 형성하기 적합한 임의의 가스 또는 가스들을 포함할 수 있다. 예를 들면, 몇몇 실시예들에서, 제 1 프로세스 가스 또는 가스들은, 하이드로플루오로카본(CxHyFz), 염소(Cl2) 또는 브롬(Br2)과 같은 할로겐 함유 가스, 산소(O2), 질소 트리플루오라이드(NF3), 황 헥사플루오라이드(SF6), 수소 가스(H2), 등 중 적어도 하나를 포함할 수 있다. 제 1 프로세스 가스는, 예를 들면, 약 10 sccm 내지 약 1,000 sccm과 같은, 임의의 적합한 유량으로 제공될 수 있다.
[0051] 선택적으로, 캐리어 가스가 제 1 프로세스 가스(113)와 제공될 수 있거나 프로세스 가스로서 작용할 수 있다. 캐리어 가스는, 질소(N2), 헬륨(He), 아르곤(Ar), 크세논(Xe), 등과 같은, 하나 또는 그 초과의 불활성 가스들일 수 있다. 몇몇 실시예들에서, 캐리어 가스는 약 10 sccm 내지 약 1000 sccm의 유량으로 제공될 수 있다.
[0052] 도시된 실시예에서, 프리-챔버(120) 내에 RF 전극(126)이 존재하고, RF 전극은 제 1 주파수에서 프리-챔버 내에서 동작가능하며, 프로세싱 챔버(105) 내에 플라즈마를 생성하도록 적응된다. RF 전극(126)은 종래와 같이, 전압 유지(voltage upholding)를 위한 전도성 금속 플레이트 및 세라믹 절연 피스들(ceramic isolation pieces)을 포함할 수 있다. RF 전극(126)은 RF 소스(127)에 전기적으로 커플링되며, RF 소스에 의해 구동된다. RF 소스(127)는 RF 펄스 발생기(128)로부터의 신호들에 응답하여 구동되며, RF 펄스 발생기는 하기에서 더 설명될 것이다.
[0053] 기판 에칭 장치(100)는 또한 페디스털(129)을 포함하며, 페디스털은 프로세스 챔버(105) 내에 위치되며 때때로 기판(102)을 지지하도록 적응된다. 페디스털(129)은 본체(106)에 고정식으로(stationarily) 장착될 수 있다. 페디스털(129)은, 에칭 프로세스를 시작하기 전에, 기판(102)을 가열하도록 히터(130)(도 2b)를 포함할 수 있다. 히터(130)는 저항성 히터와 같은 적합한 히터일 수 있으며, 페디스털(129)을 예를 들면, 약 30℃ 내지 약 250℃, 또는 그 초과의 온도로 가열하도록 동작가능할 수 있다. 다른 온도들이 이용될 수 있다. 프로세싱 동안, 복수의 전도성 핀들(131)(몇 개가 분류됨(labeled))은, 도 1에 도시된 바와 같이, 에칭 프로세스 동안에 프로세스 챔버(105) 내의 정해진(defined) 높이에서, 기판(102)을 들어올리고, 기판과 접촉하고, 기판을 지지하도록 구성되고 적응된다. 복수의 전도성 핀들(131)은 전도성 조립체(132)의 일부분일 수 있으며, 전도성 핀 조립체는 베이스(133)를 포함하고, 베이스로부터 전도성 핀들(131)이 연장한다. 전도성 핀들(131)의 수는 3개 초과일 수 있다. 몇몇 실시예들에서, 전도성 핀들(131)의 수는, 예를 들면 5 또는 그 초과, 또는 심지어 9 또는 그 초과일 수 있다. 더 많거나 더 적은 수들의 전도성 핀들(131)이 이용될 수 있다. 핀들(131)은 전도성 금속, 이를테면 W/Ti 합금으로 제조되었으며, 약 30 mm 내지 약 60 mm의 길이 및 약 5 mm 내지 약 15 mm의 직경을 가질 수 있다. 몇몇 실시예들에서, 기판(102)은 전도성 핀들(131)에 의해, 플라즈마 프로세싱 동안 샤워헤드(124)로부터 약 10 mm 내지 약 50 mm 이내에 배치될 수 있다. 다른 치수들, 간격들 및/또는 전도성 물질들이 이용될 수 있다. 프로세싱 동안 전도성 핀의 전기적 연결은, 펄싱 동안 전하-유도 램프-업/램프-다운(charge-induced ramp-up/ramp-down)을 방지할 수 있다.
[0054] 도 2a 및 2b는, 전도성 핀 조립체(132) 및 전도성 핀 조립체에 대한 전기적 연결들을 도시한다. 베이스(133)에 커플링되는 액츄에이터(134)가, 전도성 핀들(131)을 수직 방향으로 들어 올리거나 내리고, 그에 따라 프로세싱 동안 여러 번 기판(102)을 들어 올리거나 내리도록 작동될 수 있다. 제 1 및 제 2 전기 케이블들(136, 138)이 조립체(132)에 전기적으로 연결된다. 베이스(133)는 전기 전도성 금속, 이를테면 스틸, 구리, 또는 알루미늄일 수 있다. 도시된 실시예에서, 전기 전도성 베이스(133)에 커플링되는 전기 케이블(136)을 통해, DC 바이어스 소스(140)가 복수의 전도성 핀들(131)에 전기적으로 커플링된다. DC 펄스 발생기(142)(도 1)가 DC 바이어스 소스(140)에 펄싱된 구동 신호를 제공하고, 전도성 핀들(131)에 펄스 DC 바이어스가 제공된다. 액츄에이터(134)를 절연시키기 위해, 베이스(133)에 대한 연결부는 절연 커넥터(144)를 포함할 수 있다.
[0055] 페디스털(129)은 금속 탄화물 또는 유리 세라믹과 같은 세라믹 물질을 포함할 수 있으며, 내부에 형성된 복수의 홀들(145)을 갖는다. 전도성 핀들(131)은 홀들(145) 내에 수용되고 홀들을 통과하며, 액츄에이터(134)의 작동에 응답하여 홀들 내에서 왕복한다(reciprocal). 몇몇 실시예들에서, 전도성 핀들(131)은, 예를 들면, 약 10 mm 내지 약 30 mm 만큼 홀들(145)을 통하여 연장할 수 있다. 다른 값들이 이용될 수 있다. 저항성 히터와 같은 히터(130)가 페디스털(129) 아래에 수용될 수 있거나, 그렇지 않으면 페디스털에 열적으로 커플링될 수 있으며, 제 2 케이블(138)에 의해 히터 제어부(148)로부터 공급된 전력에 의해 페디스털(129)을 가열하도록 구성되고 동작가능하다.
[0056] 동작시, 핀들(131)은 먼저, 개구(108)를 통해 삽입되는, 이송 챔버(111)에 하우징된 로봇의 엔드 이펙터(109) 상의 기판(102)을 수용하도록 상승될 수 있다. 슬릿 밸브 장치(110)는 폐쇄될 수 있으며, 핀들(131)은 액츄에이터(134)에 의해 내려져서, 기판(102)을 가열된 페디스털(129)과 밀접한 열 접촉(intimate thermal contact)시킬 수 있다. 진공 펌프와 같은 펌프(149)가, 에칭을 위해 적합한 진공 레벨로 프로세스 챔버(105)를 펌핑다운(pump down)할 수 있다. 몇몇 실시예들에서, 베이스 진공 레벨은 약 1x10-2 mTorr 미만의 압력으로 유지될 수 있는 반면, 프로세싱 압력은 약 서브 10 mTorr 내지 약 서브 Torr(sub 10 mTorr to about sub Torr) 레벨의 범위 내에서 유지될 수 있다. 다른 진공 압력들이 이용될 수 있다.
[0057] 기판(102)이 충분히 가열되고 적합한 챔버 압력이 제공된 후, 액츄에이터(134)는, 전도성 핀들(131)을 상승시켜 기판(102)과 접촉하게 하며, 프로세스 챔버(105) 내의 미리결정된 위치까지 기판(102)을 상승시킬 수 있다. 제 1 프로세스 가스(113)는 프로세스 가스 소스(114)로부터 유입구(122) 내로 유동될 수 있으며, RF 전극(126)에 RF 펄스가 인가된다. 마찬가지로, DC 바이어스 소스(140)로부터 DC 바이어스 펄스가 전도성 핀들(131)에 인가된다. UV광은, UV 광원(101)을 사용하여 프로세스 챔버(105)에 공급될 수 있다.
[0058] 도 3에 나타낸 도시된 실시예에서, 마스터 클록 펄스(350), RF 전극(126)에 인가되는 RF 펄스(352), 및 전도성 핀들(131)에 인가되는 DC 바이어스 펄스(354)의 다양한 펄스 트레이스들(traces)(300)이, 동일한 시간 축에 대해 각각 도시된다. 몇몇 실시예들에서, RF 펄스 발생기(128) 및 DC 펄스 발생기(142)는 마스터 클록(155)에 의해 동기화될 수 있으며, 각각은 전압 신호들이다. 또한, RF 펄스 발생기(128) 및 DC 펄스 발생기(142)는 모두, 마스터 클록(155)에 의해 생성된 마스터 클록 펄스(350)에 대해 설정된(instituted) 시간 지연을 가질 수 있다. RF 지연(358) 및 DC 바이어스 지연(360)(예를 들면, 지연 1 및 지연 2)은 개별적으로 조정가능할 수 있으며, 실험적 에칭 실행들(experimental etching runs)에 기초한 프로세스 제어부(156)에 의해 결정되고 설정될 수 있다. RF 펄스(352) 및 DC 바이어스 펄스(354)의 각각의 주파수는, 예를 들면, 마스터 클록(155)의 주파수를 조정함으로써 조정될 수 있다. 주파수 체배기(frequency multiplier)가 이용될 수 있다. 따라서, 몇몇 실시예들에서, RF 펄스(352)의 주파수는 DC 바이어스 펄스(354)와 (예를 들면, DC 바이어스 펄스 임의의 배수만큼) 상이할 수 있다. 예를 들면, RF 펄스(352)는 몇몇 실시예들에서, DC 바이어스 펄스(354)의 2배로 동작될 수 있다. 다른 배수들이 이용될 수 있다.
[0059] DC 바이어스 펄스(354)는, 예를 들면 약 1 MHz 내지 약 60 MHz의 주파수를 갖는 사각파 펄스들(square wave pulses)을 포함할 수 있다. DC 바이어스 펄스들(354)의 주파수는 몇몇 실시예들에서 변경될 수 있다. DC 바이어스 펄스(354)는, 예를 들면 약 10% 내지 약 90%의 펄싱 듀터 사이클(pulsing duty cycle)을 가질 수 있다. 듀티 사이클은 본원에서, 하나의 전체 기간에 대한 (피크 전력의) 제시간의 비율(fraction of on time)로서 정의된다. DC 바이어스 펄스(354)는, 예를 들면 약 10 W 내지 약 2,000 W의 피크 전력을 가질 수 있다. 몇몇 실시예들에서, DC 바이어스 펄스(354)는 (온 상태에서의) 양의(positive) 전압으로부터 (오프 상태에서의) 음의(negative) 전압으로 펄싱될 수 있다. 다른 실시예들에서, DC 바이어스 펄스(354)는 중첩된 펄스형 전압(superimposed pulsed voltage)을 갖는 양의 전압일 수 있지만, 핀들(131)에 인가된 전압은 온 상태에서 피크 전압 및 오프 상태에서 그보다 낮은 전압을 갖는, 항상 양이다. DC 바이어스 펄스(354)의 피크 진폭은, 임의의 희망 패턴으로 또는 부작위로, 펄스마다 조절될(modulated) 수 있다.
[0060] 인가된 RF 펄스(352)는, 예를 들면 약 2 MHz 내지 약 120 MHz의 주파수를 가질 수 있다. RF 펄스(352)는, 약 100 W 내지 약 3,000 W의 인가된 피크 RF 전력을 가질 수 있다. RF 펄스들(352)의 주파수는 몇몇 실시예들에서 변경될 수 있다. 다른 실시예들에서, RF 펄스들(352)의 주파수 및 DC 바이어스 펄스들(354)의 주파수는 변경된다. 바이어스 지연(360)은, RIE(Reactive Ion Etching) 위상 이후에 남아 있는 임의의 프로세스 잔류물과의 잔류 반응을 허용하도록, RF가 오프 상태로 복귀한 뒤, 각각의 펄스에 대한 시간 기간을 제공하도록 조정될 수 있다. RF 지연(358) 및 바이어스 지연(360)은 마스터 클록의 1% 내지 약 80%로 조정될 수 있다. 다른 지연들이 이용될 수 있다.
[0061] 에칭 프로세스의 제어를 용이하게 하기 위해, 제어기(162)가 다양한 장치 컴포넌트들에 커플링될 수 있다. 제어기(162)는, 다양한 기능들을 제어하기 위해 사용될 수 있는, 범용 컴퓨터 프로세서 또는 마이크로-프로세서의 형태로 제공될 수 있다. 제어기(162)는, 로컬 또는 원격의, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장소와 같은 메모리 및 프로세서를 포함할 수 있다. 다양한 전기 회로들이, 프로세스 제어부(156), 마스터 클록(155), RF 펄스 발생기(128), DC 펄스 발생기(142)뿐만 아니라, RF 소스(127) 및 DC 바이어스 소스(140)를 구현할(embody) 수 있다. 이러한 회로들은, 캐시, 전력 공급부들, 클록 회로들, 증폭기들, 변조기들, 비교기들, 필터들, 신호 발생기들, 입력/출력 회로망 및 서브시스템들, 및/또는 그밖에 유사한 것을 포함할 수 있다. 제어기(162)는 UV 소스(101)의 동작을 또한 제어할 수 있다. 예를 들면, 제어기(162)는, 에칭 프로세스 동안 언제라도(예를 들면, 시작(beginning), 중간(middle) 및/또는 마지막(end)) 프로세스 챔버(105)에 UV 조사를 제공하도록, UV 소스(101)를 지향시킬 수 있다. 몇몇 실시예들에서, 약 150-400 나노미터의 파장, 또는 약 3eV-8eV의 에너지, 및/또는 약 1x1015-1x1018 photons/(cm2-min)의 플럭스 레이트를 갖는 UV 광이 사용될 수 있다. 다른 파장들, 에너지들 및/또는 플럭스 레이트들이 이용될 수 있다.
[0062] 본원에 개시된 본 발명의 방법들은 일반적으로, 프로세서에 의해 실행되는 경우, 본 발명의 실시예들에 따라 프로세스 챔버(105)가 기판(102) 상에 에칭 프로세스를 수행하게 하는 소프트웨어 루틴으로서, 메모리 또는 컴퓨터-판독가능한 매체에 저장될 수 있다.
[0063] 도 4는 기판(예를 들면, 기판(102))을 에칭하도록 적응된 플라즈마 에칭 방법(400)을 도시한다. 플라즈마 에칭 방법(400)은 402에서, 프로세스 챔버(예를 들면, 프로세스 챔버(105)) 내에 기판을 제공하는 단계, 및 404에서 프로세스 챔버에 하나 또는 그 초과의 프로세스 가스들(예를 들면, 프로세스 가스(113))를 제공하는 단계를 포함한다. 이 방법(400)은 406에서, 프로세스 챔버 내의 프로세스 가스(들)을 RF 펄스들(예를 들면, RF 펄스들(352))에 노출시키는 단계, 및 408에서 기판과 전기 전도성 접촉하는 전도성 핀들(예를 들면, 전도성 핀들(131))을 통해, 기판에 DC 바이어스 펄스들(예를 들면, DC 바이어스 펄스들(354))을 제공하는 단계를 더 포함한다. 이 방법(400)은 410에서, 에칭 방법(400)의 적어도 일부 동안, 프로세스 챔버 및/또는 기판에 (예를 들면, UV 소스(101)로부터) UV 광을 제공하는 단계를 더 포함한다. 몇몇 실시예들에서, DC 바이어스, 프로세스 가스(들) 및/또는 UV 광은 주기적으로 그리고/또는 다른 순서들로 제공될 수 있다. UV 광은, 에칭 프로세스를 추진하고 그리고 더 낮은 프로세스 온도들에서 에칭 잔류물 제거를 용이하게 하기 위한 보충 에너지 소스를 제공한다. 더 낮은 에칭 온도의 이용은 또한, 프로파일 고려사항들 및 균일성과 에칭 레이트의 균형을 이룸으로써 에칭 중에 더 많은 제어를 허용하는 반면, UV 보조 잔류물 제거는 더 큰 프로세스 윈도우 및 등방성 반응들에 대한 더 우수한 제어를 허용한다. 몇몇 실시예들에서, 약 150-400 나노미터의 파장, 또는 약 3eV-8eV의 에너지, 및/또는 약 1x1015-1x1018 photons/(cm2-min)의 플럭스 레이트를 갖는 UV 광이 이용될 수 있다. 다른 파장들, 에너지들 및/또는 플럭스 레이트들이 이용될 수 있다.
[0064] 인가된 RF 펄스들(352) 및 DC 바이어스 펄스들(354)로 인하여(from), 프로세스 가스(113)로부터 플라즈마가 형성된다. 일반적으로, 플라즈마를 형성하기 위해, 프로세스 가스(113)는, 플라즈마를 형성하기에(establish) 적합한 조건들 하에서, RF 소스(127)로부터의 RF 전력을 적합한 주파수에서 프로세스 챔버(105) 내의 프로세스 가스(113)에 커플링시킴으로써, 플라즈마로 점화될(ignited) 수 있다. 몇몇 실시예들에서, 플라즈마 전력 소스는 프로세스 챔버(105) 또는 프리-챔버(120) 내에 배치되는 RF 전극(126)을 통해 제공될 수 있다. 선택적으로, RF 전력 소스는 하나 또는 그 초과의 RF 유도 코일들에 의해 제공될 수 있으며, RF 유도 코일들은 본체(106) 내에 또는 본체 주위에 배치되며 RF 전극으로서 작용한다. 다른 실시예에서, RF 소스는, Fu 등의 US 특허 번호 제7,658,802호에서 교시되는 바와 같은 원격 소스일 수 있다. RF 펄스들을 생성하기 위해, 다른 적합한 소스들이 이용될 수 있다.
[0065] 본원에서 설명된 장치 및 방법은, 에칭 프로세스 자체 동안 형성되는 비-휘발성 잔류물들을 제거하는데 특히 효과적이다. 본 발명의 양태에 따르면, DC 전력 댐핑 위치(DC power damping location)는 펄싱 주파수에 의해 제어된다. 낮은 주파수 범위(예를 들면, 이온 전이 시간과 펄싱 주파수 사이의 관계에 따라 < 10 MHz)에서, DC 바이어스 전력은 플라즈마 시스(plasma sheath)에 커플링되며, 이는 이온 부식제 에너지를 증가시킨다. 더 높은 주파수 범위(예를 들면, > 10 MHz)에서, 전력 커플링은, 개선된 플라즈마 밀도 및 전위 제어(potential control)를 위한 벌크 플라즈마에 기여한다. 부식제 에너지는, 듀티 사이클 및 DC 바이어스 전력 입력에 의해 추가로 제어될 수 있다. 따라서, 에칭 레이트 및 트렌치 프로파일 형상이 개선될 수 있다. 희망하지 않는 프로세스들에 대해(versus) 희망하는 표면 반응(에칭)을 분리시키기 위해, 바이어스 진폭 조절이 제공될 수 있다. DC 바이어스 펄스들(354)의 "DC 바이어스-온" 기간들 동안, 반응성 부식제들은 에너지를 얻고, 듀티 사이클 내에서 제어된 에칭을 수행한다. "DC 바이어스-오프" 기간들 동안, 에칭 잔류물 퍼지 및 반응성 부식제 사이클링(reactive etchant cycling)을 위해, 플라즈마가 새로운 평형상태(equilibrium)로 이동된다(transferred). DC 바이어스는, 피크 전력의 약 10% 내지 약 100%로 조절될 수 있다.
[0066] DC 바이어스 펄스들(354)은, 에칭 깊이들, CD/CD 균일성, 및 트렌치 프로파일을 포함하여, 정밀한 규격 제어(specification control) 및 폭넓은 프로세스 윈도우의 요건들을 갖는 유전체 및/또는 전도성 물질들/기판 에칭 프로세스들 모두를 위해 인가될 수 있다. 본 방법 및 장치는 20 nm 기술 노드 및 그 초과에 대해 유용할 수 있다.
[0067] 특히, UV 조사 및/또는 DC 바이어스 펄싱은, 비-휘발성 부산물들이 발생되는(developed) 에칭 프로세스들에 상당히 유리할 수 있다. 예를 들면, 그러한 에칭 프로세스들은, CuX (여기서 X = Cl, Br 등) 및/또는 CuO 잔류물들을 갖는 구리 에칭, TiF, TiOF, TiOx 잔류물들을 갖는 TiN 에칭, SiON 잔류물 또는 산화된 층들을 갖는 SiN 에칭, Ru 에칭 및 관련 잔류물, 등을 포함한다. 비-휘발성 부산물들(잔류물들)은, 본 방법의 실시예들에 의해 그리고 본원에서 설명된 장치(100)를 사용함으로써, 보다 선택적이며 효과적으로 제거될 수 있다.
[0068] 플라즈마 점화(ignition) 및 플라즈마 안정성을 촉진하기 위해, 부가적인 프로세스 파라미터들이 활용될 수 있다. 예를 들면, 몇몇 실시예들에서, 프로세스 챔버(105)는 플라즈마 점화 동안 섭씨 약 60 내지 약 100도의 온도에서 유지될 수 있으며, 본체(106)와 열 접촉하는 히터 엘리먼트들(미도시)에 의해 가열될 수 있다.
[0069] 도 8a 및 8b는, 몇몇 실시예들에 따른 예시적인 토로이드형 플라즈마 챔버들의 단면도들이다. 도 8a는 제 1 토로이드형 플라즈마 챔버(800a)를 도시하며, 제 1 토로이드형 플라즈마 챔버는, 토로이드형 도관(804) 및 이 도관(804) 내에서 플라즈마를 여기시키기 위한 RF 코일 안테나(806)을 갖는 플라즈마 챔버(802) 및 주 챔버 영역(808)을 포함한다. 프로세스 가스들은 도관(804)과 주 챔버 영역(808) 모두에 공급될 수 있으며, 샤워헤드(810)에 의해 주 챔버 영역(808) 내에서 분산될 수 있다. 챔버 영역(808) 내에서, 예를 들면 가열된 페디스털(814) 상에 기판(812)이 지지될 수 있다. RF 코일 안테나(806)는 RF 전력 공급부(816)에 의해 구동될 수 있으며, 페디스털(814)은 RF 전력 공급부(818)를 이용하여 바이어싱될 수 있다. 챔버(802)를 희망 압력으로 진공배기하고 그리고/또는 휘발성 에칭 부산물들을 제거하기 위해, 펌프 시스템(820)이 이용될 수 있다.
[0070] 몇몇 실시예들에서, 에칭 동안 챔버 영역(808) 및/또는 기판(812)에 UV 조사를 제공하기 위해, 하나 또는 그 초과의 UV 광원들(822)이 이용될 수 있다. 도시된 실시예에서, UV 소스(822)는 챔버(802)의 리드 상에(예를 들면, UV 광이 챔버 영역(808)에 들어가도록 허용하는 포트 또는 윈도우(미도시) 위에) 위치된다. 대안적으로 또는 부가적으로, UV 광원은 UV 소스(822)에 의해 가상으로 지시된 바와 같이, 챔버(802)의 하나 또는 그 초과의 측벽들 상에 위치될 수 있다. 임의의 다른 위치가 이용될 수 있다. 도 8b는 (예를 들면, 자기 투과성 코어(824)를 포함하여) 다소 상이한 구성을 갖는 제 2 토로이드형 플라즈마 챔버(800b)를 도시한다. 토로이드형 플라즈마 챔버들은, 이전에 포함된 U.S. 특허 번호 제6,453,842호에서 설명된다.
[0071] UV 광은, 에칭 프로세스들을 추진하고 그리고 더 낮은 프로세스 온도들에서 에칭 잔류물 제거를 용이하게 하기 위해, 보충 에너지 소스를 제공한다. 예를 들면, 플라즈마 에칭 프로세스의 적어도 일부 동안, 기판 및 프로세스 가스 중 적어도 하나가 UV 광에 노출될 수 있다. 더 낮은 에칭 온도의 이용은 또한, 프로파일 고려사항들 및 균일성과 에칭 레이트의 균형을 이룸으로써 에칭 중에 더 많은 제어를 허용하는 반면, UV 보조 잔류물 제거는 더 큰 프로세스 윈도우 및 등방성 반응들에 대한 더 우수한 제어를 허용한다.
[0072] 따라서, 본 발명이 본 발명의 예시적인 실시예들에 관하여 개시되었지만, 다른 실시예들이, 하기의 청구항들에 의해 정의되는 바와 같이, 본 발명의 범위 내에 속할 수 있음이 이해되어야 한다.

Claims (15)

  1. 구리를 에칭하기 위한 플라즈마 에칭 장치로서,
    기판을 수용하도록 적응된 프로세스 챔버를 갖는 챔버 본체;
    RF 전극에 커플링되는 RF 소스;
    상기 프로세스 챔버 내에 위치되며 기판을 지지하도록 적응된 페디스털; 및
    상기 플라즈마 에칭 장치 내에서 수행되는 에칭 프로세스의 적어도 일부 동안, 상기 프로세스 챔버에 UV 광을 전달하도록 구성되는 UV 소스를 포함하는
    구리를 에칭하기 위한 플라즈마 에칭 장치.
  2. 제 1 항에 있어서,
    프로세싱 동안 상기 기판을 지지하고 상기 기판과 접촉하도록 적응되는 복수의 전도성 핀들; 및
    상기 복수의 전도성 핀들에 커플링되는 DC 바이어스 소스를 더 포함하는
    구리를 에칭하기 위한 플라즈마 에칭 장치.
  3. 제 2 항에 있어서,
    상기 복수의 전도성 핀들은 상기 페디스털을 통과하며, 상기 페디스털은 고정식인(stationary)
    구리를 에칭하기 위한 플라즈마 에칭 장치.
  4. 제 2 항에 있어서,
    제어기를 포함하며, 상기 제어기는,
    상기 RF 소스에 커플링되고 RF 펄스를 생성하도록 적응된 RF 펄스 발생기; 및
    상기 DC 바이어스 소스에 커플링되고 DC 바이어스 펄스를 생성하도록 적응된 DC 펄스 발생기를 갖는
    구리를 에칭하기 위한 플라즈마 에칭 장치.
  5. 제 4 항에 있어서,
    상기 RF 펄스 발생기 및 상기 DC 펄스 발생기의 각각은 마스터 클록(master clock)에 의해 동기화되는
    구리를 에칭하기 위한 플라즈마 에칭 장치.
  6. 제 4 항에 있어서,
    상기 RF 펄스 발생기 및 상기 DC 펄스 발생기의 각각은 마스터 클록에 대한 지연을 포함할 수 있는
    구리를 에칭하기 위한 플라즈마 에칭 장치.
  7. 제 4 항에 있어서,
    상기 DC 바이어스 소스는 약 10 W 내지 약 2,000 W의 바이어스 전력을 생성하는
    구리를 에칭하기 위한 플라즈마 에칭 장치.
  8. 구리 플라즈마 에칭 방법으로서,
    프로세스 챔버 내에 기판을 제공하는 단계;
    상기 프로세스 챔버에 프로세스 가스를 제공하는 단계;
    상기 프로세스 챔버 내의 상기 프로세스 가스를 RF 펄스들에 노출시키는 단계;
    상기 프로세스 챔버 내의 상기 기판을 플라즈마 에칭하는 단계; 및
    상기 플라즈마 에칭하는 단계의 적어도 일부 동안, 상기 기판 및 상기 프로세스 가스 중 적어도 하나를 UV 광에 노출시키는 단계를 포함하는
    구리 플라즈마 에칭 방법.
  9. 제 8 항에 있어서,
    상기 기판과 전기 전도성 접촉하는 전도성 핀들을 통해, 상기 기판에 DC 바이어스 펄스들을 제공하는 단계를 더 포함하는
    구리 플라즈마 에칭 방법.
  10. 제 9 항에 있어서,
    상기 DC 바이어스 펄스들의 주파수를 변경하는(varying) 단계를 포함하는
    구리 플라즈마 에칭 방법.
  11. 제 9 항에 있어서,
    상기 RF 펄스들의 주파수 및 상기 DC 바이어스 펄스들의 주파수를 변경하는 단계를 포함하는
    구리 플라즈마 에칭 방법.
  12. 제 9 항에 있어서,
    상기 DC 바이어스 펄스들의 듀티 사이클(duty cycle)을 변경하는 단계를 포함하는
    구리 플라즈마 에칭 방법.
  13. 제 8 항에 있어서,
    상기 기판으로부터 구리 잔류물을 제거하는 단계를 포함하는
    구리 플라즈마 에칭 방법.
  14. 제 9 항에 있어서,
    상기 DC 바이어스 펄스들은 약 10 W 내지 약 2,000 W의 바이어스 전력을 갖는
    구리 플라즈마 에칭 방법.
  15. 구리 플라즈마 에칭 방법으로서,
    프로세스 챔버 내에 기판을 제공하는 단계;
    상기 프로세스 챔버에 프로세스 가스를 제공하는 단계;
    상기 프로세스 챔버 내에 플라즈마를 발생시키기 위해, 상기 프로세스 챔버 내의 상기 프로세스 가스를 RF 에너지에 노출시키는 단계;
    상기 프로세스 챔버 내의 상기 기판을 플라즈마 에칭하는 단계; 및
    상기 플라즈마 에칭하는 단계의 적어도 일부 동안, 상기 기판 및 상기 프로세스 가스 중 적어도 하나를 UV 광에 노출시키는 단계;를 포함하는
    구리 플라즈마 에칭 방법.
KR1020157028681A 2013-03-13 2014-03-09 구리를 위한 uv-보조 반응성 이온 에칭 KR20150128965A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361779296P 2013-03-13 2013-03-13
US61/779,296 2013-03-13
US201361787243P 2013-03-15 2013-03-15
US61/787,243 2013-03-15
PCT/US2014/022209 WO2014159144A1 (en) 2013-03-13 2014-03-09 Uv-assisted reactive ion etch for copper

Publications (1)

Publication Number Publication Date
KR20150128965A true KR20150128965A (ko) 2015-11-18

Family

ID=51522593

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157028681A KR20150128965A (ko) 2013-03-13 2014-03-09 구리를 위한 uv-보조 반응성 이온 에칭

Country Status (5)

Country Link
US (2) US20140262755A1 (ko)
JP (1) JP2016511551A (ko)
KR (1) KR20150128965A (ko)
TW (1) TW201440141A (ko)
WO (1) WO2014159144A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170140074A (ko) * 2016-06-10 2017-12-20 램 리써치 코포레이션 광자-보조된 플라즈마 프로세스를 사용한 라인 에지 거칠기 개선
KR20200008030A (ko) * 2017-06-22 2020-01-22 어플라이드 머티어리얼스, 인코포레이티드 구리 패터닝을 위한 플라즈마 에칭
KR20200025947A (ko) * 2018-08-31 2020-03-10 삼성전자주식회사 반도체 제조 장치
US10910232B2 (en) 2017-09-29 2021-02-02 Samsung Display Co., Ltd. Copper plasma etching method and manufacturing method of display panel

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9396965B2 (en) * 2014-08-05 2016-07-19 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for anisotropic metal etching
US9460961B2 (en) 2014-08-05 2016-10-04 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for anisotropic metal etching
US20170040257A1 (en) 2015-08-04 2017-02-09 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
WO2017075162A1 (en) * 2015-10-27 2017-05-04 Applied Materials, Inc. Methods for reducing copper overhang in a feature of a substrate
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US10438812B2 (en) * 2017-03-30 2019-10-08 Intel Corporation Anisotropic etching systems and methods using a photochemically enhanced etchant
US9991129B1 (en) 2017-05-23 2018-06-05 Applied Materials, Inc. Selective etching of amorphous silicon over epitaxial silicon
CN110637357A (zh) * 2017-06-21 2019-12-31 惠普印迪格公司 真空工作台
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10904996B2 (en) * 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP6913060B2 (ja) * 2018-07-24 2021-08-04 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US20200098595A1 (en) * 2018-09-20 2020-03-26 Nanya Technology Corporation Semiconductor manufacturing apparatus and method for operating the same
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11361947B2 (en) 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11289325B2 (en) * 2020-06-25 2022-03-29 Tokyo Electron Limited Radiation of substrates during processing and systems thereof
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN112760609B (zh) * 2020-12-22 2022-10-21 北京北方华创微电子装备有限公司 磁控溅射设备
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) * 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0149772B1 (ko) * 1995-02-08 1998-12-01 심상철 자외선램프가 장착된 유도결합 플라즈마 구리식각장치
JPH09306877A (ja) * 1996-05-17 1997-11-28 Fujitsu Ltd Cu又はAlCu合金膜のパターニング方法及び反応性イオンエッチング装置
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6569775B1 (en) * 1999-03-30 2003-05-27 Applied Materials, Inc. Method for enhancing plasma processing performance
US7553427B2 (en) * 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US7126808B2 (en) * 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
CN101153396B (zh) * 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
US20080160212A1 (en) * 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US8382999B2 (en) * 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
WO2010147796A2 (en) * 2009-06-15 2010-12-23 Analog Devices, Inc. Selective uv-ozone dry etching of anti-stiction coatings for mems device fabrication
US8908348B2 (en) * 2009-09-01 2014-12-09 Hermes Microvision, Inc. Wafer grounding and biasing method, apparatus, and application
JP5728221B2 (ja) * 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US20120302065A1 (en) * 2011-05-26 2012-11-29 Nanya Technology Corporation Pulse-plasma etching method and pulse-plasma etching apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170140074A (ko) * 2016-06-10 2017-12-20 램 리써치 코포레이션 광자-보조된 플라즈마 프로세스를 사용한 라인 에지 거칠기 개선
KR20200008030A (ko) * 2017-06-22 2020-01-22 어플라이드 머티어리얼스, 인코포레이티드 구리 패터닝을 위한 플라즈마 에칭
US10910232B2 (en) 2017-09-29 2021-02-02 Samsung Display Co., Ltd. Copper plasma etching method and manufacturing method of display panel
KR20200025947A (ko) * 2018-08-31 2020-03-10 삼성전자주식회사 반도체 제조 장치

Also Published As

Publication number Publication date
WO2014159144A1 (en) 2014-10-02
JP2016511551A (ja) 2016-04-14
US20140262755A1 (en) 2014-09-18
TW201440141A (zh) 2014-10-16
US20170011887A1 (en) 2017-01-12

Similar Documents

Publication Publication Date Title
US20170011887A1 (en) Uv-assisted reactive ion etch for copper
US10770346B2 (en) Selective cobalt removal for bottom up gapfill
US10049891B1 (en) Selective in situ cobalt residue removal
US20140273487A1 (en) Pulsed dc plasma etching process and apparatus
KR102527297B1 (ko) 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들
US8268684B2 (en) Method and apparatus for trench and via profile modification
US10854426B2 (en) Metal recess for semiconductor structures
TWI621181B (zh) 用於原位金屬硬遮罩形狀控制之脈衝介電蝕刻程序以致能無空隙金屬化
US8383519B2 (en) Etching method and recording medium
US11189499B2 (en) Atomic layer etch (ALE) of tungsten or other metal layers
TW202040799A (zh) 用於3d nand應用之記憶體單元製造
KR20210110734A (ko) 메모리 애플리케이션들을 위한 수직 트랜지스터 제작
US9978639B2 (en) Methods for reducing copper overhang in a feature of a substrate
US10256112B1 (en) Selective tungsten removal
JP3887123B2 (ja) ドライエッチング方法
US11658042B2 (en) Methods for etching structures and smoothing sidewalls
TWI505360B (zh) 用於氟碳化物膜之金屬碳化物阻障層的形成方法
US20230386830A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features
KR20240111020A (ko) 메모리 애플리케이션들을 위한 수직 트랜지스터 제작

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid