JP2023544472A - 電圧パルスの時間領域多重化 - Google Patents

電圧パルスの時間領域多重化 Download PDF

Info

Publication number
JP2023544472A
JP2023544472A JP2023503504A JP2023503504A JP2023544472A JP 2023544472 A JP2023544472 A JP 2023544472A JP 2023503504 A JP2023503504 A JP 2023503504A JP 2023503504 A JP2023503504 A JP 2023503504A JP 2023544472 A JP2023544472 A JP 2023544472A
Authority
JP
Japan
Prior art keywords
switch
phase
output node
coupled
voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023503504A
Other languages
English (en)
Inventor
カーティク ラーマスワーミ,
ヤン ヤン,
ユエ クオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023544472A publication Critical patent/JP2023544472A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/53Generators characterised by the type of circuit or by the means used for producing pulses by the use of an energy-accumulating element discharged through the load by a switching device controlled by an external signal and not incorporating positive feedback
    • H03K3/57Generators characterised by the type of circuit or by the means used for producing pulses by the use of an energy-accumulating element discharged through the load by a switching device controlled by an external signal and not incorporating positive feedback the switching device being a semiconductor device

Abstract

本明細書で提供される複数の実施形態は、概して、処理チャンバ内で基板をプラズマ処理するための波形の生成用の装置、プラズマ処理システム、及び方法を含む。一実施形態は、電圧源回路、電圧源回路と波形生成器の第1の出力ノードとの間に結合された第1のスイッチであって、第1の出力ノードはチャンバに結合されるように構成されている、第1のスイッチ、及び第1の出力ノードと電気接地ノードとの間に結合された第2のスイッチを有する、波形生成器を含む。波形生成器はまた、電圧源回路と波形生成器の第2の出力ノードとの間に結合された第3のスイッチであって、第2の出力ノードはチャンバに結合されるように構成されている、第3のスイッチ、及び第2の出力ノードと電気接地ノードとの間に結合された第4のスイッチも含む。【選択図】なし

Description

[0001] 本開示の実施形態は、広くは、半導体デバイス製造に使用されるシステムに関する。特に、本開示の実施形態は、基板を処理するために使用されるプラズマ処理システムに関する。
[0002] 高いアスペクト比のフィーチャを確実に生成することは、次世代の半導体デバイスにとって重要な技術課題の1つである。高いアスペクト比のフィーチャを形成する1つの方法は、基板表面上に形成されたパターニング済みマスク層内に形成された開口部を通して、基板の表面上に形成された材料に衝突させるために、プラズマ支援エッチングプロセスを使用する。
[0003] 技術ノードが2nmに向けて進歩するにつれて、より高いアスペクト比を有するより小さいフィーチャの製造は、プラズマ処理のための原子精度を必要とする。半導体機器産業における信頼性と再現性の高いデバイス形成プロセスの開発のために、プラズマイオンが主要な役割を果たすエッチングプロセスでは、イオンエネルギー制御が常に困難である。典型的なプラズマ支援エッチングプロセスでは、処理チャンバ内に配置された静電チャック(ESC)上に基板が配置され、基板の上にプラズマが生成され、イオンが、プラズマからプラズマシース(すなわち、プラズマと基板の表面との間に生成された電子が枯渇した領域)を横切って基板に向けて加速される。正弦波のRF波形を使用してプラズマを励起し、プラズマシースを生成する従来のRF基板バイアス法では、これらのより小さなデバイスフィーチャサイズを望ましく生成することができなかった。最近、処理チャンバ内の1以上の電極への高電圧パルスの供給が、基板の表面の上に生成されるプラズマシースを望ましく制御するのに有用であり得ることが見出された。しかし、中~高周波数の高電圧パルスを生成することは困難である。そのようなパルスは、高電圧パルスを生成するために使用されるスイッチング構成要素の加熱のために、標準的な電気構成要素を使用して生成することが、特に困難な場合がある。
[0004] したがって、当技術分野では、基板上での所望なプラズマ支援プロセスの完了を可能にするパルス電圧源及びバイアス方法が必要とされている。
[0005] 本明細書で提供される複数の実施形態は、広くは、処理チャンバ内で基板をプラズマ処理するための波形を生成するための装置、プラズマ処理システム、及び方法を含む。
[0006] 本開示の一実施形態は、プラズマ処理用の波形生成器を対象とする。該波形生成器は、概して、電圧源回路、電圧源回路と波形生成器の第1の出力ノードとの間に結合された第1のスイッチであって、第1の出力ノードは、チャンバに結合されるように構成されている、第1のスイッチ、第1の出力ノードと電気接地ノードとの間に結合された第2のスイッチ、電圧源回路と波形生成器の第2の出力ノードとの間に結合された第3のスイッチであって、第2の出力ノードは、チャンバに結合されるように構成されている、第3のスイッチ、及び、第2の出力ノードと電気接地ノードとの間に結合された第4のスイッチを含む。
[0007] 本開示の一実施形態は、波形生成用の方法を対象とする。該方法は、概して、第1のスイッチを介して、電圧源回路を波形生成器の第1の出力ノードに結合することであって、第1の出力ノードはチャンバに結合されている、第1の出力ノードに結合すること、第2のスイッチを介して、第1の出力ノードを電気接地ノードに結合すること、第3のスイッチを介して、電圧源回路を波形生成器の第2の出力ノードに結合することであって、第2の出力ノードはチャンバに結合されている、第2の出力ノードに結合すること、及び、第4のスイッチを介して、第2の出力ノードを電気接地ノードに結合することを含む。
[0008] 本開示の一実施形態は、波形生成用の装置を対象とする。該装置は、概して、電圧源回路、電圧源回路に結合された1以上のスイッチ、及び1以上のスイッチを制御するように構成されたコントローラを含む。1以上のスイッチは、電圧源回路と波形生成器の第1の出力ノードとの間に結合された第1のスイッチであって、第1の出力ノードは、チャンバに結合されるように構成されている、第1のスイッチ、第1の出力ノードと電気接地ノードとの間に結合された第2のスイッチ、電圧源回路と波形生成器の第2の出力ノードとの間に結合された第3のスイッチであって、第2の出力ノードは、チャンバに結合されるように構成されている、第3のスイッチ、及び、第2の出力ノードと電気接地ノードとの間に結合された第4のスイッチを含む。
[0009] 本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより具体的な説明を、実施形態を参照することによって行うことができ、その幾つかを添付の図面に示す。しかし、添付図面は例示的な実施形態を示しているに過ぎず、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容され得ることに留意されたい。
[0010] 本明細書で説明される方法を実施するように構成された、1以上の実施形態による処理システムの概略断面図である。 [0011] 処理チャンバの電極に印加される電圧波形によって基板上に確立される電圧波形を示す。 [0012] 処理チャンバの電極に印加される電圧波形によって基板上に確立される電圧波形へのプラズマ密度の増加の影響を示す。 [0013] 図2Bの電圧波形に関連して示されるプラズマ密度の増加の影響に関連付けられたイオンエネルギー分布(IED)への影響を示す。 [0014] 本開示の特定の複数の実施形態による、電圧パルスの時間多重化を使用して生成された例示的な波形を示す。 [0015] 本開示の特定の複数の実施形態による、例示的な波形生成器を示す。 [0016] 図4Aの波形生成器のスイッチの状態を示すタイミング図である。 [0017] 本開示の特定の複数の実施形態による、図3で示されている波形に関連付けられたIEDを示す。 [0018] 波形生成用の方法を示すプロセスフロー図である。
[0019] 本開示の幾つかの実施形態は、広くは、プラズマ処理中にイオンエネルギー分布(IED)を制御するための波形を生成する技法を対象とする。例えば、パルス電圧波形(pulsed voltage waveform)は、異なるソース(例えば、スイッチ)からの電圧パルスを時間多重化することによって生成されてよく、プラズマ処理用のプラズマ処理チャンバ内の1以上の電極に印加されてよい。幾つかの実施形態では、パルス電圧波形が、複数のスイッチペアを使用して生成されてよく、単一のスイッチペアを使用する従来の実施態様と比較して、パルス電圧波形がより高い周波数を有することを可能にする。現在の従来の高電圧スイッチング構成要素に見られる物理的且つ実際的なデバイスの制限により、これらの従来の高電圧スイッチのスイッチング速度は、使用中に構成要素内で生成する熱のために、「実用的な最大スイッチング速度」に制限される。典型的なプラズマ処理プロセス中に、高電圧スイッチによって生成される高電圧パルス電圧波形は、典型的には、約200ボルトを超える、例えば、約500ボルトを超える、若しくは約800ボルトを超える、若しくは約1000ボルトを超える、又は約5000ボルトでさえ超える電圧レベルにおいて、スイッチ包含デバイスの寿命にわたりスイッチが繰り返し実行することを必要とする。一実施例では、金属酸化膜半導体電界効果トランジスタ(MOSFET)などの従来のスイッチの実用的な最大スイッチング速度は、典型的には、約800ボルトを超えるスイッチング電圧で約2.5マイクロ秒(μs)に制限される。しかし、より高い周波数の電圧波形を使用すると、プラズマ処理中に使用される電圧パルスのイオン電流段階中の電圧ドループ(voltage droop)を減らすことができる。その結果、より狭いIEDを実現することができ、本明細書でより詳細に説明されるように、プラズマ処理の精度を高めることが容易になる。本明細書で使用されるときに、電圧ドループは、概して、電圧パルスの負電圧含有部分中に電極において確立される電圧の増加を指す。
プラズマ処理システムの複数の実施例
[0020] 図1は、本明細書で説明される複数のプラズマ処理方法のうちの1以上を実施するように構成された、処理システム10の概略断面図である。幾つか実施形態では、処理システム10が、反応性イオンエッチング(RIE)プラズマ処理などのプラズマ支援エッチングプロセス用に構成されている。しかし、本明細書で説明される複数の実施形態はまた、プラズマ堆積プロセス、例えば、プラズマ化学気相堆積(PECVD)プロセス、プラズマ物理的気相堆積(PEPVD)プロセス、プラズマ原子層堆積(PEALD)プロセス、プラズマ処理プロセス、又はプラズマベースのイオン注入プロセス(例えば、プラズマドーピング(PLAD)プロセス)などの、他のプラズマ支援プロセスで使用されるように構成された処理システムと共に使用されてよいことに留意されたい。
[0021] 図示されているように、処理システム10は、容量結合プラズマ(CCP)を形成するように構成されている。その場合、処理チャンバ100が、処理空間129内に配置された上側電極(例えば、チャンバリッド123)を含む。上側電極は、これもまた処理空間129内に配置された下側電極(例えば、基板支持アセンブリ136)と対向する。典型的な容量結合プラズマ(CCP)処理システムでは、高周波(RF)源(例えば、RF生成器118)が、上側電極又は下側電極のうちの一方に電気的に結合され、プラズマ(例えば、プラズマ101)を点火して維持するように構成されたRF信号を供給する。この構成では、プラズマが、上側電極と下側電極の各々に容量結合され、それらの間の処理領域内に配置される。典型的には、上側電極又は下側電極のうちの他方が、接地又は第2のRF電源に結合される。一実施形態では、支持ベース107などの基板支持アセンブリ136の1以上の構成要素が、プラズマ生成器アセンブリ163に電気的に結合される。プラズマ生成器アセンブリ163は、RF生成器118を含み、チャンバリッド123は、接地に電気的に結合されている。図示されているように処理システム10は、処理チャンバ100、支持アセンブリ136、及びシステムコントローラ126を含む。
[0022] 処理チャンバ100は、典型的には、チャンバ本体113を含む。チャンバ本体113は、チャンバリッド123、1以上の側壁122、及びチャンバベース124を含む。それらは、集合的に処理空間129を画定する。1以上の側壁122及びチャンバベース124は、概して、処理チャンバ100の要素用の構造的支持を形成するようにサイズ決定され成形された材料であって、それらに印加される圧力及び更なるエネルギーに耐えるように構成された材料を含む。一方で、プラズマ101は、処理中に処理チャンバ100の処理空間129内で維持される減圧環境内で生成される。一実施例では、1以上の側壁122及びチャンバベース124が、アルミニウム、アルミニウム合金、又はステンレス鋼合金などの、金属から形成される。
[0023] チャンバリッド123を貫通して配置されたガス入口128は、処理空間129に流体連通した処理ガス源119から、1種類以上の処理ガスを処理空間129に供給するために使用される。基板103は、1以上の側壁122のうちの1つ内の開口部(図示せず)を通して、処理空間129の中に装填され、処理空間129から取り出される。該開口部は、基板103のプラズマ処理中にスリットバルブ(図示せず)によって密封される。
[0024] システムコントローラ126がまた、本明細書で処理チャンバコントローラとも呼ばれ、中央処理装置(CPU)133、メモリ134、及びサポート回路135を含む。システムコントローラ126は、基板103を処理するために使用されるプロセスシーケンス(本明細書で説明される基板バイアス方法を含む)を制御するために使用される。CPU133は、処理チャンバ及び処理チャンバと関連するサブプロセッサを制御するための、産業設定で使用されるように構成された汎用コンピュータプロセッサである。本明細書で説明されるメモリ134は、一般に不揮発性メモリであり、ランダムアクセスメモリ、リードオンリーメモリ、フロッピー若しくはハードディスクドライブ、又は他の適切な形態のデジタルストレージ(ローカル若しくはリモート)を含んでよい。サポート回路135は、従来からCPU133に結合されており、キャッシュ、クロック回路、入/出力サブシステム、電源など、及びこれらの組み合わせを備える。ソフトウェア指示命令(プログラム)及びデータが、CPU133内のプロセッサに指示命令するために符号化され、メモリ134内に記憶され得る。システムコントローラ126内のCPU133によって読み取り可能なソフトウェアプログラム(又はコンピュータ指示命令)は、どの作業が処理システム10内の構成要素によって実行可能であるかを特定する。
[0025] 典型的には、プログラムが、システムコントローラ126内のCPU133によって読み取り可能であり、コードを含む。該コードは、プロセッサ(CPU133)によって実行されると、本明細書で説明されるプラズマ処理スキームに関連する作業を実行する。該プログラムは、指示命令を含んでよい。該指示命令は、処理システム10内の様々なハードウェア及び電気部品を制御するために使用される。それによって、本明細書で説明される方法を実施するために使用される、様々なプロセス作業及び様々なプロセスシーケンスを実行する。一実施形態では、プログラムが、図6に関連して以下で説明される動作のうちの1以上を実行するために使用される指示命令を含む。
[0026] 処理システムは、プラズマ生成器アセンブリ163、第1のパルス電圧(PV)波形をバイアス電極104において確立するための第1のPV源アセンブリ196、及び第2のPV波形をエッジ制御電極115において確立するための第2のPV源アセンブリ197を含んでよい。第1のPV波形又は第2のPV波形は、図3、図4A、及び図4Bに関連して本明細書でより詳細に説明されるように、波形生成器を使用して生成されてよい。幾つかの実施形態では、プラズマ生成器アセンブリ163が、支持ベース107(例えば、電極又はカソード)にRF信号を供給する。支持ベース107は、基板支持アセンブリ136とチャンバリッド123との間に配置された処理領域内でプラズマ101を生成する(維持する及び/又は点火する)ために使用されてよい。幾つかの実施形態では、RF生成器118が、1MHz以上、又は約2MHz以上、例えば約13.56MHz以上などの、周波数を有するRF信号を供給するように構成される。
[0027] 上述されたように、幾つかの実施形態では、プラズマ生成器アセンブリ163が、RF生成器118及びRF生成器アセンブリ160を含み、概して、システムコントローラ126から提供される制御信号に基いて、所望な量の連続波(CW)又はパルスRF電力を、所望の実質的に一定な正弦波形の周波数で、基板支持アセンブリ136の支持ベース107に供給するように構成されている。処理中に、プラズマ生成器アセンブリ163は、基板支持体105に近接して且つ基板支持アセンブリ136内に配置された支持ベース107に、RF電力(例えば、RF信号)を供給するように構成されている。支持ベース107に供給されるRF電力は、処理空間129内に配置された処理ガスの処理プラズマ101を点火し維持するように構成される。
[0028] 幾つかの実施形態では、支持ベース107が、両方ともRF生成器アセンブリ160内に配置されている、RF整合回路162と第1のフィルタアセンブリ161を介して、RF生成器118に電気的に結合されたRF電極である。第1のフィルタアセンブリ161は、PV波形生成器150の出力によって生成された電流が、RF電力供給ライン167を通って流れ、RF生成器118に損傷を与えることを実質的に防止するように構成された1以上の電気素子を含む。第1のフィルタアセンブリ161は、PV波形生成器150内のPVパルス生成器P1から生成されるPV信号に対して高インピーダンス(例えば、高Z)として作用し、したがって、RF整合回路162及びRF生成器118への電流の流れを阻止する。
[0029] 幾つかの実施形態では、RF生成器アセンブリ160及びRF生成器118が、処理空間129内に配置された処理ガス、及び、RF生成器118によって支持ベース107に供給されたRF電力(RF信号)によって生成された電場を使用して、処理プラズマ101を点火し維持するために使用される。処理空間129は、減圧出口120を介して1以上の専用減圧ポンプに流体結合されている。1以上の専用減圧ポンプは、処理空間129を準大気圧状態に維持し、処理空間129から処理ガス及び/又は他のガスを排気する。幾つかの実施形態では、処理空間129内に配置された基板支持アセンブリ136が、接地され且つチャンバベース124を貫通して延在する支持シャフト138上に配置される。しかし、幾つかの実施形態では、RF生成器アセンブリ160が、支持ベース107に対して基板支持体105内に配置されたバイアス電極104にRF電力を供給するように構成される。
[0030] 短く上述されたように、基板支持アセンブリ136は、概して、基板支持体105(例えば、ESC基板支持体)及び支持ベース107を含む。幾つかの実施形態では、基板支持アセンブリ136が、以下で更に説明されるように、絶縁体プレート111及び接地プレート112を更に含み得る。支持ベース107は、絶縁体プレート111によってチャンバベース124から電気的に絶縁され、接地プレート112は、絶縁体プレート111とチャンバベース124との間に挿入されている。基板支持体105は、支持ベース107と熱的に結合され、支持ベース107上に配置されている。幾つかの実施形態では、支持ベース107が、基板処理中に、基板支持体105及び基板支持体105上に配置された基板103の温度を調節するように構成されている。
[0031] 典型的には、基板支持体105が、誘電材料(例えば、耐食性金属酸化物材料又は金属窒化物材料などのバルク焼結セラミック材料)で形成され、これは、例えば、、酸化アルミニウム(Al2O3)、窒化アルミニウム(AlN)、酸化チタン(TiO)、窒化チタン(TiN)、酸化イットリウム(Y2O3)、これらの混合物、又はこれらの組み合わせである。本明細書の複数の実施形態では、基板支持体105が、その誘電材料内に埋め込まれたバイアス電極104を更に含む。幾つかの実施形態では、バイアス電極104の上の処理領域内でプラズマ101を維持するために使用されるRF電力の1以上の特性が、バイアス電極104において確立されたRF波形を測定することによって特定及び/又はモニタされる。
[0032] ある構成では、バイアス電極104が、基板103を基板支持体105の基板支持面105Aに固定(すなわち、チャック)するため、及び、本明細書で説明されるパルス電圧バイアススキームのうちの1以上を使用して、基板103を処理プラズマ101に対してバイアスするために使用される、チャッキングポールである。典型的には、バイアス電極104が、1以上の金属メッシュ、箔、プレート、又はこれらの組み合わせなどの、1以上の導電性部品で形成される。
[0033] 幾つかの実施形態では、バイアス電極104が、クランピングネットワーク116と電気的に結合される。クランピングネットワーク116は、同軸電力供給ライン106(例えば、同軸ケーブル)などの電気導体を使用して、約-5000Vと約5000Vとの間の静的DC電圧などのチャッキング電圧を、バイアス電極104に提供する。以下で更に説明されるように、クランピングネットワーク116は、バイアス補償回路要素116A、DC電源155、及び本明細書でブロッキングキャパシタC5とも呼ばれるバイアス補償モジュールブロッキングキャパシタを含む。ブロッキングキャパシタC5は、パルス電圧(PV)波形生成器150の出力とバイアス電極104との間に配置されている。
[0034] 基板支持アセンブリ136は、エッジ制御電極115を更に含んでよい。エッジ制御電極115は、エッジリング114の下方に配置され、バイアス電極104を取り囲み、及び/又は、バイアス電極104の中心から距離を置いて配置される。一般に、回路基板を処理するように構成された処理チャンバ100では、エッジ制御電極115が、環形状であり、導電性材料から作製され、バイアス電極104の少なくとも一部分を取り囲むように構成されている。図1で示されているような幾つか実施形態では、エッジ制御電極115が、基板支持体105の領域内に配置される。幾つかの実施形態では、図1で示されているように、エッジ制御電極115が、基板支持体105の基板支持面105Aから、バイアス電極104と同様な距離(すなわち、Z方向)に配置された、導電性メッシュ、箔、及び/又はプレートを含む。幾つかの他の実施形態では、エッジ制御電極115が、石英管110の領域上に又は石英管110の領域内に配置された、導電性メッシュ、箔、及び/又はプレートを含む。石英管110は、バイアス電極104及び/又は基板支持体105の少なくとも一部分を取り囲む代替的に、幾つかの他の実施形態(図示せず)では、エッジ制御電極115が、基板支持体105上に隣接して配置されるエッジリング114内に配置されるか、又はそれと結合される。この構成では、エッジリング114が、半導体又は誘電材料(例えば、AlNなど)から形成される。
[0035] エッジ制御電極115は、バイアス電極104をバイアスするために使用されるPV波形生成器150とは異なるPV波形生成器を使用してバイアスをかけることができる。幾つかの実施形態では、エッジ制御電極115が、PV波形生成器150を使用してバイアスをかけられ得る。PV波形生成器150は、電力の一部をエッジ制御電極115に分割することによって、バイアス電極104をバイアスするためにも使用される。ある構成では、第1のPV源アセンブリ196の第1のPV波形生成器150が、バイアス電極104をバイアスするように構成され、第2のPV源アセンブリ197の第2のPV波形生成器150は、エッジ制御電極115をバイアスするように構成される。
[0036] 電力供給ライン157は、第1のPV源アセンブリ196のPV波形生成器150の出力を、任意選択的なフィルタアセンブリ151及びバイアス電極104と電気的に接続する。以下の説明では主に、PV波形生成器150をバイアス電極104に結合するために使用される第1のPV源アセンブリ196の電力供給ライン157について説明するが、PV波形生成器150をエッジ制御電極115に結合する第2のPV源アセンブリ197の電圧供給ライン158は、同じ又は同様な構成要素を含むことになる。電圧供給ライン157の様々な部分内の(1以上の)電気導体は、以下のものを含む。すなわち、(a)剛性同軸ケーブルと直列に接続された可撓性同軸ケーブルなどの同軸ケーブルの1つ又は組み合わせ、(b)絶縁された高電圧コロナ抵抗性回路用電線、(c)裸線、(d)金属ロッド、(e)電気コネクタ、又は(f)(a)~(e)の電気素子の任意の組み合わせである。任意選択的なフィルタアセンブリ151は、RF生成器118の出力によって生成された電流が、電力供給ライン157を通って流れ、PV波形生成器150に損傷を与えることを実質的に防止するように構成された1以上の電気素子を含む。任意選択的なフィルタアセンブリ151は、RF生成器118によって生成されたRF信号に対する高インビーダンス(例えば、高Z)として作用し、したがって、PV波形生成器150への電流の流れを阻止する。
[0037] 第2のPV源アセンブリ197は、クランピングネットワーク116を含む。それによって、エッジ制御電極115に印加されるバイアスは、第1のPV源アセンブリ196内に結合されたクランピングネットワーク116によってバイアス電極104に印加されるバイアスと同様に構成され得る。同様に構成されたPV波形及びクランピング電圧をバイアス電極104及びエッジ制御電極115に印加することは、処理中の基板の表面にわたるプラズマの均一性を改善するのに役立ち、したがって、プラズマ処理プロセスの結果を改善することができる。
[0038] 幾つかの実施形態では、処理チャンバ100が、石英管110又はカラーを更に含む。それらは、基板支持体105及び/又は支持ベース107が、腐食性の処理ガス若しくはプラズマ、洗浄ガス若しくはプラズマ、又はこれらの副生成物と接触することを防止するために、基板支持アセンブリ136の部分と少なくとも部分的に外接する。典型的には、石英管110、絶縁体プレート111、及び接地プレート112が、ライナ108によって外接される。幾つかの実施形態では、プラズマスクリーン109が、カソードライナ108と側壁122との間に配置されて、ライナ108と1以上の側壁122との間のプラズマスクリーン109の下方の空間内にプラズマが生成するのを防止する。
[0039] 図2Aは、処理チャンバ(例えば、処理チャンバ100)内の基板において確立される例示的な電圧波形200を示している。この実施例では、波形200が、第1のPV源アセンブリ196のPV波形生成器150による波形の印加により生成される。波形200は、図示されているように、イオン電流段階及びシース崩壊段階を含む。イオン電流段階の開始時に、立ち下がりエッジ204によって生成される基板電圧の降下は、基板の上方に生成する高電圧シースを生成し、正イオンを基板に加速する。イオン電流段階中に基板の表面に衝突する正イオンは、基板表面上に正電荷を堆積させ、これが補償されていない場合、図示されているように、イオン電流段階中に、基板電圧を正方向に徐々に増加させる(すなわち、電圧波形200のフェーズ205中の正の勾配)。しかし、基板表面上の正電荷の制御されない蓄積は、望ましくないことにシース及びチャックキャパシタを徐々に放電し、シース電圧降下をゆっくり減少させ、基板電位をゼロに近づける。正電荷の蓄積は、基板において確立された電圧波形内に電圧ドループ(すなわち、フェーズ205中の正の勾配)をもたらす。
[0040] イオン電流フェーズの開始と終了との間の電圧差は、イオンエネルギー分布関数(IEDF)幅を決定する。図2Bは、波形生成器150などのPV源の使用によって基板上に確立された電圧波形へのプラズマ密度の増加の影響を示している。図示されているように、1以上のプラズマ処理パラメータ(例えば、プラズマ生成器アセンブリ163によって印加されるRF電力)の変化によるプラズマ処理中のプラズマ密度の増加(すなわち、矢印は方向を強調している)は、イオン電流段階の開始から終了までの電圧差を増加させ、したがって、電圧ドループを望ましくないように増加させる傾向がある。電圧差が大きいほど、IEDF幅は広くなる。例えば、図2Cで示されているように、イオンエネルギー分布(IED)201は、基板の電圧上昇を補償することがない場合、イオン電流変動の増加と共に広がる。したがって、本明細書で開示される高周波電圧波形技法を使用することにより、プラズマ処理中にプラズマ密度を増加させることによって生成される電圧波形内の電圧ドループを低減させることができ、その結果、IEDが狭くなり、基板上のプラズマ処理結果の精度が向上する。
[0041] リアクタ内で、プラズマは、基板(又は周辺コイル)の上の誘導結合源によって生成されてよい。コイルは、セラミックリッド(減圧境界)の上に配置されてよい。或いは、容量結合プラズマリアクタの場合は、静電チャック又は上部電極のいずれかにRF電力を印加することによる。説明されたように、波形生成器(例えば、第1のPV電源アセンブリ196の波形生成器150)は、図2Aで示されているように、負電圧からゼロより大きい正電圧に遷移する両極性の短く狭い正パルスを出力する。正の電圧パルスの持続時間は制御され、負の電圧ベースラインに戻る。電圧は負に留まり、TONとラベル付けされた期間の終わりに正パルスに戻る。正パルスへの遷移は、電圧波形200の立ち上がりエッジ202部分の間に完了する。パルスの正の区間の持続時間は変えることができ、幾つかの実施形態では、波形周期(Tp)の5%から15%の間など、波形周期(Tp)の1%から20%の間である。一実施例では、波形周期が約5μsであってよく、波形200の周波数が約200kHzであってよい。別の一実施例では、波形周期が約2.5μsであってよく、波形200の周波数が約400kHzであってよい。
[0042] 電圧波形の一部分の間に、パルスステップの立ち上がりエッジ202により、プラズマバルク電子が基板表面に引き寄せられるが、これらの電子は、等量の正電荷が電極(例えば、電極104)上に存在するため、負のDCシース電位を確立することはできない。基板と、電極と基板支持面105Aとの間に配置された誘電体とが、キャパシタを形成し、これは実効キャパシタンスCescを有し、電極上の等量の正電荷が、基板表面上に配置された電子によって生成された電場を打ち消すことを可能にする。パルスステップの立ち下がりエッジ204では、電極上の正電荷が波形生成器からの電子によって中和され、したがって、負のDC電圧が基板表面上に確立される。生成されたDC電圧が一定に保たれる場合、単一エネルギーのイオン衝突が実現される。負のDC電圧(Vdc)は、以下の式に従って、立ち下がりエッジの大きさ(ΔV)及びCescとシース容量Csheathの比率を使用して概算できる。すなわち、
Vdc = ΔV * Cesc/(Cesc + Csheath
波形生成用の生成技法
[0043] 半導体デバイスのフィーチャが縮小するにつれて、プラズマエッチング及びプラズマ堆積技法などの今日の基板処理技法において原子精度がしばしば必要とされる。プラズマイオンが主要な役割を果たすエッチングプロセスでは、多くの場合、正確なイオンエネルギー制御が必要になる。従来の高周波(RF)バイアスアプローチでは、プラズマを励起し、イオンを加速するために、正弦波を使用する。従来のRF技法によるイオンエネルギー分布(IED)は、典型的には、バイモーダル形状である。近年、単一エネルギーピークを持つIEDを生成するために、パルス化技法も研究されている。
[0044] 幾つかの実施態様では、システムの複雑さ及び機器コストに関連する問題により、電圧波形のイオン電流段階中に正のイオン電流が補償されず、したがって、基板上の負電圧は時間とともに減衰する(例えば、負がより小さくなる)。換言すれば、波形200に関して説明されたように、補償なしでは、基板電圧がイオン電流段階中に上昇し、それは本明細書では電圧ドループとも呼ばれる。この傾向は、プラズマ密度の増加(例えば、イオン電流の増加)に伴って悪化し、図2Cで示されているように、IEDがより低いエネルギー領域に向かって拡大するか又は広がることをもたらす。
[0045] 場合によっては、電極(例えば、電極104)に結合された電流源又は複数の電圧源を使用することによって、イオン電流段階の一部分の間(例えば、少なくともフェーズ205の間)のこの電圧上昇を補償するために、ランプ電圧が生成される。ただし、より高い電圧(例えば5kVを超える)では、この電圧上昇を補償するために、ランプを使用してカスタム調整された波形を生成することは困難である。
[0046] 幾つかの実施形態では、高周波電圧波形を使用して、イオン電流段階中に生成されるこの電圧上昇(すなわち、ドループ)の影響を軽減することができる。今日市場で入手可能な高電圧スイッチの現状では、これらの従来の構成要素に見られる物理的及び実際的なデバイスの制限により、400kHzを超えるパルス繰り返し周波数で高周波電圧パルス列を生成することは問題がある。したがって、短く上述されたように、これらの従来の高電圧スイッチのスイッチング速度は、使用中に生成される熱により中~高周波数でこれらの構成要素に生じる損傷のために、実用的な最大スイッチング速度に制限される。本開示の特定の複数の実施形態では、電圧パルスの時間領域多重化を使用して、複雑な負荷(例えば、静電チャック及びプラズマ101(図1))に提供される波形を生成する。それによって、その負荷は、より高い周波数のパルスを受け取り、基板表面における電圧ドループの影響を軽減する。例えば、約400kHzの周波数の正の電圧パルスを使用して、基板上に負の直流(DC)バイアスを周期的に確立することができ、、それによって、単一のエネルギーピークを有するIEDを取得する。言い換えれば、第1の電圧波形パルスのイオン電流段階中に基板表面の電圧が特定の閾値まで上昇する時までに、第2の電圧波形パルス(例えば、異なるソースまたはスイッチから)を印加することができ、本明細書でより詳細に説明されるように、実際、次のパルスサイクル中に基板表面の電圧をリセットする。
[0047] 図3は、本開示の特定の複数の実施形態による、波形300を使用するパルス電圧バイアススキームを示している。図示されているように、第1のパルス電圧サイクル320と第2のパルス電圧サイクル322は時間多重化されてよい。静電チャック及びプラズマ101にとって、電圧パルスの周波数は、第1のパルス電圧サイクル320と第2のパルス電圧サイクル322の交互配置により増加したように見える。第1のPV源から生成される第1の電圧サイクルのイオン電流段階中に基板電圧がドループし始めると、第2のPV源によって第2のパルスが生成され、印加され、基板上のDC電圧バイアス(負)を回復し、ドループによってもたらされる下降イオンエネルギーを回復させる。
[0048] 換言すれば、波形300は、図3で示されているように、第1のパルス電圧サイクル320中に正電圧パルス302及び負電圧パルス304を含んでよく、その後に、第2のパルス電圧サイクル322中に別の正電圧パルス306及び別の負電圧パルス308を含んでよい。幾つかの実施形態では、正電圧パルス302及び負電圧パルス304は、スイッチペア(例えば、図4AのS1及びS3)を使用して生成されてよく、別の正電圧パルス306及び別の負電圧パルス308は、別のスイッチペア(例えば、図4AのS2及びS4)を使用して生成されてよい。異なるスイッチペアを使用すると、正パルスと負パルスの生成に単一のスイッチペアのみを使用する従来の実装と比較して、より高い周波数の波形が可能になる。換言すれば、各スイッチは、金属酸化膜半導体電界効果トランジスタ(MOSFET)などの1以上のトランジスタを使用して実装されてよい。加熱に起因して、各MOSFETにはスイッチング周波数の制限(例えば、実用的な最大スイッチング速度)があり、単一のスイッチペアが高周波(例えば、400kHz)でパルスを生成することを妨げる。スイッチがスイッチのスイッチング周波数制限よりも高い周波数で動作している場合、高スイッチング電圧の存在によりスイッチ内で生成される熱に起因してスイッチの抵抗が増加することによって、スイッチの両端間の電圧降下が増加することがある。例えば、スイッチの両端間の電圧降下は、スイッチング周波数とスイッチの種類によっては、10%以上増加する場合がある。更に、複数のスイッチを直列に結合すると、スイッチの両端間の電圧降下が蓄積される。言い換えると、3つのスイッチが直列に結合されている場合(例えば、スイッチS1、S2、S3、又はS4を実装するため)、各スイッチの電圧降下が10%増加すると、スイッチの全電圧降下は、30%まで増加する可能性がある。また、同じスイッチ入力電圧で、スイッチのスイッチング周波数制限時と、スイッチが最初に使用される時と、におけるスイッチ両端間の電圧降下を比較すると、10%以上のスイッチの両端間の電圧降下は、スイッチ内の構成要素に対する恒久的な損傷(例えば、経時的に増加したスイッチング抵抗)、及び/又は通常のスイッチよりも著しく短くなった寿命につながり得ると、考えられている。
[0049] 図4Aは、本開示の特定の複数の実施形態による、第1及び第2のパルス電圧サイクル320、322中にパルスを生成するための波形生成器400を示している。波形生成器400は、PV波形生成器150の一部であってよい。図4Bは、波形生成器400のスイッチの状態を示しているタイミング図401である。
[0050] 図示されているように、スイッチS1は、あるフェーズ中に閉じられてよく、波形生成器の出力ノード402を電圧源回路410の電圧源V1に結合し、正電圧パルス302を生成する。次いで、スイッチS1が開かれ、スイッチS3が第2のフェーズ中に閉じられ、出力ノード402を接地する。出力ノード402を接地すると、負電圧パルス304が生じる。換言すれば、正電圧パルス302中に、出力ノード402と基板との間の静電容量が充電される。正電圧パルス302の後で、出力ノード402は電気接地ノードに結合される。出力ノード402と基板との間の静電容量の両端間の電圧は瞬時に変化することができないので、基板電圧は、スイッチS3の閉鎖に応じて負電圧に低下する。
[0051] スイッチS3が開かれた後で、スイッチS2が第3のフェーズ中に閉じられ、電圧源回路410の電圧源V2を出力ノード404に結合し、正電圧パルス306を実際に実施する。次いで、スイッチS2が開かれ、スイッチS4が第4のフェーズ中に閉じられ、出力ノード404を電気接地ノードに結合して、負電圧パルス308を実施する。タイミング図401によって示されているように、第1、第2、第3、及び第4のフェーズは非重複フェーズである。図示されているように、フェーズは繰り返されてよく、個々のスイッチの実用的な最大スイッチング速度よりも高い周波数で供給される連続時間多重化パルス波形を生成する。一実施例では、各スイッチの実用的な最大スイッチング速度制限が、各スイッチのスイッチング周波数を400kHzの周波数に制限する場合、同じスイッチを含む2つの電圧源構成は、400kHzよりも高く且つ800kHz以下の周波数を有する時間多重化パルス列を供給し得る。非限定的な一実施例では、波形生成器400が800kHzのパルス列を生成するように求められる場合、スイッチの組み合わせS1/S3とS2/S4はそれぞれ別個に使用されて、第1及び第2のパルス電圧サイクル320、322を提供する。それらは、各々、1.25μsの長さの周期(Tp)を持つが、2.5μsの間隔で時間的にずらして、所望のパルス列を生成する。
[0052] 幾つかの実施形態では、出力ノード402、404は、チャンバ内の同じノードに結合されてよい。例えば、出力ノード402、404は、電極104に結合されてよい。幾つかの実施形態では、出力ノード402、404は、チャンバ内の異なるノードに結合されてよい。例えば、出力ノード402は電極104に結合されてよく、出力ノード404は電極115に結合されてよい。
[0053] 幾つかの実施形態では、波形生成器400の第1の電圧源回路410が、第1のPV源アセンブリ196のPV波形生成器150(図1)の一部を形成する。別の一実施形態では、波形生成器400の第1の電圧源回路410が、第1のPV源アセンブリ196のPV波形生成器150の一部を形成し、波形生成器400の第2の電圧源回路410が、第2のPV源アセンブリ197のPV波形生成器150の一部を形成する。更に別の一実施形態では、波形生成器400の第1の電圧源回路410が、第1のPV源アセンブリ196のPV波形生成器150の一部を形成し、波形生成器400の第2の電圧源回路410が、第2のPV源アセンブリ197のPV波形生成器150の一部を形成し、及び/又は、波形生成器400の第3の電圧源回路410が、リッド123に結合された第3のPV源アセンブリ198のPV波形生成器150の一部を形成する。これらの構成のいずれにおいても、適切な場合には、第1の電圧源回路410の出力ノード402、404が電極104に結合され、第2の電圧源回路410の出力ノード402、404が電極115に結合され、及び/又は、第3の電圧源回路410の出力ノード402、404がリッド123に結合される。
[0054] 幾つかの実施形態では、電圧源回路410が、スイッチS1に結合された第1の電圧源V1、及びスイッチS2に結合された第2の電圧源V2を含んでよい。第1及び第2の電圧源の各々は、電源によって特定の電圧に充電される容量素子を使用して実装されてよい。幾つかの実施形態では、各電圧源回路410の第1及び第2の電圧源V1又はV2が、異なる電圧又は同じ電圧を提供してよい。
[0055] 本明細書で提供される複数の実施例は、理解を容易にするために2つのスイッチペアを説明したが、本開示の複数の実施形態は、3つ以上のスイッチペアで実装されてもよい。例えば、第1のスイッチペア(例えば、S1及びS3)並びに第2のスイッチペア(例えば、S2及びS4)に加えて、第3のスイッチペアが、正及び負のパルスを生成するために実装されてよく、動作のより高い周波数を可能にする。換言すれば、スイッチS2及びスイッチS4を使用して正電圧パルス306及び負電圧パルス308を生成した後で、スイッチS1及びスイッチS3を使用して正電圧パルス302及び負電圧パルス304を再び生成する前に、第3のスイッチペアを使用して、別の正パルス及び別の負パルスを生成することができる。したがって、幾つかの実施形態では、個々のスイッチの実用的な最大スイッチング速度よりも高い周波数を有するパルス列を形成するために、複数のスイッチペアが連続的且つ繰り返しサイクルで利用される。それによって、パルス列の最大周波数は、複数のスイッチの各スイッチ又は少なくとも最も遅いスイッチの最大周波数のN倍に等しい。ここで、Nは、複数のスイッチペアのうちのスイッチペアの数に等しい。生成されたパルス列の異なるフェーズ中に各スイッチペアを動作させることによって、プラズマ処理用の高周波波形の実施が可能になる。より高い周波数の波形を使用すると、電圧ドループ(例えば、イオン電流段階中の電圧の増加)を減らすことができ、プラズマ処理の精度が向上する。
[0056] 図5は、本開示の特定の複数の実施形態による、波形300に関連付けられたイオンエネルギー分布(IED)を示している。図示されているように、より高い周波数(例えば、400kHz)で波形を生成するときに、より低い周波数の波形(例えば、200kHzの周波数を有する図2Aの波形200)と比較して、より狭いIEDが得られてよい。より狭いIEDは、例えば、典型的なエッチングプラズマプロセス中に、より高いフィーチャ形成精度を促進する。
[0057] 図6は、波形生成用の方法600を示すプロセスフロー図である。方法600は、波形生成器400などの波形生成器及びシステムコントローラ126などのシステムコントローラを含む波形生成システムによって実行されてよい。
[0058] 方法600は、動作602で、波形生成システムが、第1のスイッチ(例えば、スイッチS1)を介して、電圧源回路(例えば、電圧源回路410)を波形生成器(例えば、波形生成器400)の第1の出力ノード(例えば、出力ノード402)に結合することから開始する。第1の出力ノードは、チャンバ(例えば、チャンバ100)に結合されている。動作604で、波形生成システムは、第2のスイッチ(例えば、スイッチS3)を介して、第1の出力ノードを電気接地ノードに結合する。動作606で、波形生成システムは、第3のスイッチ(例えば、スイッチS2)を介して、電圧回路を波形生成器の第2の出力ノード(例えば、出力ノード404)に結合する。第2の出力ノードは、チャンバに結合されている。動作608で、波形生成システムは、第4のスイッチ(例えば、スイッチS4)を介して、第2の出力ノードを電気接地ノードに結合する。幾つかの実施形態では、動作602、604、606、608を繰り返して、プラズマ処理用の波形を生成することができる。
[0059] 幾つかの実施形態では、第1のパルス電圧波形(例えば、正電圧パルス302及び負電圧パルス304を含む)が、第1のスイッチ及び第2のスイッチを介した結合によってチャンバにおいて生成される。更に、第2のパルス電圧波形(例えば、正電圧パルス306及び負電圧パルス308を含む)は、第3のスイッチおよび第4のスイッチを介した結合によってチャンバにおいて生成される。第1のパルス電圧波形は、第2のパルス電圧波形から(例えば、180°だけ)位相シフトされてよい。
[0060] 幾つかの実施形態では、第1のスイッチ、第2のスイッチ、第3のスイッチ、及び第4のスイッチを介した結合が、非重複フェーズ中である。例えば、電圧源回路は、非重複フェーズの第1のフェーズ中に第1の出力ノードに結合され、第1の出力ノードは、非重複フェーズの第2のフェーズ中に電気接地ノードに結合され、第2のフェーズは第1のフェーズの後にある。更に、電圧源回路は、非重複フェーズの第3のフェーズ中に第2の出力ノードに結合され、第3のフェーズは第2のフェーズの後にあり、第2の出力ノードは、非重複フェーズの第4のフェーズ中に電気接地ノードに結合され、第4のフェーズは第3のフェーズの後にある。
[0061] 幾つかの実施形態では、電圧源回路が、第1のスイッチに結合された第1の電圧源(例えば、電圧源V1)、及び第2のスイッチに結合された第2の電圧源(例えば、電圧源V2)を含む。第1の電圧源は第1の容量素子を含んでよく、第2の電圧源は第2の容量素子を含んでよい。第1のスイッチ、第2のスイッチ、第3のスイッチ、及び第4のスイッチの各々は、1以上のトランジスタ(例えば、MOSFET)を含んでよい。
[0062] 幾つかの実施形態では、波形生成システムが、電圧源回路を第1の出力ノードに結合することによって、チャンバ内のノード(例えば、基板)において第1の正電圧パルス(例えば、正電圧パルス302)を生成してよく、第1の出力ノードを電気接地ノードに結合することによって、チャンバ内のノードにおいて第1の負電圧パルス(例えば、負電圧パルス304)を生成してよい。更に、波形生成システムは、電圧源回路を第2の出力ノードに結合することによって、チャンバ内のノードにおいて第2の正の電圧パルス(例えば、正の電圧パルス306)を生成してよく、第2の出力ノードを電気接地ノードに結合することによって、チャンバ内のノードにおいて第2の負電圧パルス(例えば、負電圧パルス308)を生成してよい。
[0063] 「結合された」という用語は、本明細書では、2つの物体間の直接的又は間接的な結合を指すために使用される。例えば、物体Aが物体Bと物理的に接触し、物体Bが物体Cと物理的に接触している場合、物体AとCとが直接的に物理的に接触していなくても、物体AとCとは、互いに結合されたと見なされてよい。例えば、第1の物体が第2の物体と直接的に物理的に接触していなくても、第1の物体は第2の物体と結合されてよい。
[0064] 以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱せずに本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって規定される。

Claims (26)

  1. プラズマ処理用の波形生成器であって、
    電圧源回路、
    前記電圧源回路と前記波形生成器の第1の出力ノードとの間に結合された第1のスイッチであって、前記第1の出力ノードは、チャンバに結合されるように構成されている、第1のスイッチ、
    前記第1の出力ノードと電気接地ノードとの間に結合された第2のスイッチ、
    前記電圧源回路と前記波形生成器の第2の出力ノードとの間に結合された第3のスイッチであって、前記第2の出力ノードは、前記チャンバに結合されるように構成されている、第3のスイッチ、及び
    前記第2の出力ノードと前記電気接地ノードとの間に結合された第4のスイッチを備える、波形生成器。
  2. 前記第1のスイッチ及び前記第2のスイッチは、前記チャンバに提供される第1のパルス電圧波形を生成するように構成され、
    前記第3のスイッチ及び前記第4のスイッチは、前記チャンバに提供される第2のパルス電圧波形を生成するように構成され、前記第1のパルス電圧波形は、前記第2のパルス電圧波形から位相シフトされている、請求項1に記載の波形生成器。
  3. 前記第1のスイッチ、前記第2のスイッチ、前記第3のスイッチ、及び前記第4のスイッチは、非重複フェーズ中に閉じられるように構成されている、請求項1に記載の波形生成器。
  4. 前記第1のスイッチは、前記非重複フェーズの第1のフェーズ中に閉じられるように構成され、
    前記第2のスイッチは、前記非重複フェーズの第2のフェーズ中に閉じられるように構成され、前記第2のフェーズは前記第1のフェーズの後にあり、
    前記第3のスイッチは、前記非重複フェーズの第3のフェーズ中に閉じられるように構成され、前記第3のフェーズは前記第2のフェーズの後にあり、
    前記第4のスイッチは、前記非重複フェーズの第4のフェーズ中に閉じられるように構成され、前記第4のフェーズは前記第3のフェーズの後にある、請求項3に記載の波形生成器。
  5. 前記電圧源回路は、前記第1のスイッチに結合された第1の電圧源、及び前記第3のスイッチに結合された第2の電圧源を備える、請求項1に記載の波形生成器。
  6. 前記第1の電圧源は第1の容量素子を備え、前記第2の電圧源は第2の容量素子を備える、請求項5に記載の波形生成器。
  7. 前記第1のスイッチ、前記第2のスイッチ、前記第3のスイッチ、及び前記第4のスイッチの各々は、1以上のトランジスタを備える、請求項1に記載の波形生成器。
  8. 前記第1の出力ノードは、前記第2の出力ノードに結合されている、請求項1に記載の波形生成器。
  9. 前記第1の出力ノード及び前記第2の出力ノードは、前記チャンバ内に配置された基板支持体の基板支持面の下方に配置された電極に結合されている、請求項8に記載の波形生成器。
  10. 前記第1のスイッチ、前記第2のスイッチ、前記第3のスイッチ、又は前記第4のスイッチのうちの少なくとも1つが、スイッチング周波数制限を有し、前記波形生成器は、前記電極において、前記スイッチング周波数制限を超える周波数を有する波形を生成するように構成されている、請求項9に記載の波形生成器。
  11. 波形生成用の方法であって、
    第1のスイッチを介して、電圧源回路を波形生成器の第1の出力ノードに結合することであって、前記第1の出力ノードはチャンバに結合されている、第1の出力ノードに結合すること、
    第2のスイッチを介して、前記第1の出力ノードを電気接地ノードに結合すること、
    第3のスイッチを介して、前記電圧源回路を前記波形生成器の第2の出力ノードに結合することであって、前記第2の出力ノードは前記チャンバに結合されている、第2の出力ノードに結合すること、及び
    第4のスイッチを介して、前記第2の出力ノードを前記電気接地ノードに結合することを含む、方法。
  12. 第1のパルス電圧波形が、前記第1のスイッチ及び前記第2のスイッチを介した前記結合によって前記チャンバにおいて生成され、
    第2のパルス電圧波形が、前記第3のスイッチ及び前記第4のスイッチを介した前記結合によって前記チャンバにおいて生成され、前記第1のパルス電圧波形は、前記第2のパルス電圧波形から位相シフトされている、請求項11に記載の方法。
  13. 前記第1のスイッチ、前記第2のスイッチ、前記第3のスイッチ、及び前記第4のスイッチを介した前記結合は、非重複フェーズ中である、請求項11に記載の方法。
  14. 前記電圧源回路は、前記非重複フェーズの第1のフェーズ中に前記第1の出力ノードに結合され、
    前記第1の出力ノードは、前記非重複フェーズの第2のフェーズ中に前記電気接地ノードに結合され、前記第2のフェーズは前記第1のフェーズの後にあり、
    前記電圧源回路は、前記非重複フェーズの第3のフェーズ中に前記第2の出力ノードに結合され、前記第3のフェーズは前記第2のフェーズの後にあり、
    前記第2の出力ノードは、前記非重複フェーズの第4のフェーズ中に前記電気接地ノードに結合され、前記第4のフェーズは前記第3のフェーズの後にある、請求項13に記載の方法。
  15. 前記電圧源回路は、前記第1のスイッチに結合された第1の電圧源、及び前記第3のスイッチに結合された第2の電圧源を備える、請求項11に記載の方法。
  16. 前記第1の電圧源は第1の容量素子を備え、前記第2の電圧源は第2の容量素子を備える、請求項15に記載の方法。
  17. 前記第1のスイッチ、前記第2のスイッチ、前記第3のスイッチ、及び前記第4のスイッチの各々は、1以上のトランジスタを備える、請求項11に記載の方法。
  18. 前記電圧源回路を前記第1の出力ノードに結合することによって、前記チャンバ内のノードにおいて第1の正電圧パルスを生成すること、
    前記第1の出力ノードを前記電気接地ノードに結合することによって、前記チャンバ内の前記ノードにおいて第1の負電圧パルスを生成すること、
    前記電圧源回路を前記第2の出力ノードに結合することによって、前記チャンバ内の前記ノードにおいて第2の正電圧パルスを生成すること、及び
    前記第2の出力ノードを前記電気接地ノードに結合することによって、前記チャンバ内の前記ノードにおいて第2の負電圧パルスを生成することを更に含む、請求項11に記載の方法。
  19. 前記第1の出力ノード及び前記第2の出力ノードは、前記チャンバ内に配置された基板支持体の基板支持面の下方に配置された電極に結合されている、請求項11に記載の方法。
  20. 前記第1のスイッチ、前記第2のスイッチ、前記第3のスイッチ、又は前記第4のスイッチのうちの少なくとも1つが、スイッチング周波数制限を有し、前記方法は、前記電極において、前記スイッチング周波数制限を超える周波数を有する波形を生成することを更に含む、請求項19に記載の方法。
  21. 波形生成用の装置であって、
    電圧源回路、
    前記電圧源回路に結合された1以上のスイッチ、並びに
    前記1以上のスイッチを制御するように構成されたコントローラを備え、前記1以上のスイッチは、
    前記電圧源回路と波形生成器の第1の出力ノードとの間に結合された第1のスイッチであって、前記第1の出力ノードは、チャンバに結合されるように構成されている、第1のスイッチ、
    前記第1の出力ノードと電気接地ノードとの間に結合された第2のスイッチ、
    前記電圧源回路と前記波形生成器の第2の出力ノードとの間に結合された第3のスイッチであって、前記第2の出力ノードは、前記チャンバに結合されるように構成されている、第3のスイッチ、及び
    前記第2の出力ノードと前記電気接地ノードとの間に結合された第4のスイッチを含む、装置。
  22. 前記コントローラは、前記第1のスイッチ、前記第2のスイッチ、前記第3のスイッチ、及び前記第4のスイッチを、非重複フェーズ中に閉じるように構成されている、請求項21に記載の装置。
  23. 前記コントローラは、
    前記第1のスイッチを、前記非重複フェーズの第1のフェーズ中に閉じること、
    前記第2のスイッチを、前記非重複フェーズの第2のフェーズ中に閉じることであって、前記第2のフェーズは前記第1のフェーズの後にある、第2のフェーズ中に閉じること、
    前記第3のスイッチを、前記非重複フェーズの第3のフェーズ中に閉じることであって、前記第3のフェーズは前記第2のフェーズの後にある、第3のフェーズ中に閉じること、及び
    前記第4のスイッチを、前記非重複フェーズの第4のフェーズ中に閉じることであって、前記第4のフェーズは前記第3のフェーズの後にある、第4のフェーズ中に閉じること、を実行するように構成されている、請求項22に記載の装置。
  24. 前記電圧源回路は、前記第1のスイッチに結合された第1の電圧源、及び前記第3のスイッチに結合された第2の電圧源を備える、請求項21に記載の装置。
  25. 前記第1の出力ノード及び前記第2の出力ノードは、前記チャンバ内に配置された基板支持体の基板支持面の下方に配置された電極に結合されている、請求項21に記載の装置。
  26. 前記第1のスイッチ、前記第2のスイッチ、前記第3のスイッチ、又は前記第4のスイッチのうちの少なくとも1つが、スイッチング周波数制限を有し、前記装置は、前記電極において、前記スイッチング周波数制限を超える周波数を有する波形を生成するように構成されている、請求項25に記載の装置。
JP2023503504A 2021-08-24 2022-08-13 電圧パルスの時間領域多重化 Pending JP2023544472A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/410,803 US11476090B1 (en) 2021-08-24 2021-08-24 Voltage pulse time-domain multiplexing
US17/410,803 2021-08-24
PCT/US2022/040270 WO2023027907A1 (en) 2021-08-24 2022-08-13 Voltage pulse time-domain multiplexing

Publications (1)

Publication Number Publication Date
JP2023544472A true JP2023544472A (ja) 2023-10-24

Family

ID=83603837

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023503504A Pending JP2023544472A (ja) 2021-08-24 2022-08-13 電圧パルスの時間領域多重化

Country Status (6)

Country Link
US (2) US11476090B1 (ja)
JP (1) JP2023544472A (ja)
KR (1) KR20230031311A (ja)
CN (1) CN116018665A (ja)
TW (1) TW202312678A (ja)
WO (1) WO2023027907A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7345382B2 (ja) * 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
CN114826891A (zh) * 2019-05-20 2022-07-29 华为技术有限公司 Tsn中控制器间通信的方法、装置及系统
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (569)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ja) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
US6392187B1 (en) 1997-10-15 2002-05-21 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
KR100443471B1 (ko) 1998-09-18 2004-08-11 동경 엘렉트론 주식회사 플라즈마 처리 방법
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
JP4672941B2 (ja) 1999-07-13 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマを発生させるための高周波電源
EP1282909A1 (en) 1999-08-02 2003-02-12 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
KR100750420B1 (ko) 1999-08-17 2007-08-21 동경 엘렉트론 주식회사 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
JPWO2002059954A1 (ja) 2001-01-25 2004-10-14 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DK1253216T3 (da) 2001-04-27 2004-03-22 Europ Economic Community Fremgangsmåde og apparat til sekventiel plasmabehandling
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
ATE557418T1 (de) 2001-10-31 2012-05-15 Tokyo Electron Ltd Verfahren zum ätzen von merkmalen mit hohem streckungsverhältnis
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
DE112004002262T5 (de) 2003-11-28 2006-10-26 Advantest Corp. Digitale QP Detektionsvorrichtung, Spektrumanalysator aufweisend dieselbe und ein Verfahren zur digitalen QP Detektierung
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
WO2005091418A2 (en) * 2004-03-23 2005-09-29 Amminex A/S Use of an ammonia storage device in production of energy
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
WO2006036846A1 (en) 2004-09-24 2006-04-06 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
US7821767B2 (en) 2004-11-04 2010-10-26 Ulvac, Inc. Electrostatic chuck device
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
WO2006120809A1 (ja) 2005-05-13 2006-11-16 Matsushita Electric Industrial Co., Ltd. 誘電体バリア放電ランプ点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
EP1982400A4 (en) 2006-01-23 2014-08-13 Audera Internat Sales Inc POWER SUPPLY FOR LIMITED POWER SOURCES AND AUDIOVER AMPLIFIERS WITH A POWER SUPPLY
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
EP1926122B1 (de) 2006-11-23 2009-11-11 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
JP5259618B2 (ja) 2006-12-12 2013-08-07 オーツェー・エリコン・バルザース・アーゲー 高出力インパルス・マグネトロン・スパッタリング(hipims)におけるパルシング及びアーク抑制
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
CN102654481A (zh) 2007-11-26 2012-09-05 东京毅力科创株式会社 微细结构体检测装置以及微细结构体检测方法
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) * 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
EP2416629B1 (en) 2009-08-07 2021-04-21 Kyosan Electric Mfg. Co. Ltd Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US9313872B2 (en) 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
EP2544616B1 (en) 2010-03-11 2017-09-06 Medtronic Advanced Energy LLC Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP3178489B1 (en) 2010-08-31 2019-11-27 Theraclone Science, Int. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
TWI478234B (zh) 2011-03-04 2015-03-21 Tokyo Electron Ltd 氮化矽膜之蝕刻方法
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TWI659674B (zh) 2011-10-05 2019-05-11 應用材料股份有限公司 電漿處理設備及蓋組件
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
CN104067375B (zh) 2012-02-01 2016-05-11 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
KR102034556B1 (ko) 2012-02-09 2019-10-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR102038649B1 (ko) 2012-02-20 2019-10-30 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
US9644221B2 (en) 2012-03-30 2017-05-09 Toray Industries, Inc. Method of producing chemical by continuous fermentation and continuous fermentation apparatus
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
RU2015108957A (ru) 2012-08-15 2016-10-10 Локхид Мартин Эдванст Энерджи Сторидж, Ллс Гексацианиды железа с высокой растворимостью
JP6377060B2 (ja) 2012-08-28 2018-08-22 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 広ダイナミックレンジイオンエネルギーバイアス制御、高速イオンエネルギー切り替え、イオンエネルギー制御およびパルスバイアス供給部、および仮想フロントパネル
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9396960B2 (en) 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
US20160004475A1 (en) 2013-02-28 2016-01-07 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
US9443700B2 (en) * 2013-03-12 2016-09-13 Applied Materials, Inc. Electron beam plasma source with segmented suppression electrode for uniform plasma generation
CN105122431A (zh) 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
KR20150128965A (ko) * 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9711335B2 (en) 2013-07-17 2017-07-18 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (DMS) processes
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10978955B2 (en) * 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11171568B2 (en) 2017-02-07 2021-11-09 Eagle Harbor Technologies, Inc. Transformer resonant converter
WO2015073921A1 (en) 2013-11-14 2015-05-21 Eagle Harbor Technologies, Inc. This disclosure relates generally to a high voltage nanosecond pulser.
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9336997B2 (en) * 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
US9741544B2 (en) 2014-03-24 2017-08-22 Advanced Energy Industries, Inc. System and method for control of high efficiency generator source impedance
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
KR101758087B1 (ko) 2014-07-23 2017-07-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
US10672616B2 (en) 2014-12-25 2020-06-02 Tokyo Electon Limited Plasma processing apparatus and plasma processing method
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US10340879B2 (en) * 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US11017983B2 (en) * 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US9729122B2 (en) * 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US10431424B2 (en) * 2015-02-18 2019-10-01 Reno Technologies Inc. Parasitic capacitance compensation circuit
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US10679823B2 (en) * 2015-02-18 2020-06-09 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US9614524B1 (en) * 2015-11-28 2017-04-04 Applied Materials, Inc. Automatic impedance tuning with RF dual level pulsing
CN108701532B (zh) 2015-11-30 2022-10-28 鹰港科技有限公司 高压变压器
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
JP2019504481A (ja) 2015-12-07 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャックを使用した基板の固定と開放のための方法及び装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
KR102304823B1 (ko) 2016-03-31 2021-09-23 도쿄엘렉트론가부시키가이샤 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11430635B2 (en) * 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) * 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
KR102476353B1 (ko) * 2016-07-26 2022-12-09 삼성전자주식회사 반도체 설비의 설정 파형 발생기, 플라즈마 처리 장치, 플라즈마 처리 장치의 제어 방법 및 반도체 장치의 제조 방법
KR102489215B1 (ko) 2016-09-06 2023-01-16 도쿄엘렉트론가부시키가이샤 유사 원자층 에칭 방법
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3563646A4 (en) 2016-12-30 2020-01-22 Eagle Harbor Technologies, Inc. INDUCTIVE HIGH VOLTAGE ADDER
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
AU2018218280A1 (en) * 2017-02-07 2019-08-29 The Regents Of The University Of California Gene therapy for haploinsufficiency
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
SG11201908533PA (en) 2017-03-17 2019-10-30 Tokyo Electron Ltd Surface modification control for etch metric enhancement
EP3586441B1 (en) 2017-03-31 2020-10-21 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
JP7261179B2 (ja) 2017-05-30 2023-04-19 タイタン・アドバンスト・エナジー・ソリューションズ・インコーポレイテッド バッテリの寿命評価および容量の回復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
WO2019010312A1 (en) * 2017-07-07 2019-01-10 Advanced Energy Industries, Inc. INTER-PERIODIC CONTROL SYSTEM FOR PLASMA POWER SUPPLY SYSTEM AND METHOD OF OPERATION
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI782072B (zh) 2017-08-17 2022-11-01 日商東京威力科創股份有限公司 工業製造設備中特性的即時感測裝置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2019040949A1 (en) 2017-08-25 2019-02-28 Eagle Harbor Technologies, Inc. ARBITRARY WAVEFORM GENERATION USING NANO-SECOND PULSES
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
WO2019067451A1 (en) 2017-09-26 2019-04-04 Advanced Energy Industries, Inc. SYSTEM AND METHOD FOR IGNITION OF PLASMA
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
WO2019088204A1 (ja) 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
WO2019099102A1 (en) 2017-11-16 2019-05-23 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
EP3711081A4 (en) 2017-11-17 2021-09-29 AES Global Holdings, Pte. Ltd. SPATIAL AND TIME CONTROL OF IONIC POLARIZATION VOLTAGE FOR PLASMA TREATMENT
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
EP3762711A4 (en) 2018-03-08 2021-11-17 Eagle Harbor Technologies, Inc. PRECISION EDDY CURRENT SENSOR FOR NON-DESTRUCTIVE STRUCTURAL EVALUATION
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US10553400B2 (en) * 2018-03-30 2020-02-04 Applied Materials, Inc. Methods and apparatus for frequency generator and match network communication
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
CN117612918A (zh) 2018-05-03 2024-02-27 应用材料公司 用于基座的rf接地配置
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
JP7357191B2 (ja) 2018-06-18 2023-10-06 東京エレクトロン株式会社 製造装置における特性の低干渉でのリアルタイム感知
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
TW202403083A (zh) * 2018-06-19 2024-01-16 美商應用材料股份有限公司 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) * 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
EP3834285A4 (en) * 2018-08-10 2022-07-20 Eagle Harbor Technologies, Inc. PLASMA JACKET CONTROL FOR RF PLASMA REACTORS
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US10854427B2 (en) * 2018-08-30 2020-12-01 Applied Materials, Inc. Radio frequency (RF) pulsing impedance tuning with multiplier mode
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
WO2020101734A1 (en) 2018-11-14 2020-05-22 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
US10796887B2 (en) 2019-01-08 2020-10-06 Eagle Harbor Technologies, Inc. Efficient nanosecond pulser with source and sink capability for plasma control applications
WO2020154310A1 (en) * 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
US11177115B2 (en) * 2019-06-03 2021-11-16 Applied Materials, Inc. Dual-level pulse tuning
JP7405875B2 (ja) 2019-07-02 2023-12-26 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサのrf絶縁
WO2021011450A1 (en) 2019-07-12 2021-01-21 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
US11894220B2 (en) * 2019-07-17 2024-02-06 Applied Materials, Inc. Method and apparatus for controlling a processing reactor
TW202123303A (zh) * 2019-08-19 2021-06-16 美商應用材料股份有限公司 用於在多個頻率下控制rf參數的方法及裝置
JP2022550053A (ja) 2019-09-25 2022-11-30 イーグル ハーバー テクノロジーズ,インク. エネルギー回収を伴う非線形伝送線路高電圧パルスシャープニング
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
JP7285377B2 (ja) 2019-12-24 2023-06-01 イーグル ハーバー テクノロジーズ,インク. プラズマシステム用ナノ秒パルサrf絶縁

Also Published As

Publication number Publication date
US11476090B1 (en) 2022-10-18
US20230067046A1 (en) 2023-03-02
TW202312678A (zh) 2023-03-16
KR20230031311A (ko) 2023-03-07
CN116018665A (zh) 2023-04-25
WO2023027907A1 (en) 2023-03-02

Similar Documents

Publication Publication Date Title
US11476090B1 (en) Voltage pulse time-domain multiplexing
US11462389B2 (en) Pulsed-voltage hardware assembly for use in a plasma processing system
US11569066B2 (en) Pulsed voltage source for plasma processing applications
KR20240015721A (ko) 플라즈마 프로세싱 챔버에서 피처 대전을 감소시키기 위한 방법 및 장치
US11776788B2 (en) Pulsed voltage boost for substrate processing
US11967483B2 (en) Plasma excitation with ion energy control
US20230071168A1 (en) Method and apparatus for digital control of ion energy distribution in a plasma
US11972924B2 (en) Pulsed voltage source for plasma processing applications
US20240145215A1 (en) Pulsed voltage plasma processing apparatus and method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230314

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240227