TW202312678A - 電壓脈衝的時域多工 - Google Patents

電壓脈衝的時域多工 Download PDF

Info

Publication number
TW202312678A
TW202312678A TW111130885A TW111130885A TW202312678A TW 202312678 A TW202312678 A TW 202312678A TW 111130885 A TW111130885 A TW 111130885A TW 111130885 A TW111130885 A TW 111130885A TW 202312678 A TW202312678 A TW 202312678A
Authority
TW
Taiwan
Prior art keywords
switch
coupled
output node
phase
voltage
Prior art date
Application number
TW111130885A
Other languages
English (en)
Inventor
卡提克 拉馬斯瓦米
楊揚
郭岳
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202312678A publication Critical patent/TW202312678A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/53Generators characterised by the type of circuit or by the means used for producing pulses by the use of an energy-accumulating element discharged through the load by a switching device controlled by an external signal and not incorporating positive feedback
    • H03K3/57Generators characterised by the type of circuit or by the means used for producing pulses by the use of an energy-accumulating element discharged through the load by a switching device controlled by an external signal and not incorporating positive feedback the switching device being a semiconductor device

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文提供的實施例大體包括用於處理腔室中的基板的電漿處理的波形產生的設備、電漿處理系統、及方法。一個實施例包括波形產生器,該波形產生器具有電壓源電路系統、在電壓源電路系統與波形產生器的第一輸出節點之間耦合的第一開關、及在第一輸出節點與電氣接地節點之間耦合的第二開關,該第一輸出節點經配置為耦合到腔室。波形產生器亦包括在電壓源電路系統與波形產生器的第二輸出節點之間耦合的第三開關、及在第二輸出節點與電氣接地節點之間耦合的第四開關,該第二輸出節點經配置為耦合到腔室。

Description

電壓脈衝的時域多工
本揭示的實施例大體係關於一種在半導體元件製造中使用的系統。更具體地,本揭示的實施例係關於用於處理基板的電漿處理系統。
可靠地產生高深寬比特徵係用於下一代半導體元件的關鍵技術挑戰之一。一種形成高深寬比特徵的方法使用電漿輔助的蝕刻製程來穿過在基板表面上形成的圖案化遮罩層中形成的開口轟擊在基板表面上形成的材料。
隨著技術節點朝向2 nm發展,具有較大深寬比的較小特徵的製造需要用於電漿處理的原子精確度。對於電漿離子起主要作用的蝕刻製程,離子能量控制總是挑戰半導體設備工業中的可靠且可重複的元件形成製程的開發。在常見的電漿輔助的蝕刻製程中,基板在處理腔室中設置的靜電夾盤(electrostatic chuck; ESC)上定位,電漿在基板上方形成,並且離子跨過在電漿與基板表面之間形成的電漿鞘(亦即,耗盡電子的區域)從電漿朝向基板加速。傳統上,使用正弦RF波形來激發電漿並且形成電漿鞘的RF基板偏壓方法不能期望地形成此等較小元件特徵大小。最近,已經發現,將高電壓脈衝遞送到處理腔室內的一或多個電極可以用於期望地控制在基板表面上方形成的電漿鞘。然而,產生中頻到高頻高電壓脈衝係具有挑戰性的。歸因於加熱用於形成高電壓脈衝的切換部件,此種脈衝可能特別難以使用標準電氣部件產生。
由此,在本領域中需要能夠在基板上完成期望的電漿輔助製程的脈衝電壓源及偏壓方法。
本文提供的實施例大體包括設備、電漿處理系統、及用於處理腔室中的基板的電漿處理的波形產生的方法。
本揭示的一個實施例涉及一種用於電漿處理的波形產生器。波形產生器大體包括:電壓源電路系統;第一開關,在電壓源電路系統與波形產生器的第一輸出節點之間耦合,第一輸出節點經配置為耦合到腔室;第二開關,在第一輸出節點與電氣接地節點之間耦合;第三開關,在電壓源電路系統與波形產生器的第二輸出節點之間耦合,第二輸出節點經配置為耦合到腔室;以及第四開關,在第二輸出節點與電氣接地節點之間耦合。
本揭示的一個實施例涉及一種用於波形產生的方法。方法大體包括:經由第一開關將電壓源電路系統耦合到波形產生器的第一輸出節點,第一輸出節點耦合到腔室;經由第二開關將第一輸出節點耦合到電氣接地節點;經由第三開關將電壓源電路系統耦合到波形產生器的第二輸出節點,第二輸出節點耦合到腔室;以及經由第四開關將第二輸出節點耦合到電氣接地節點。
本揭示的一個實施例涉及一種用於波形產生的設備。設備大體包括電壓源電路系統、耦合到電壓源電路系統的一或多個開關、及經配置為控制一或多個開關的控制器。一或多個開關包括:第一開關,在電壓源電路系統與波形產生器的第一輸出節點之間耦合,第一輸出節點經配置為耦合到腔室;第二開關,在第一輸出節點與電氣接地節點之間耦合;第三開關,在電壓源電路系統與波形產生器的第二輸出節點之間耦合,第二輸出節點經配置為耦合到腔室;以及第四開關,在第二輸出節點與電氣接地節點之間耦合。
本揭示的一些實施例大體涉及用於在電漿處理期間控制離子能量分佈(IED)的產生波形的技術。例如,脈衝電壓波形可藉由時間多工來自不同源(例如,開關)並且施加到電漿處理腔室中的一或多個電極用於電漿處理的電壓脈衝來產生。在一些實施例中,脈衝電壓波形可使用多個開關對產生,從而允許脈衝電壓波形具有與使用單個開關對的習知實施方式相比較高的頻率。歸因於在當前的習知高電壓切換部件中發現的實體及實際元件限制,此等習知的高電壓開關的切換速度歸因於在使用期間在部件中產生的熱量而受限於「最大實際切換速度」。在常見的電漿處理製程期間,在大於約200伏特的電壓位準下,諸如大於約500伏特、或大於約800伏特、或大於約1000伏特、或大於約5000伏特,藉由高電壓開關產生的高電壓脈衝電壓波形通常需要開關在含有開關的元件的壽命中重複地執行。在一個實例中,在大於約800伏特的切換電壓下,習知開關(諸如金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistor; MOSFET))的最大實際切換速度通常受限於約2.5微秒(μs)。然而,使用較高頻率電壓波形可以在電漿處理期間使用的電壓脈衝的離子電流階段期間減少電壓下降。因此,可實現較窄IED,從而促進電漿處理的較大精確度,如本文更詳細描述。如本文使用,電壓下降大體指在電壓脈衝的含有負電壓的部分期間在電極處建立的電壓增加。 電漿處理系統實例
第1圖係經配置為執行本文闡述的一或多個電漿處理方法的處理系統10的示意性橫截面圖。在一些實施例中,處理系統10經配置為用於電漿輔助的蝕刻製程,諸如反應性離子蝕刻(reactive ion etch; RIE)電漿處理。然而,應當注意,本文描述的實施例亦可與處理系統一起使用,該等處理系統經配置為在其他電漿輔助製程中使用,諸如電漿增強的沉積製程,例如,電漿增強的化學氣相沉積(plasma-enhanced chemical vapor deposition; PECVD)製程、電漿增強的物理氣相沉積(plasma-enhanced physical vapor deposition; PEPVD)製程、電漿增強的原子層沉積(plasma-enhanced atomic layer deposition; PEALD)製程、電漿加工處理或基於電漿的離子佈植處理,例如,電漿摻雜(PLAD)處理。
如圖所示,處理系統10經配置為形成電容耦合電漿(capacitively coupled plasma; CCP),其中處理腔室100包括在處理體積129中設置的上部電極(例如,腔室蓋123),該上部電極面向亦在處理體積129中設置的下部電極(例如,基板支撐組件136)。在常見的電容耦合電漿(CCP)處理系統中,射頻(radio frequency; RF)源(例如,RF產生器118)電氣耦合到上部電極或下部電極之一,並且遞送經配置為點燃並且維持電漿(例如,電漿101)的RF信號。在此配置中,電漿電容耦合到上部電極及下部電極的每一者並且在其間的處理區域中設置。通常,上部或下部電極的相對電極耦合到接地或第二RF電源。在一個實施例中,基板支撐組件136的一或多個部件(諸如支撐基底107)電氣耦合到包括RF產生器118的電漿產生器組件163,並且腔室蓋123電氣耦合到接地。如圖所示,處理系統10包括處理腔室100、支撐組件136、及系統控制器126。
處理腔室100通常包括腔室主體113,該腔室主體包括共同定義處理體積129的腔室蓋123、一或多個側壁122、及腔室基底124。一或多個側壁122及腔室基底124大體包括材料,該等材料的大小及形狀經調節以形成用於處理腔室100的元件的結構支撐件,並且經配置為在處理期間在處理腔室100的處理體積129中維持的真空環境內產生電漿101時承受向其施加的壓力及添加的能量。在一個實例中,一或多個側壁122及腔室基底124由金屬形成,諸如鋁、鋁合金、或不鏽鋼合金。
穿過腔室蓋123設置的氣體入口128用於將一或多種處理氣體從與之流體連通的處理氣體源119遞送到處理體積129。將基板103穿過一或多個側壁122的一者中的開口(未圖示)裝載到處理體積129中並且從處理體積129移除,該開口在基板103的電漿處理期間用狹縫閥(未圖示)密封。
系統控制器126(在本文中亦稱為處理腔室控制器)包括中央處理單元(central processing unit; CPU) 133、記憶體134、及支援電路135。系統控制器126用於控制用於處理基板103的處理序列,包括本文描述的基板偏壓方法。CPU 133係經配置為用於控制處理腔室及與其有關的子處理器的工業設置中的通用電腦處理器。本文描述的記憶體134(大體為非揮發性記憶體)可包括隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動、或其他適宜形式的數位儲存器(本端或遠端)。支援電路135習知地耦合到CPU 133並且包含快取記憶體、時鐘電路、輸入/輸出子系統、電源供應器、及類似者、及其組合。軟體指令(程式)及資料可以在記憶體134內編碼及儲存用於指示CPU 133內的處理器。可由系統控制器126中的CPU 133讀取的軟體程式(或電腦指令)決定處理系統10中的部件可執行哪些任務。
通常,可由系統控制器126中的CPU 133讀取的程式包括代碼,當藉由處理器(CPU 133)執行時,該代碼執行與本文描述的電漿處理方案有關的任務。程式可包括指令,該等指令用於控制處理系統10內的各種硬體及電氣部件以執行用於實施本文描述的方法的各種製程任務及各種製程序列。在一個實施例中,程式包括用於執行下文關於第6圖描述的一或多個操作的指令。
處理系統可包括電漿產生器組件163、用於在偏壓電極104處建立第一PV波形的第一脈衝電壓(PV)源組件196、及用於在邊緣控制電極115處建立第二PV波形的第二PV源組件197。第一PV波形或第二PV波形可使用如本文關於第3圖、第4A圖、及第4B圖更詳細描述的波形產生器來產生。在一些實施例中,電漿產生器組件163將RF信號遞送到支撐基底107(例如,電力電極或陰極),該支撐基底可用於在基板支撐組件136與腔室蓋123之間設置的處理區域中產生(維持及/或點燃)電漿101。在一些實施例中,RF產生器118經配置為遞送具有大於1 MHz或更大、或約2 MHz或更大、諸如約13.56 MHz或更大的頻率的RF信號。
如上文論述,在一些實施例中,基於從系統控制器126提供的控制信號,包括RF產生器118及RF產生器組件160的電漿產生器組件163大體經配置為將在期望的實質上固定的正弦波形頻率下的期望量的連續波(continuous wave; CW)或脈衝RF電力遞送到基板支撐組件136的支撐基底107。在處理期間,電漿產生器組件163經配置為將RF電力(例如,RF信號)遞送到靠近基板支撐件105並且在基板支撐組件136內設置的支撐基底107。遞送到支撐基底107的RF電力經配置為點燃及維持在處理體積129內設置的處理氣體的處理電漿101。
在一些實施例中,支撐基底107係經由RF匹配電路162及第一過濾器組件161(其等均在RF產生器組件160內設置)電氣耦合到RF產生器118的RF電極。第一過濾器組件161包括一或多個電氣元件,該等電氣元件經配置為實質上防止藉由PV波形產生器150的輸出產生的電流穿過RF電力遞送線167流動並且損壞RF產生器118。第一過濾器組件161用作對由PV波形產生器150內的PV脈衝產生器P1產生的PV信號的高阻抗(例如,高Z),並且因此抑制電流到RF匹配電路162及RF產生器118的流動。
在一些實施例中,RF產生器組件160及RF產生器118用於使用在處理體積129中設置的處理氣體及藉由RF產生器118遞送到支撐基底107的RF電力(RF信號)產生的場來點燃及維持處理電漿101。處理體積129經由真空出口120流體耦合到一或多個專屬真空泵,該等真空泵將處理體積129維持在亞大氣壓條件下並且從其抽出處理氣體及/或其他氣體。在一些實施例中,在處理體積129中設置的基板支撐組件136在支撐軸件138上設置,該支撐軸件接地並且延伸穿過腔室基底124。然而,在一些實施例中,RF產生器組件160經配置為將RF電力遞送到相對於基板基底107在基板支撐件105中設置的偏壓電極104。
基板支撐組件136(如上文簡要論述)大體包括基板支撐件105(例如,ESC基板支撐件)及支撐基底107。在一些實施例中,如下文進一步論述,基板支撐組件136可以額外包括絕緣體板111及接地板112。支撐基底107藉由絕緣器板111與腔室基底124電氣隔離,並且接地板112插入絕緣器板111與腔室基底124之間。基板支撐件105熱耦合到支撐基底107並且在該支撐基底上設置。在一些實施例中,支撐基底107經配置為在基板處理期間調節基板支撐件105及在基板支撐件105上設置的基板103的溫度。
通常,基板支撐件105由介電材料形成,諸如塊狀燒結的陶瓷材料,諸如抗腐蝕金屬氧化物或金屬氮化物材料,例如,氧化鋁(Al 2O 3)、氮化鋁(AlN)、氧化鈦(TiO)、氮化鈦(TiN)、氧化釔(Y 2O 3)、其混合物、或其組合。在本文的實施例中,基板支撐件105進一步包括嵌入其介電材料中的偏壓電極104。在一些實施例中,藉由量測偏壓電極104處建立的RF波形來決定及/或監測用於在偏壓電極104上方的處理區域中維持電漿101的RF電力的一或多個特性。
在一種配置中,偏壓電極104係夾持極,該夾持極用於將基板103固定(亦即,夾持)到基板支撐件105的基板支撐表面105A,並且使用本文描述的脈衝電壓偏壓方案的一或多個相對於處理電漿101偏壓基板103。通常,偏壓電極104由一或多個導電部分形成,諸如一或多個金屬網、箔、板、或其組合。
在一些實施例中,偏壓電極104電氣耦合到夾持網路116,該夾持網路使用電導體向偏壓電極提供夾持電壓,諸如在約-5000 V與約5000 V之間的靜態DC電壓,該電導體諸如同軸電力遞送線106(例如,同軸電纜)。如將在下文進一步論述,卡緊網路116包括偏壓補償電路元件116A、DC電源供應器155、及偏壓補償模組阻擋電容器,其在本文中亦稱為阻擋電容器C 5。阻擋電容器C 5在脈衝電壓(PV)波形產生器150的輸出與偏壓電極104之間設置。
基板支撐組件136可進一步包括在邊緣環114之下定位並且圍繞偏壓電極104及/或設置在距偏壓電極104的中心一定距離處的邊緣控制電極115。大體上,對於經配置為處理圓形基板的處理腔室100,邊緣控制電極115的形狀係環形,由導電材料製成並且經配置為圍繞偏壓電極104的至少一部分。在一些實施例中,諸如第1圖所示,邊緣控制電極115在基板支撐件105的區域內定位。在一些實施例中,如第1圖中示出,邊緣控制電極115包括與基板支撐件105的基板支撐表面105A相距與偏壓電極104類似的距離(亦即,Z方向)來設置的導電網、箔、或板。在一些其他實施例中,邊緣控制電極115包括在石英管道110的區域上或內定位的導電網、箔、及/或板,該區域圍繞偏壓電極104及/或基板支撐件105的至少一部分。或者,在一些其他實施例(未圖示)中,邊緣控制電極115在邊緣環114內定位或耦合到該邊緣環,該邊緣環在基板支撐件105上並且鄰近基板支撐件105設置。在此配置中,邊緣環114由半導體或介電材料(例如,AlN等)形成。
邊緣控制電極115可以藉由使用與用於偏壓偏壓電極104的PV波形產生器150不同的PV波形產生器來偏壓。在一些實施例中,藉由將部分電力分離到邊緣控制電極115,可以藉由使用亦用於偏壓偏壓電極104的PV波形產生器150來偏壓邊緣控制電極115。在一種配置中,第一PV源組件196的第一PV波形產生器150經配置為偏壓偏壓電極104,並且第二PV源組件197的第二PV波形產生器150經配置為偏壓邊緣控制電極115。
電力遞送線157將第一PV源組件196的PV波形產生器150的輸出電氣連接到可選過濾器組件151及偏壓電極104。儘管下文的論述主要論述用於將PV波形產生器150耦合到偏壓電極104的第一PV源組件196的電力遞送線157,但將PV波形產生器150耦合到邊緣控制電極115的第二PV源組件197的電力遞送線158將包括相同或類似的部件。在電力遞送線157的各個部分內的電導體可包括:(a)同軸電纜的一個或組合(諸如與剛性同軸電纜串列連接的撓性同軸電纜),(b)絕緣的高電壓耐電暈安裝線,(c)裸接線,(d)金屬桿,(e)電氣連接器,或(f)在(a)-(e)中的電氣元件的任何組合。可選過濾器組件151包括一或多個電氣元件,該等電氣元件經配置為實質上防止藉由RF產生器118的輸出產生的電流穿過電力遞送線157流動並且損壞PV波形產生器150。可選過濾器組件151用作對由RF產生器118產生的RF信號的高阻抗(例如,高Z),並且因此抑制電流到PV波形產生器150的流動。
第二PV源組件197包括夾持網路116,使得施加到邊緣控制電極115的偏壓可以類似地經配置為藉由在第一PV源組件196內耦合的夾持網路116施加到偏壓電極104的偏壓。將類似地配置的PV波形及夾持電壓施加到偏壓電極104及邊緣控制電極115可以幫助在處理期間改進跨基板表面的電漿均勻性並且因此改進電漿處理製程結果。
在一些實施例中,處理腔室100進一步包括石英管道110、或套環,該石英管道或套環至少部分外接基板支撐組件136的多個部分以防止基板支撐件105及/或支撐基底107與腐蝕性處理氣體或電漿、清潔氣體或電漿、或其副產物接觸。通常,石英管道110、絕緣器板111、及接地板112由襯墊108外接。在一些實施例中,電漿罩109在陰極襯墊108與側壁122之間定位以防止電漿在襯墊108與一或多個側壁122之間的電漿罩109之下的體積中形成。
第2A圖示出了在處理腔室(例如,處理腔室100)中的基板處建立的示例電壓波形200。在此實例中,歸因於藉由第一PV源組件196的PV波形產生器150施加波形,產生波形200。如圖所示,波形200包括離子電流階段及鞘塌陷階段。在離子電流階段開始時,藉由下降邊緣204產生的基板電壓降產生高電壓鞘以在基板之上形成,從而將正離子加速到基板。在離子電流階段期間轟擊基板表面的正離子在基板表面上沉積正電荷,若未補償,則其在離子電流階段期間導致基板電壓正向地逐漸增加(亦即,在電壓波形200的階段205期間的正斜率),如圖所示。然而,未控制的在基板表面上的正電荷的累積不當地逐步使鞘及夾持電容器放電,從而緩慢地減小鞘電壓降並且使基板電位更接近零。正電荷的累積在基板處建立的電壓波形中導致電壓下降(亦即,在階段205期間的正斜率)。
在離子電流階段開始與結束之間的電壓差決定離子能量分佈函數(ion energy distribution function; IEDF)寬度。第2B圖示出了增加電漿密度對藉由使用PV源(諸如波形產生器150)在基板上建立的電壓波形的影響。如圖所示,歸因於一或多個電漿處理參數(例如,藉由電漿產生器組件163施加的RF電力)的改變,在電漿處理期間的電漿密度增加(亦即,箭頭高亮方向)將趨於增加從離子電流階段的開始到結束的電壓差,並且因此不當地增加電壓下降。電壓差越大,IEDF寬度越寬。例如,如第2C圖所示,離子能量分佈(IED) 201隨著離子電流變化增加而變寬,而不補償基板的電壓上升。由此,藉由使用本文揭示的較高頻率電壓波形技術,在電漿處理期間藉由增加電漿密度產生的電壓波形中的電壓下降可以減少,此導致IED變窄,並且因此導致基板上電漿處理結果的精確度更高。
在反應器中,電漿可藉由基板頂上的感應耦合源(或周邊線圈)產生。線圈可放置在陶瓷蓋(真空邊界)頂上,或在電容耦合電漿反應器的情況下藉由將RF電力施加到靜電夾盤或頂部電極。如所描述,波形產生器(例如,第一PV源組件196的波形產生器150)輸出從負電壓轉變到大於零的正電壓的雙極及短且窄的正脈衝,如第2A圖所示。正電壓脈衝的持續時間經控制並且轉變回負電壓基線。電壓保持為負的,並且在標記為T ON的週期結束時轉變回正脈衝。在電壓波形200的上升邊緣202部分期間完成轉變回正脈衝。脈衝的正區段的持續時間可以變化,並且在一些實施例中,在波形週期(T P)的1%與20%之間,諸如在波形週期(T P)的5%與15%之間。在一個實例中,波形週期可係約5 μs並且波形200的頻率可係約200 kHz。在另一實例中,波形週期可係約2.5 μs並且波形200的頻率係約400 kHz。
在電壓波形的一部分期間,歸因於脈衝步驟的上升邊緣202,將電漿主體電子吸引到基板表面,但由於在電極(例如,電極104)上存在相等量的正電荷,彼等電子不可以建立負DC鞘電位。在電極與基板支撐表面105A之間設置的基板及介電質形成電容器,該電容器具有有效電容C esc,此將允許電極上的相等量的正電荷消除藉由基板表面上設置的電子產生的場。在脈衝步驟的下降邊緣204處,電極上的正電荷藉由來自波形產生器的電子中和,並且由此,在基板表面上建立負DC電壓。若形成的DC電壓保持恆定,則實現單能量離子轟擊。根據以下等式,負DC電壓(Vdc)可以藉由使用下降邊緣(ΔV)的量值及在C esc與鞘電容C sheath之間的比率來近似:
Figure 02_image001
用於波形產生的產生技術
隨著半導體元件特徵收縮,在當今的基板處理技術(諸如電漿蝕刻及電漿沉積技術)期間經常需要原子精確度。對於電漿離子起主要作用的蝕刻製程,經常需要精確的離子能量控制。傳統的射頻(RF)偏壓方法使用正弦波來激發電漿並且加速離子。來自習知RF技術的離子能量分佈(IED)通常有雙模形狀。近年來亦探索了脈衝技術來產生具有單能量峰值的IED。
在一些實施方式中,歸因於系統複雜性及有關設備成本的問題,在電壓波形的離子電流階段期間,未補償正離子電流,並且由此,基板上的負電壓隨著時間衰減(例如,變得更負)。換言之,如關於波形200描述,在沒有補償的情況下,基板電壓在離子電流階段期間上升,在本文中亦稱為電壓下降。隨著電漿密度增加(例如,離子電流增加),此趨勢變得更差,此導致IED朝向較低能量狀態擴大或變寬,如第2C圖所示。
在一些情況下,藉由使用耦合到電極(例如,電極104)的電流源或複數個電壓源,產生斜坡電壓以補償離子電流階段的部分期間(例如,至少在階段205期間)的此電壓上升。然而,在較高電壓(例如,大於5 kV)下,產生具有斜坡以補償此電壓上升的定製波形係具有挑戰性的。
在一些實施例中,高頻電壓波形可用於減小在離子電流階段期間產生的此電壓上升(亦即,下降)的影響。在當今市場上可用的高電壓開關的當前狀態下,歸因於在此等習知部件中發現的實體及實際元件限制,以大於400 kHz的脈衝重複頻率產生高頻電壓脈衝串係有問題的。由此,如上文簡要論述,歸因於在使用期間產生的熱量而在中頻到高頻下在此等部件中產生的損壞,此等習知的高電壓開關的切換速度受限於最大實際切換速度。在本揭示的某些實施例中,電壓脈衝的時域多工用於產生待提供到複雜負載(例如,靜電夾盤及電漿101(第1圖))的波形,使得負載接收較高頻率的脈衝,從而減輕基板表面處的電壓下降的影響。例如,在大約400 kHz的頻率下的正電壓脈衝可用於在基板上週期性建立負直流(DC)偏壓,藉此獲得具有單能量峰值的IED。換言之,當在第一電壓波形脈衝的離子電流階段期間基板表面電壓處的電壓上升到特定閾值時,可施加第二電壓波形脈衝(例如,來自不同源或開關),用於在後續脈衝循環期間有效地重設基板表面處的電壓,如本文更詳細描述。
第3圖示出了根據本揭示的某些實施例的使用波形300的脈衝電壓偏壓方案。如圖所示,第一脈衝電壓循環320及第二脈衝電壓波形322可係時間多工的。對於靜電夾盤及電漿101,歸因於第一脈衝電壓循環320及第二脈衝電壓循環322的交錯,電壓脈衝的頻率似乎已經增加。由於在由第一PV源產生的第一電壓循環的離子電流階段期間基板電壓開始下降,第二脈衝藉由第二PV源產生,施加,恢復基板上的DC電壓偏壓(負)並且恢復藉由下降導致的下降離子能量。
換言之,波形300可包括用於第一脈衝電壓循環320的正電壓脈衝302及負電壓脈衝304,接著係用於第二脈衝電壓循環322的另一正電壓脈衝306及另一負電壓脈衝308,如第3圖所示。在一些實施例中,正電壓脈衝302及負電壓脈衝304可使用開關對(例如,第4A圖中的S1及S3)來產生,並且其他正電壓脈衝306及其他負電壓脈衝308可使用另一開關對(例如,第4A圖中的S2及S4)來產生。與僅使用單個開關對來產生正及負脈衝的習知實施方式相比,使用不同開關對允許較高頻率波形。換言之,每個開關可使用一或多個電晶體實施,諸如金屬氧化物半導體場效電晶體(MOSFET)。歸因於加熱,MOSFET的每一者可具有切換頻率限制(例如,最大實際開關速度),從而防止單個開關對產生高頻(例如,400 kHz)的脈衝。若開關以大於開關的切換頻率限制的頻率來操作,跨開關的電壓下降可歸因於開關電阻的增加而增加,此開關電阻的增加係由於歸因於存在高切換電壓而在開關中產生的熱量。例如,取決於切換頻率及開關類型,跨開關的電壓下降可增加10%或更多。此外,若多個開關串聯耦合,則跨開關的降低累積。換言之,若三個開關串聯耦合(例如,用於實施開關S1、S2、S3、或S4),並且跨每個開關的電壓下降增加10%,則跨開關的總電壓降可增加30%。亦相信,當將在開關的切換頻率限制下跨開關的電漿降與在相同開關輸入電壓下將開關最初投入使用的時間進行比較時,跨開關的電壓降≥10%可以導致對開關內的部件的永久損壞(例如,隨著時間增加切換電阻)及/或與正常開關壽命相比顯著較短。
第4A圖示出了根據本揭示的某些實施例的用於在第一及第二脈衝電壓循環320、322期間產生脈衝的波形產生器400。波形產生器400可係PV波形產生器150的一部分。第4B圖係圖示波形產生器400的開關的狀態的時序圖401。
如圖所示,開關S1可在階段期間關閉,從而將波形產生器輸出節點402耦合到電壓源電路系統410的電壓源V1並且產生正電壓脈衝302。開關S1隨後打開,並且開關S3在第二階段期間閉合,從而使輸出節點402接地。使輸出節點402接地導致負電壓脈衝304。換言之,在正電壓脈衝302期間,在輸出節點402與基板之間的電容充電。在正電壓脈衝302之後,輸出節點402耦合到電氣接地節點。由於在輸出節點402與基板之間跨電容的電壓不可以瞬時改變,回應於開關S3閉合,基板電壓下降到負電壓。
在打開開關S3之後,開關S2在第三階段期間閉合,從而將電壓源電路系統410的電壓源V2耦合到輸出節點404,實際上實施正電壓脈衝306。開關S2隨後打開,並且開關S4在第四階段期間閉合,從而將輸出節點404耦合到電氣接地節點以實施負電壓脈衝308。如藉由時序圖401圖示,第一、第二、第三、及第四階段係非重疊階段。如圖所示,可重複階段以產生在大於每個獨立開關的最大實際切換速度的頻率下遞送的連續時間多工脈衝波形。在一個實例中,若每個開關的最大實際切換速度限制將每個開關的切換頻率限制到400 kHz的頻率,含有相同開關的兩個電壓源配置可以遞送具有大於400 kHz並且小於或等於800 kHz的頻率的時間多工脈衝串。在一個非限制性實例中,若要求波形產生器400產生800 kHz的脈衝串,相應地,開關組合S1/S3及S2/S4各自分別用於提供第一及第二脈衝電壓循環320、322,該等循環將各自具有1.25 µs長的週期(T P),但間隔開2.5 µs並且在時間上交錯以形成期望的脈衝串。
在一些實施例中,輸出節點402、404可耦合到腔室中的相同節點。例如,輸出節點402、404可耦合到電極104。在一些實施例中,輸出節點402、404可耦合到腔室中的不同節點。例如,輸出節點402可耦合到電極104並且輸出節點404可耦合到電極115。
在一些實施例中,波形產生器400的第一電壓源電路系統410形成第一PV源組件196的PV波形產生器150(第1圖)的一部分。在另一實施例中,波形產生器400的第一電壓源電路系統410形成第一PV源組件196的PV波形產生器150的一部分,並且波形產生器400的第二電壓源電路系統410形成第二PV源組件197的PV波形產生器150的一部分。在又一實施例中,波形產生器400的第一電壓源電路系統410形成第一PV源組件196的PV波形產生器150的一部分,波形產生器400的第二電壓源電路系統410形成第二PV源組件197的PV波形產生器150的一部分,及/或波形產生器400的第三電壓源電路系統410形成耦合到蓋123的第三PV源組件198的PV波形產生器150的一部分。在此等配置的任一者中,並且在適當的情況下,第一電壓源電路系統410的輸出節點402、404耦合到電極104,第二電壓源電路系統410的輸出節點402、404耦合到電極115,及/或第三電壓源電路系統410的輸出節點402、404耦合到蓋123。
在一些實施例中,電壓源電路系統410可包括耦合到開關S1的第一電壓源V1及耦合到開關S2的第二電壓源V2。第一及第二電壓源的每一者可使用藉由電源充電到特定電壓的電容元件實施。在一些實施例中,每個電壓源電路系統410的第一及第二電壓源V1或V2可提供不同電壓或相同電壓。
儘管本文提供的實例已經描述了兩個開關對以促進理解,但本揭示的實施例可利用多於兩個開關對實施。例如,除了第一開關對(例如,S1及S3)及第二開關對(例如,S2及S4)之外,可實施第三開關對用於產生正及負脈衝,從而允許較高頻率的操作。換言之,在開關S2及開關S4用於產生正電壓脈衝306、及負電壓脈衝308之後,在開關S1及開關S3用於再次產生正電壓脈衝302、及負電壓脈衝304之前,第三開關對可用於產生另一正脈衝及另一負脈衝。因此,在一些實施例中,複數個開關對在串聯且重複的循環中利用以形成具有大於每個獨立開關的最大實際切換速度的頻率的脈衝串,使得脈衝串的最大頻率等於每個開關的最大頻率的N倍或複數個開關的至少最慢開關,其中N等於複數個開關對的開關對的數量。在產生的脈衝串的不同階段期間操作開關對的每一者允許實施用於電漿處理的高頻波形。使用較高頻率波形允許電壓下降(例如,在離子電流階段期間增加電壓)減小,從而增加電漿處理精確度。
第5圖示出了根據本揭示的某些實施例的與波形300相關聯的離子能量分佈(IED)。如圖所示,當產生較高頻率(例如,400 kHz)的波形時,可獲得與較低頻率波形(例如,具有200 kHz的頻率的第2A圖的波形200)相比的較窄IED。較窄IED促進較大的特徵形成精確度,例如,在常見的蝕刻電漿製程期間。
第6圖係示出用於波形產生的方法600的製程流程圖。方法600可藉由波形產生系統執行,該波形產生系統包括波形產生器(諸如波形產生器400)、及系統控制器(諸如系統控制器126)。
方法600開始於活動602,其中波形產生系統經由第一開關(例如,開關S1)將電壓源電路系統(例如,電壓源電路系統410)耦合到波形產生器(例如,波形產生器400)的第一輸出節點(例如,輸出節點402),第一輸出節點耦合到腔室(例如,腔室100)。於活動604,波形產生系統經由第二開關(例如,開關S3)將第一輸出節點耦合到電氣接地節點。於活動606,波形產生系統經由第三開關(例如,開關S2)將電壓電路耦合到波形產生器的第二輸出節點(例如,輸出節點404),第二輸出節點耦合到腔室。於活動608,波形產生系統經由第四開關(例如,開關S4)將第二輸出節點耦合到電氣接地節點。在一些實施例中,可重複活動602、604、606、608以產生用於電漿處理的波形。
在一些實施例中,第一脈衝電壓波形(例如,包括正電壓脈衝302及負電壓脈衝304)藉由經由第一開關及第二開關耦合在腔室處產生。此外,第二脈衝電壓波形(例如,包括正電壓脈衝306及負電壓脈衝308)藉由經由第三開關及第四開關耦合在腔室處產生。第一脈衝電壓波形可從第二脈衝電壓波形相移(例如,180°)。
在一些實施例中,經由第一開關、第二開關、第三開關、及第四開關的耦合係在非重疊階段期間。例如,電壓源電路系統在非重疊階段的第一階段期間耦合到第一輸出節點,並且第一輸出節點在非重疊階段的第二階段期間耦合到電氣接地節點,第二階段係在第一階段之後。此外,電壓源電路系統在非重疊階段的第三階段期間耦合到第二輸出節點,第三階段係在第二階段之後,並且第二輸出節點在非重疊階段的第四階段期間耦合到電氣接地節點,第四階段係在第三階段之後。
在一些實施例中,電壓源電路系統包括耦合到第一開關的第一電壓源(例如,電壓源V1)及耦合到第二開關的第二電壓源(例如,電壓源V2)。第一電壓源可包括第一電容元件,並且第二電壓源可包括第二電容元件。第一開關、第二開關、第三開關、及第四開關的每一者可包括一或多個電晶體(例如,MOSFET)。
在一些實施例中,波形產生系統可藉由將電壓源電路系統耦合到第一輸出節點在腔室中的節點處(例如,在基板處)產生第一正電壓脈衝(例如,正電壓脈衝302),並且藉由將第一輸出節點耦合到電氣接地節點在腔室中的節點處產生第一負電壓脈衝(例如,負電壓脈衝304)。此外,波形產生系統可藉由將電壓源電路系統耦合到第二輸出節點在腔室中的節點處產生第二正電壓脈衝(例如,正電壓脈衝306),並且藉由將第二輸出節點耦合到電氣接地節點在腔室中的節點處產生第二負電壓脈衝(例如,負電壓脈衝308)。
術語「耦合」本文用於代表在兩個物件之間的直接或間接耦合。例如,若物件A實體觸碰物件B並且物件B觸碰物件C,則物件A及C仍可被認為彼此耦合-甚至若物件A及C不直接實體觸碰彼此。例如,即使第一物件從未與第二物件直接實體接觸,第一物件可耦合到第二物件。
儘管上述內容涉及本揭示的實施例,可在不脫離其基本範疇的情況下設計本揭示的其他及進一步實施例,並且其範疇由以下申請專利範圍決定。
10:處理系統 100:處理腔室 101:電漿 103:基板 104:偏壓電極 105:基板支撐件 105A:基板支撐表面 106:同軸電力遞送線 107:支撐基底 108:襯墊 109:電漿罩 110:石英管道 111:絕緣器板 112:接地板 113:腔室主體 114:邊緣環 115:邊緣控制電極 116:夾持網路 116A:偏壓補償電路元件 118:RF產生器 119:處理氣體源 120:真空出口 122:側壁 123:腔室蓋 124:腔室基底 126:系統控制器 128:氣體入口 129:處理體積 133:中央處理單元(CPU) 134:記憶體 135:支援電路 136:基板支撐組件 138:支撐軸件 150:脈衝電壓(PV)波形產生器 151:可選過濾器組件 155:DC電源供應器 157:電力遞送線 158:電力遞送線 160:RF產生器組件 161:第一過濾器組件 162:RF匹配電路 163:電漿產生器組件 167:RF電力遞送線 196:第一脈衝電壓(PV)源組件 197:第二PV源組件 198:第三PV源組件 200:波形 201:離子能量分佈(IED) 202:上升邊緣 204:下降邊緣 205:階段 300:波形 302:正電壓脈衝 304:負電壓脈衝 306:正電壓脈衝 308:負電壓脈衝 320:第一脈衝電壓循環 322:第二脈衝電壓波形 400:波形產生器 401:時序圖 402:波形產生器輸出節點 404:輸出節點 410:電壓源電路系統 600:方法 602:活動 604:活動 606:活動 608:活動 C 5:阻擋電容器 IED:離子能量分佈 S1:開關 S2:開關 S3:開關 S4:開關 T P:波形週期 V1:電壓源 V2:電壓源 Z:方向
為了能夠詳細理解本揭示的上述特徵所用方式,可參考實施例進行對上文簡要概述的本揭示的更特定描述,一些實施例在附圖中示出。然而,將注意,附圖僅示出示例性實施例,並且由此不被認為限制其範疇,且可允許其他等同有效的實施例。
第1圖係根據一或多個實施例的經配置為實踐本文闡述的方法的處理系統的示意性橫截面圖。
第2A圖示出了歸因於施加到處理腔室的電極的電壓波形而在基板上建立的電壓波形。
第2B圖示出了增加的電漿密度對歸因於施加到處理腔室的電極的電壓波形而在基板上建立的電壓波形的影響。
第2C圖示出了與關於第2B圖的電壓波形示出的增加電漿密度的影響相關聯的離子能量分佈(ion energy distribution; IED)的影響。
第3圖示出了根據本揭示的某些實施例的使用電壓脈衝的時間多工產生的示例波形。
第4A圖示出了根據本揭示的某些實施例的示例波形產生器。
第4B圖係圖示第4A圖的波形產生器的開關的狀態的時序圖。
第5圖示出了根據本揭示的某些實施例的與第3圖所示的波形相關聯的IED。
第6圖係示出用於波形產生的方法的製程流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室
400:波形產生器
402:波形產生器輸出節點
404:輸出節點
410:電壓源電路系統
S1:開關
S2:開關
S3:開關
S4:開關

Claims (26)

  1. 一種用於電漿處理的波形產生器,包含: 電壓源電路系統; 一第一開關,在該電壓源電路系統與該波形產生器的一第一輸出節點之間耦合,該第一輸出節點經配置為耦合到一腔室; 一第二開關,在該第一輸出節點與電氣接地節點之間耦合; 一第三開關,在該電壓源電路系統與該波形產生器的一第二輸出節點之間耦合,該第二輸出節點經配置為耦合到該腔室;以及 一第四開關,在該第二輸出節點與該電氣接地節點之間耦合。
  2. 如請求項1所述的波形產生器,其中: 該第一開關及該第二開關經配置為產生待提供到該腔室的一第一脈衝電壓波形;以及 該第三開關及該第四開關經配置為產生待提供到該腔室的一第二脈衝電壓波形,該第一脈衝電壓波形從該第二脈衝電壓波形相移。
  3. 如請求項1所述的波形產生器,其中該第一開關、該第二開關、該第三開關、及該第四開關經配置為在非重疊階段期間閉合。
  4. 如請求項3所述的波形產生器,其中: 該第一開關經配置為在該等非重疊階段的一第一階段期間閉合; 該第二開關經配置為在該等非重疊階段的一第二階段期間閉合,該第二階段係在該第一階段之後; 該第三開關經配置為在該等非重疊階段的一第三階段期間閉合,該第三階段係在該第二階段之後;以及 該第四開關經配置為在該等非重疊階段的一第四階段期間閉合,該第四階段係在該第三階段之後。
  5. 如請求項1所述的波形產生器,其中該電壓源電路系統包含耦合到該第一開關的一第一電壓源及耦合到該第二開關的一第二電壓源。
  6. 如請求項5所述的波形產生器,其中該第一電壓源包含一第一電容元件,並且其中該第二電壓源包含一第二電容元件。
  7. 如請求項1所述的波形產生器,其中該第一開關、該第二開關、該第三開關、及該第四開關的每一者包含一或多個電晶體。
  8. 如請求項1所述的波形產生器,其中該第一輸出節點耦合到該第二輸出節點。
  9. 如請求項8所述的波形產生器,其中該第一輸出節點及該第二輸出節點耦合到在該腔室中設置的一基板支撐件的一基板支撐表面之下設置的一電極。
  10. 如請求項9所述的波形產生器,其中該第一開關、該第二開關、該第三開關、或該第四開關的至少一者具有一切換頻率限制,並且其中該波形產生器經配置為在該電極處產生具有大於該切換頻率限制的一頻率的一波形。
  11. 一種用於波形產生的方法,包含以下步驟: 經由一第一開關將電壓源電路系統耦合到一波形產生器的一第一輸出節點,該第一輸出節點耦合到一腔室; 經由一第二開關將該第一輸出節點耦合到一電氣接地節點; 經由一第三開關將該電壓源電路系統耦合到該波形產生器的一第二輸出節點,該第二輸出節點耦合到該腔室;以及 經由一第四開關將該第二輸出節點耦合到該電氣接地節點。
  12. 如請求項11所述的方法,其中: 一第一脈衝電壓波形藉由經由該第一開關及該第二開關的該耦合在該腔室處產生;以及 一第二脈衝電壓波形藉由經由該第三開關及該第四開關的該耦合在該腔室處產生,該第一脈衝電壓波形從該第二脈衝電壓波形相移。
  13. 如請求項11所述的方法,其中經由該第一開關、該第二開關、該第三開關、及該第四開關的該耦合係在非重疊階段期間。
  14. 如請求項13所述的方法,其中: 在該等非重疊階段的一第一階段期間該電壓源電路系統耦合到該第一輸出節點; 在該等非重疊階段的一第二階段期間該第一輸出節點耦合到該電氣接地節點,該第二階段係在該第一階段之後; 在該等非重疊階段的一第三階段期間該電壓源電路系統耦合到該第二輸出節點,該第三階段係在該第二階段之後;以及 在該等非重疊階段的一第四階段期間該第二輸出節點耦合到該電氣接地節點,該第四階段係在該第三階段之後。
  15. 如請求項11所述的方法,其中該電壓源電路系統包含耦合到該第一開關的一第一電壓源及耦合到該第三開關的一第二電壓源。
  16. 如請求項15所述的方法,其中該第一電壓源包含一第一電容元件,並且其中該第二電壓源包含一第二電容元件。
  17. 如請求項11所述的方法,其中該第一開關、該第二開關、該第三開關、及該第四開關的每一者包含一或多個電晶體。
  18. 如請求項11所述的方法,進一步包含以下步驟: 藉由將該電壓源電路系統耦合到該第一輸出節點在該腔室中的一節點處產生一第一正電壓脈衝; 藉由將該第一輸出節點耦合到該電氣接地節點在該腔室中的該節點處產生一第一負電壓脈衝; 藉由將該電壓源電路系統耦合到該第二輸出節點在該腔室中的該節點處產生一第二正電壓脈衝;以及 藉由將該第二輸出節點耦合到該電氣接地節點在該腔室中的該節點處產生一第二負電壓脈衝。
  19. 如請求項11所述的方法,其中該第一輸出節點及該第二輸出節點耦合到在該腔室內設置的一基板支撐件的一基板支撐表面之下設置的一電極。
  20. 如請求項19所述的方法,其中該第一開關、該第二開關、該第三開關、或該第四開關的至少一者具有一切換頻率限制,該方法進一步包含含以下步驟:在該電極處產生具有大於該切換頻率限制的一頻率的一波形。
  21. 一種用於波形產生的設備,包含: 電壓源電路系統; 一或多個開關,耦合到該電壓源電路系統;以及 一控制器,經配置為控制該一或多個開關,其中該一或多個開關包括: 一第一開關,在該電壓源電路系統與該波形產生器的一第一輸出節點之間耦合,該第一輸出節點經配置為耦合到一腔室; 一第二開關,在該第一輸出節點與電氣接地節點之間耦合; 一第三開關,在該電壓源電路系統與該波形產生器的一第二輸出節點之間耦合,該第二輸出節點經配置為耦合到該腔室;以及 一第四開關,在該第二輸出節點與該電氣接地節點之間耦合。
  22. 如請求項21所述的設備,其中該控制器經配置為在非重疊階段期間閉合該第一開關、該第二開關、該第三開關、及該第四開關。
  23. 如請求項22所述的設備,其中該控制器經配置為: 在該等非重疊階段的一第一階段期間閉合該第一開關; 在該等非重疊階段的一第二階段期間閉合該第二開關,該第二階段係在該第一階段之後; 在該等非重疊階段的一第三階段期間閉合該第三開關,該第三階段係在該第二階段之後;以及 在該等非重疊階段的一第四階段期間閉合該第四開關,該第四階段係在該第三階段之後。
  24. 如請求項21所述的設備,其中該電壓源電路系統包含耦合到該第一開關的一第一電壓源及耦合到該第三開關的一第二電壓源。
  25. 如請求項21所述的設備,其中該第一輸出節點及該第二輸出節點耦合到在該腔室內設置的一基板支撐件的一基板支撐表面之下設置的一電極。
  26. 如請求項25所述的設備,其中該第一開關、該第二開關、該第三開關、或該第四開關的至少一者具有一切換頻率限制,並且其中該設備經配置為在該電極處產生具有大於該切換頻率限制的一頻率的一波形。
TW111130885A 2021-08-24 2022-08-17 電壓脈衝的時域多工 TW202312678A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/410,803 US11476090B1 (en) 2021-08-24 2021-08-24 Voltage pulse time-domain multiplexing
US17/410,803 2021-08-24

Publications (1)

Publication Number Publication Date
TW202312678A true TW202312678A (zh) 2023-03-16

Family

ID=83603837

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111130885A TW202312678A (zh) 2021-08-24 2022-08-17 電壓脈衝的時域多工

Country Status (6)

Country Link
US (2) US11476090B1 (zh)
JP (1) JP2023544472A (zh)
KR (1) KR20230031311A (zh)
CN (1) CN116018665A (zh)
TW (1) TW202312678A (zh)
WO (1) WO2023027907A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7345382B2 (ja) * 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
CN114826891A (zh) * 2019-05-20 2022-07-29 华为技术有限公司 Tsn中控制器间通信的方法、装置及系统
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (569)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
WO1999019527A2 (en) 1997-10-15 1999-04-22 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
JP3706027B2 (ja) 1998-09-18 2005-10-12 東京エレクトロン株式会社 プラズマ処理方法
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
EP1203441A1 (en) 1999-07-13 2002-05-08 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
JP2003506826A (ja) 1999-08-02 2003-02-18 アドバンスド エナジー インダストリーズ, インコーポレイテッド イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
JP4819267B2 (ja) 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
AU2001245938A1 (en) 2000-03-28 2001-10-08 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1435655A3 (en) 2000-05-10 2004-07-14 Ibiden Co., Ltd. Electrostatic chuck
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DE60101209T2 (de) 2001-04-27 2004-09-02 European Community Verfahren und Vorrichtung zur sequentiellen Plasmabehandlung
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
KR100775175B1 (ko) 2001-10-31 2007-11-12 동경 엘렉트론 주식회사 높은 어스펙트비의 반도체장치 에칭방법
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
JP4644128B2 (ja) 2003-11-28 2011-03-02 株式会社アドバンテスト デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
CN100449843C (zh) * 2004-03-23 2009-01-07 氨合物公司 储氨装置在能量生产中的用途
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP1803142A1 (en) 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
WO2006049085A1 (ja) 2004-11-04 2006-05-11 Ulvac, Inc. 静電チャック装置
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
ATE344973T1 (de) 2005-03-10 2006-11-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
WO2006120809A1 (ja) 2005-05-13 2006-11-16 Matsushita Electric Industrial Co., Ltd. 誘電体バリア放電ランプ点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
EP1982400A4 (en) 2006-01-23 2014-08-13 Audera Internat Sales Inc POWER SUPPLY FOR LIMITED POWER SOURCES AND AUDIOVER AMPLIFIERS WITH A POWER SUPPLY
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
DE502006005363D1 (de) 2006-11-23 2009-12-24 Huettinger Elektronik Gmbh Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
TWI476289B (zh) 2006-12-12 2015-03-11 Oerlikon Advanced Technologies Ag 在高能脈衝磁管噴濺中之消弧及產生脈波
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
ATE493749T1 (de) 2007-03-08 2011-01-15 Huettinger Elektronik Gmbh Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
CN101874203A (zh) 2007-11-26 2010-10-27 东京毅力科创株式会社 微细结构体检测装置以及微细结构体检测方法
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9767988B2 (en) * 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
PL2416629T3 (pl) 2009-08-07 2021-11-02 Kyosan Electric Mfg. Co., Ltd. Sposób sterowania impulsowego mocą o wysokiej częstotliwości oraz urządzenie w postaci zasilacza impulsowego o wysokiej częstotliwości
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US9313872B2 (en) 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP3556396B1 (en) 2010-08-31 2022-04-20 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
KR102023784B1 (ko) 2011-03-04 2019-09-20 도쿄엘렉트론가부시키가이샤 질화규소막 에칭 방법
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TW201325326A (zh) 2011-10-05 2013-06-16 Applied Materials Inc 電漿處理設備及其基板支撐組件
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
CN104067375B (zh) 2012-02-01 2016-05-11 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
KR102034556B1 (ko) 2012-02-09 2019-10-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR102038649B1 (ko) 2012-02-20 2019-10-30 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
EP2837687B1 (en) 2012-03-30 2017-02-22 Toray Industries, Inc. Method for producing chemical by means of continuous fermentation and continuous fermentation device
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR102115503B1 (ko) 2012-08-15 2020-06-05 록히드 마틴 에너지, 엘엘씨 고 용해도 육시안화철
JP6377060B2 (ja) 2012-08-28 2018-08-22 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 広ダイナミックレンジイオンエネルギーバイアス制御、高速イオンエネルギー切り替え、イオンエネルギー制御およびパルスバイアス供給部、および仮想フロントパネル
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
JP6154820B2 (ja) 2012-11-01 2017-06-28 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
WO2014132099A1 (en) 2013-02-28 2014-09-04 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
US9443700B2 (en) * 2013-03-12 2016-09-13 Applied Materials, Inc. Electron beam plasma source with segmented suppression electrode for uniform plasma generation
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
JP6375375B2 (ja) 2013-07-17 2018-08-15 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. パルス二重マグネトロンスパッタリング(dms)プロセスにおけるターゲットの均衡消費のためのシステムおよび方法
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
CN116633324A (zh) 2013-11-14 2023-08-22 鹰港科技有限公司 高压纳秒脉冲发生器
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
WO2015105651A1 (en) 2014-01-08 2015-07-16 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9336997B2 (en) * 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
KR101907375B1 (ko) 2014-03-24 2018-10-12 어드밴스드 에너지 인더스트리즈 인코포레이티드 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
CN106971964A (zh) 2014-07-23 2017-07-21 应用材料公司 可调谐温度受控的基板支撑组件
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
US10672616B2 (en) 2014-12-25 2020-06-02 Tokyo Electon Limited Plasma processing apparatus and plasma processing method
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US10431424B2 (en) * 2015-02-18 2019-10-01 Reno Technologies Inc. Parasitic capacitance compensation circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US10679823B2 (en) * 2015-02-18 2020-06-09 Reno Technologies, Inc. Switching circuit
US11017983B2 (en) * 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US10340879B2 (en) * 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9729122B2 (en) * 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US9614524B1 (en) * 2015-11-28 2017-04-04 Applied Materials, Inc. Automatic impedance tuning with RF dual level pulsing
WO2017095890A1 (en) 2015-11-30 2017-06-08 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
JP2019504481A (ja) 2015-12-07 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャックを使用した基板の固定と開放のための方法及び装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
CN109075066B (zh) 2016-03-31 2023-08-04 东京毅力科创株式会社 使用无晶片干式清洗发射光谱来控制干式蚀刻过程的方法
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11430635B2 (en) * 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) * 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
KR102476353B1 (ko) * 2016-07-26 2022-12-09 삼성전자주식회사 반도체 설비의 설정 파형 발생기, 플라즈마 처리 장치, 플라즈마 처리 장치의 제어 방법 및 반도체 장치의 제조 방법
TWI757334B (zh) 2016-09-06 2022-03-11 日商東京威力科創股份有限公司 準原子層蝕刻方法
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US10268846B2 (en) 2016-12-30 2019-04-23 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN108281342B (zh) 2017-01-05 2020-01-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US11730828B2 (en) * 2017-02-07 2023-08-22 The Regents Of The University Of California Gene therapy for haploinsufficiency
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
KR20190121864A (ko) 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
CN117200759A (zh) 2017-03-31 2023-12-08 鹰港科技有限公司 高压电阻性输出级电路
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
JP7261179B2 (ja) 2017-05-30 2023-04-19 タイタン・アドバンスト・エナジー・ソリューションズ・インコーポレイテッド バッテリの寿命評価および容量の回復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
EP3616235A4 (en) * 2017-07-07 2021-02-24 Advanced Energy Industries, Inc. INTER-PERIODIC CONTROL SYSTEM FOR PLASMA POWER SUPPLY SYSTEM AND ITS OPERATING PROCESS
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
CN110998820B (zh) 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6902167B2 (ja) 2017-08-25 2021-07-14 イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. ナノ秒パルスを使用する任意波形の発生
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10475622B2 (en) 2017-09-26 2019-11-12 Advanced Energy Industries, Inc. System and method for plasma ignition
JP6518024B1 (ja) 2017-10-30 2019-05-22 日本碍子株式会社 静電チャック及びその製法
KR102387008B1 (ko) 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
KR20200074961A (ko) 2017-11-16 2020-06-25 도쿄엘렉트론가부시키가이샤 동기화된 신호 변조를 통한 플라즈마 공정 시스템
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
JP7235761B2 (ja) 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11137372B2 (en) 2018-03-08 2021-10-05 Eagle Harbor Technologies, Inc. Eddy current nondestructive evaluation device with rotating permanent magnets and pickup coils
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US10553400B2 (en) * 2018-03-30 2020-02-04 Applied Materials, Inc. Methods and apparatus for frequency generator and match network communication
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
WO2019212799A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Rf grounding configuration for pedestals
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
WO2019245729A1 (en) 2018-06-18 2019-12-26 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
TWI820667B (zh) * 2018-06-19 2023-11-01 美商應用材料股份有限公司 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) * 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) * 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
US10854427B2 (en) * 2018-08-30 2020-12-01 Applied Materials, Inc. Radio frequency (RF) pulsing impedance tuning with multiplier mode
WO2020051064A1 (en) 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
WO2020101734A1 (en) 2018-11-14 2020-05-22 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
CN113169026B (zh) * 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
US20200373114A1 (en) 2019-05-24 2020-11-26 Eagle Harbor Technologies, Inc. Klystron Driver
US11177115B2 (en) * 2019-06-03 2021-11-16 Applied Materials, Inc. Dual-level pulse tuning
US20210029815A1 (en) 2019-07-02 2021-01-28 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
US11894220B2 (en) * 2019-07-17 2024-02-06 Applied Materials, Inc. Method and apparatus for controlling a processing reactor
US11570879B2 (en) * 2019-08-19 2023-01-31 Applied Materials, Inc. Methods and apparatus for controlling RF parameters at multiple frequencies
WO2021062223A1 (en) 2019-09-25 2021-04-01 Eagle Harbor Technologies, Inc. Nonlinear transmission line high voltage pulse sharpening with energy recovery
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20230150396A (ko) 2019-12-24 2023-10-30 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연

Also Published As

Publication number Publication date
KR20230031311A (ko) 2023-03-07
US11476090B1 (en) 2022-10-18
JP2023544472A (ja) 2023-10-24
US20230067046A1 (en) 2023-03-02
WO2023027907A1 (en) 2023-03-02
CN116018665A (zh) 2023-04-25

Similar Documents

Publication Publication Date Title
US11848176B2 (en) Plasma processing using pulsed-voltage and radio-frequency power
US11476090B1 (en) Voltage pulse time-domain multiplexing
US11569066B2 (en) Pulsed voltage source for plasma processing applications
KR20240017919A (ko) 펄스식 dc 플라즈마 챔버에서의 플라즈마 균일성 제어
TW202301913A (zh) 離子電流補償的設備及方法
US11776788B2 (en) Pulsed voltage boost for substrate processing
US11967483B2 (en) Plasma excitation with ion energy control
US20230071168A1 (en) Method and apparatus for digital control of ion energy distribution in a plasma
TWI835163B (zh) 用於基板處理的脈衝電壓增壓
US20230170194A1 (en) Ion energy control on electrodes in a plasma reactor