TW202312218A - 具有離子能量控制的電漿激發 - Google Patents

具有離子能量控制的電漿激發 Download PDF

Info

Publication number
TW202312218A
TW202312218A TW111116189A TW111116189A TW202312218A TW 202312218 A TW202312218 A TW 202312218A TW 111116189 A TW111116189 A TW 111116189A TW 111116189 A TW111116189 A TW 111116189A TW 202312218 A TW202312218 A TW 202312218A
Authority
TW
Taiwan
Prior art keywords
output node
waveform
coupled
phase
generator
Prior art date
Application number
TW111116189A
Other languages
English (en)
Inventor
揚 楊
郭岳
卡提克 拉馬斯瓦米
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202312218A publication Critical patent/TW202312218A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/01Frequency selective two-port networks
    • H03H7/0115Frequency selective two-port networks comprising only inductors and capacitors
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/01Frequency selective two-port networks
    • H03H7/0153Electrical filters; Controlling thereof
    • H03H7/0161Bandpass filters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

本文提供的實施例通常包括用於在處理腔室中產生用於基板的電漿處理的波形的設備、電漿處理系統及方法。一個實施例包括一種波形產生器,該波形產生器具有選擇性地耦合至輸出節點的電壓源,其中該輸出節點經配置以耦合至安置在處理腔室內的電極,並且其中該輸出節點經選擇性地耦合至接地節點。波形產生器亦可包括射頻(RF)信號產生器,以及耦合在RF信號產生器與輸出節點之間的第一濾波器。

Description

具有離子能量控制的電漿激發
本案之實施例通常係關於用於半導體裝置製造的系統。更特定言之,本案之實施例係關於用於處理基板的電漿處理系統。
可靠地生產高深寬比特征為對於下一代半導體裝置的關鍵技術挑戰之一者。一種形成高深寬比特徵的方法使用電漿輔助蝕刻製程,其中電漿在處理腔室中形成並且來自電漿的離子經朝向基板表面加速以在材料層中形成開口,該材料層安置在形成於基板表面上的遮罩層下方。
在典型的電漿輔助蝕刻製程中,基板位於安置在處理腔室中的基板支撐件上,電漿形成在基板上,並且離子從電漿橫跨電漿鞘(亦即,在電漿與基板表面之間形成的電子耗盡區)朝向基板加速。
已經發現,傳統的射頻(radio frequency; RF)電漿輔助蝕刻製程僅將包含射頻信號的正弦波形傳遞至電漿處理腔室中的電極之一或多者,該製程無法充分或理想地控制鞘特性及經產生的離子能量,如此導致不期望的電漿處理結果。該不期望的處理結果可包括遮罩層的過渡濺射及在高深寬比特徵中的側壁缺陷的產生。
因此,在本技術中需要能夠提供期望的電漿輔助蝕刻製程結果的電漿處理及偏壓方法。
本文提供的實施例通常包括用於在處理腔室中產生用於基板的電漿處理的波形的設備、電漿處理系統及方法。
本案之一個實施例係針對用於電漿處理的波形產生器。波形產生器通常包括選擇性地耦合到輸出節點的電壓源,其中該輸出節點經配置以耦合至安置在處理腔室內的電極,並且其中該輸出節點選擇性地耦合至接地節點、射頻(RF)信號產生器,以及耦合在RF信號產生器與輸出節點之間的第一濾波器。
本案之一個實施例係針對一種用於波形產生的方法。該方法通常包括在波形的第一階段期間將電壓源耦接至輸出節點,其中該輸出節點耦接至安置在處理腔室之內的電極;並且在波形的第二階段期間將接地節點耦接至輸出節點,其中射頻信號產生器經由濾波器耦接至輸出節點。
本案之一個實施例係針對一種用於波形產生的設備。該設備通常包括記憶體,及耦接至該記憶體的一或多個處理器。該記憶體及該一或多個處理器經配置以:在波形的第一階段期間將電壓源耦接至輸出節點,其中該輸出節點耦接至安置在處理腔室之內的電極;並且在波形的第二階段期間將接地節點耦接至輸出節點,其中射頻信號產生器經由濾波器耦接至輸出節點。
隨著技術節點朝著2 nm前進,製造具有較大深寬比的較小特徵涉及電漿處理的原子精度。對於其中電漿離子發揮重要作用的蝕刻製程,離子能量控制對半導體設備行業產生挑戰。傳統的射頻偏壓技術使用正弦波來激發電漿並且加速離子。
本案之一些實施例通常係針對用於產生用於控制離子能量分佈(ion energy distribution; IED)的波形的技術。例如,脈衝電壓波形及射頻(RF)波形可施加於電漿腔室中的相同節點以在IED功能中實施低能量峰值及高能量峰值,在低能量峰值與高能量峰值之間幾乎沒有中間能量,如在本文中更詳細描述的。與高能量峰值相關聯的離子具有能量及方向性,以到達經蝕刻的高深寬比特徵的底部並且實現蝕刻反應。儘管具有低能量的離子無法在蝕刻期間到達特徵的底部,但是低能量離子對於蝕刻製程仍然重要。具有中間能量的離子對蝕刻製程並無益處,因為該等離子不具有所需的方向性,並且會撞擊正經蝕刻的特徵的側壁,經常導致經蝕刻特徵中的側壁出現不期望的彎曲。一些實施例係針對用於產生具有高能量和低能量峰值的波形的技術,其中幾乎沒有或無中間能量離子。 電漿處理系統實例
第1圖為經配置以執行本文所述的電漿處理方法的一或多者的處理系統10的示意橫截面圖。在一些實施例中,處理系統10經配置以用於電漿輔助蝕刻製程,諸如反應性離子蝕刻(reactive ion etch; RIE)電漿處理。然而,應該注意的是,本文描述的實施例亦可與經配置用於其他電漿輔助製程的處理系統一起使用,諸如電漿增強沉積製程,例如電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition; PECVD)製程、電漿增強物理氣相沉積(plasma-enhanced physical vapor deposition; PEPVD)製程、電漿增強原子層沉積(plasma-enhanced atomic layer deposition; PEALD)製程、電漿處理製程或基於電漿的離子注入製程,例如電漿摻雜(plasma doping; PLAD)製程。
如圖所示,處理系統10經配置以形成電容耦合電漿(capacitively coupled plasma; CCP),其中處理腔室100包括安置在處理容積129中的上電極(例如,腔室蓋),該上電極面向亦安置在處理容積129中的下電極(例如,基板支撐組件136)。在典型的電容耦合電漿(CCP)處理系統中,射頻(RF)源經電耦合至上電極或下電極之一者,該電極傳遞經配置以點燃且維持電漿(例如,電漿101)的射頻信號,該電漿經電容耦合至上電極及下電極之一者並且安置在該上電極與下電極之間的處理區域中。典型地,上電極或下電極中的相對一者經耦接至地或耦接至第二射頻電源用於額外的電漿激發。如圖所示,處理系統10包括處理腔室100、支撐組件136,及系統控制器126。
處理腔室100典型地包括腔室主體113,該腔室主體包括腔室蓋123、一或多個側壁122,及腔室基座124,上述各者共同地界定處理容積129。一個或多個側壁122和腔室底座124通常包括各種材料,該等材料經尺寸及形狀設計以形成處理腔室100的元件的結構支撐並且經配置以承受施加到該等結構支撐的壓力和附加能量,同時在處理期間,電漿101在保持於處理腔室100的處理容積129中的真空環境中產生。在一個實例中,一或多個側壁122及腔室基座124係由金屬形成,諸如鋁、鋁合金或不銹鋼合金。
穿過腔室蓋123安置的氣體入口128用於從與其流體連通的處理氣體源119向處理容積129輸送一或多種處理氣體。基板103經由一或多個側壁122中的一者中之開口(未圖示)裝載至處理容積129中,或從該處理容積129移除,該開口在基板103的電漿處理期間用狹縫閥(未圖示)密封。
在一些實施例中,穿過在基板支撐組件136中形成的開口可移動安置的複數個升舉銷206用於促進基板往返於支撐表面105A的移送。在一些實施例中,複數個升舉銷20經安置在升舉銷箍(未圖示)上方並且與其耦接及/或接合,該升舉銷箍安置在處理容積129中。升舉銷箍可經耦接至穿過腔室基座124密封地延伸的軸(未圖示)。該軸可經耦接至用於升高或降低升舉銷箍的致動器(未圖示)。當升舉銷箍處於升高位置時,其與複數個升舉銷20接合以將升舉銷的上表面升高到基板支撐表面105A上方,從而將基板103從其提升並且使得能夠經由機器人處理機(未圖示)能夠接近基板103的非活動(背側)表面。當升舉銷箍處於降低位置時,複數個升舉銷20與基板支撐表面105A齊平或凹陷在基板支撐表面105A下方,並且基板103擱置在該基板支撐表面上。
亦在本文中稱為處理腔室控制器的系統控制器126包括中央處理單元(central processing unit; CPU) 133、記憶體134,及支援電路135。系統控制器126用以控制用於處理基板103的製程序列,包括本文所述的基板偏置方法。CPU 133為經配置用於工業環境中的通用電腦處理器,用於控制處理腔室及與其相關的子處理器。本文所述的記憶體134(其通常為非揮發性記憶體)可包括隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器,或其他適當形式的本端或遠端的數位儲存器。支援電路135習知地耦接至CPU 133並且包含快取記憶體、時鐘電路、輸入/輸出子系統、電源等等,及上述各者的組合。軟體指令(程式)及資料可經編碼及儲存在用於指示CPU 133內的處理器的記憶體134之內。系統控制器126中的CPU 133可讀的軟體程式(或電腦指令)確定何任務可由處理系統10中的元件執行。
典型地,可由系統控制器126中的CPU 133讀取的程式包括代碼,當由處理器(CPU 133)執行時,該代碼進行與本文所述的電漿處理方案相關的任務。該程式可包括用於控制處理系統10內的各種硬體及電子元件的指令,以執行用於實施本文所述的方法的各種製程任務及各種製程序列。在一個實施例中,該程式包括用於執行下文關於第8圖描述的一或多個操作的指令。
電漿控制系統通常包括用於在偏壓電極104處建立至少第一脈衝電壓(pulsed voltage; PV)波形的第一源組件196,以及用於在邊緣控制電極115處建立至少第二PV波形的第二源組件197。第一PV波形或第二PV波形可使用波形產生器組件150內的一或多個元件產生,波形產生器組件150可對應於如本文中關於第4圖及第5圖更詳細描述的波形產生器。在一些實施例中,波形產生器將射頻信號傳送至支撐基座107(例如,電源電極或陰極)或偏壓電極104,偏壓電極104可用於在設置於基板支撐組件136與腔室蓋123之間的處理區域中產生(維持及/或點燃)電漿101。
在一些實施例中,射頻信號用於使用安置在處理容積129中的處理氣體及由傳送至支撐基座107及/或偏壓電極104的射頻功率(射頻信號)產生的場來點燃和維持處理電漿101。在一些態樣中,射頻信號可由波形產生器組件150產生。處理容積129經由真空出口120與一或多個專用真空泵流體耦接,該一或多個專用真空泵將處理容積129保持在低於大氣壓的壓力條件下並從中排空處理及/或其他氣體。在一些實施例中,設置在處理容積129中的基板支撐組件136經安置在支撐軸138上,支撐軸138接地並延伸穿過腔室基座124。波形產生器組件150可包括射頻產生器506,如第5圖中所示。如第5圖中所示,在一些實施例中,射頻產生器506可使用射頻信號源580和射頻匹配網路582來實施。在一些實施例中,如下文進一步論述的,射頻產生器506經配置以傳送具有大於40 MHz,諸如在大約40 Mhz與大約200 MHz之間的頻率的射頻信號。
如上簡要論述,基板支撐組件136通常包括基板支撐件105(例如,ESC基板支撐件)及支撐基座107。在一些實施例中,基板支撐組件136可另外包括絕緣板111及接地板112,如下文進一步論述的。支撐基座107經由絕緣板111與腔室基座124電隔離,且接地板112介於絕緣板111與腔室基座124之間。基板支撐件105熱耦接至並安置在支撐基座107上。在一些實施例中,支撐基座107經配置以在基板處理期間調節基板支撐件105及安置在基板支撐件105上的基板103的溫度。在一些實施例中,支撐基座107包括安置在其中的一或多個冷卻通道(未圖示),該一或多個冷卻通道與冷卻劑源(未圖示)流體耦接且流體連通,該冷卻劑源諸如具有相對高電阻的致冷劑源或水源。在一些實施例中,基板支撐件105包括加熱器(未圖示),諸如嵌入其介電材料中的電阻加熱元件。在此,支撐基座107由耐腐蝕導熱材料形成,諸如耐腐蝕金屬,例如鋁、鋁合金或不銹鋼,並且經由黏合劑或藉由機械方式耦接至基板支撐件。
通常,基板支撐件105由介電材料形成,諸如塊狀燒結陶瓷材料,諸如耐腐蝕金屬氧化物或金屬氮化物材料,例如氧化鋁(Al 2O 3)、氮化鋁(AlN)、氧化鈦(TiO)、氮化鈦(TiN)、氧化釔(Y 2O 3)、上述材料的混合物或上述材料的組合。在本文的實施例中,基板支撐件105進一步包括嵌入其介電材料中的偏壓電極104。
在一製冷配置中,偏壓電極104是一種夾持電桿,該夾持電桿用於將基板103固定(亦即,夾持)至基板支撐件105的基板支撐表面105A,並且使用本文所述的一或多種脈衝電壓偏壓方案相對於處理電漿101偏壓基板103。通常,偏壓電極104由一或多個導電部分形成,諸如一或多個金屬網、箔、板或上述各者的組合。
在一些實施例中,偏壓電極104電耦接至夾持網路,該夾持網路使用電導體,諸如同軸電力輸送線106(例如,同軸電纜),向偏壓電極104提供夾持電壓,諸如約-5000 V與約5000 V之間的靜態直流電壓。如下文將進一步討論的,夾持網路包括直流電源155(諸如,高壓直流(High Voltage Direct Current; HVDC)電源)及濾波器151(例如,低通濾波器)。
基板支撐組件136可進一步包括邊緣控制電極115,邊緣控制電極115位於邊緣環114下方並圍繞偏壓電極104,及/或安置為距偏壓電極104的中心一定距離處。通常,對於經配置以處理圓形基板的處理腔室100,邊緣控制電極115為環形,且由導電材料製成,並且經配置以圍繞偏壓電極104的至少一部分。在一些實施例中,諸如第1圖中所示,邊緣控制電極115位於基板支撐件105的區域內。在一些實施例中,如第1圖中所示,邊緣控制電極115包括導電網、箔及/或板,其經安置為距基板支撐件105的基板支撐表面105A與偏壓電極104相似的距離(亦即,Z方向)。
邊緣控制電極115可經由使用一波形產生器組件來偏壓,該波形產生器組件不同於用以將偏壓電極104偏壓的波形產生器組件150。在一些實施例中,邊緣控制電極115可經由使用波形產生器組件150來偏壓,該波形產生器組件150亦用於藉由將部分功率分配給邊緣控制電極115來將偏壓電極104偏壓。在一種配置中,第一源組件196的第一波形產生器組件150經配置以將偏壓電極104偏壓,並且第二源組件197的第二波形產生器組件150經配置以將邊緣控制電極115偏壓。
電力輸送線157將第一源組件196的波形產生器組件150的輸出電連接至偏壓電極104。雖然下文的討論主要論述了用於將波形產生器組件150耦合至偏壓電極104的第一源組件196的電力輸送線157,但是將波形產生器組件150耦接至邊緣控制電極115的第二源組件197的電力輸送線158將包括相同或相似的元件。電力輸送線157的各個部分內的電導體可包括:(a)一根同軸電纜或同軸電纜的組合,諸如與剛性同軸電纜串列連接的撓性同軸電纜,(b)絕緣高壓耐電暈連接線,(c)裸線,(d)金屬棒,(e)電連接器,或(f) (a)-(e)中的電氣元件之任一組合。
在一些實施例中,處理腔室100進一步包括石英管110或套環,其至少部分地包圍基板支撐組件136的部分,以防止基板支撐件105及/或支撐基座107與腐蝕性處理氣體或電漿、清潔氣體或電漿或其副產物接觸。通常,石英管110、絕緣板111及接地板112由襯墊108包圍。在一些實施例中,電漿螢幕109位於陰極襯墊108與側壁122之間,以防止電漿在電漿螢幕109下方的襯墊108與一或多個側壁122之間的容積中形成。
第2A圖圖示可在處理腔室的電極處建立的電壓波形。第2B圖圖示歸因於不同的電壓波形而在基板處建立的不同類型的電壓波形225及230的實例,類似於分別在處理腔室內的電極處建立的第2A圖中所示的電壓波形。波形包括兩個階段:離子電流階段及鞘塌陷階段,如圖所示。在離子電流階段開始時,基板電壓的下降在基板上方產生高壓鞘,將正離子加速至基板。在離子電流階段期間轟擊基板表面的正離子在基板表面沉積正電荷,若不進行補償,則會導致在離子電流階段期間正向逐漸增加基板電壓,如由第2B圖中的電壓波形225所示。然而,如由電壓波形225所示,基板表面上正電荷的不受控制的積累不期望地逐漸使鞘和卡盤電容器放電,緩慢降低鞘電壓降並使基板電位更接近於零。正電荷的積累導致在基板上建立的電壓波形中的電壓下降(第2B圖)。然而,如第2A圖中所示,可產生在離子電流階段期間於具有負斜率的電極處建立的電壓波形,以便為經建立的基板電壓波形建立方形區域(例如,接近零斜率),如由第2B圖中的曲線230所示。在離子電流階段期間於電極處建立的波形中實現斜率可稱為電流補償。離子電流階段的開始與結束之間的電壓差決定了離子能量分佈函數(ion energy distribution function; IEDF)的寬度。電壓差越大,IEDF寬度越寬。為了達成單能離子及更窄的IEDF寬度,操作經執行以使用電流補償使離子電流階段中的基板電壓波形變平。在本案的一些實施例中,射頻信號疊加在第2A圖中所示的電壓波形上。 波形產生的產生技術
本發明的某些實施例通常係針對波形產生技術,該技術促進使用同時電漿產生和離子能量分佈(IED)控制對基板進行電漿處理,同時減少在經蝕刻高深寬比特徵中形成的不期望的IED彎曲輪廓。例如,脈衝電壓(PV)波形可用疊加在PV波形上的射頻信號產生。在一些實施例中,經產生的波形亦可包括斜坡信號以促進電流補償,如本文所述。
第3A圖圖示當使用單個射頻頻率激發波形時的典型IED。如圖所示,IED具有雙模態形狀,具有高能量峰值306、低能量峰值302及中等能量離子(例如,與中等能量區域304相關聯)。從電漿蝕刻製程的態樣來看,僅有處於或接近高能量峰值的離子才具有能量和方向性以克服在經蝕刻材料中產生的離子產生的充電效應,並到達特徵的底部且進行蝕刻反應。具有中間能量的離子對蝕刻製程並無益處,因為該等離子不具有方向性,並且將傾向於會撞擊特徵的側壁,經常導致不期望的IED彎曲輪廓。低能量離子對於蝕刻蝕刻很重要,因為該等離子可清潔遮罩表面並保持遮罩層的形狀,防止孔堵塞。本案的一些實施例係針對創建具有高能量峰值和低能量峰值的能量分佈,在高能量峰值與低能量峰值之間幾乎沒有或無中間能量。
第3B圖為圖示根據本案之某些實施例的IED函數(IED function; IEDF)的示圖。如圖所示,IEDF包括低能量峰值301及高能量峰值303。與低能量峰值相關的能量可小於幾百eV(例如,小於1K eV),並且與高能量峰值相關的能量可為幾百eV至數万eV,取決於待在基板中形成的特徵的深寬比。例如,在某些情況下,與高能量峰值相關的能量可在4k eV至10k eV之間。如圖所示,在低能量峰值301與高能量峰值303之間不存在離子(或至少少於傳統實施方式)。一些實施例係針對用於使用波形裁製技術實施第3B圖中所示的離子能量分佈的技術,如本文中更詳細地論述。
第4圖圖示根據本案的某些實施例的,使用波形產生器產生的波形400。如圖所示,波形400包括波形區域401及405。波形區域401包括疊加有射頻信號404的直流(DC)信號,並且波形區域405包括疊加有射頻信號404的電壓斜坡(例如,用於電流補償)。
射頻信號404維持腔室中的電漿並產生關於第3B圖描述的低能量峰值301。在一些實施例中,射頻信號404可具有40 Mhz到200 MHz之間的頻率。射頻信號404的頻率可高於離子鞘渡越頻率。在此情況下,穿過鞘層厚度的平均離子渡越時間長於射頻信號404的週期,導致離子經歷射頻信號404的多個週期並獲得與多個週期相關的平均能量以產生低能量峰值301。因此,離子由射頻信號404引起的平均鞘電位加速,以使得單個離子能量峰值得以達成。高頻射頻激發產生具有單能量峰值的離子。換言之,穿過鞘的離子經歷由射頻信號404驅動的平均鞘電位,產生單個離子能量峰值而非連續的能量分佈。
在脈衝波形週期的一部分期間,歸因於脈衝階躍的上升邊緣402,電漿體電子經吸引至基板(例如基板103)的表面。然而,電漿體電子可能無法建立負直流鞘電位以產生更高的能量峰值303。基板表面與電極(例如,支撐基座107)形成電容元件(例如,稱為靜電吸盤電容器(C esc)),在一些實施例中,該電容元件包括安置在偏壓電極104與基板支撐表面105A之間的基板支撐件105的介電材料層,如第1圖中所示。電極上存在等量的正電荷(例如,與基板上的負電荷相比)以抵消由電漿體電子產生的場。在波形400的下降沿403,歸因於將波形施加至電極,離子被電子中和。因此,在基板表面上建立了負直流鞘電位。此為更高能量峰值303的起源。直流鞘電位 (V dc) 或更高的離子能量可基於以下公式使用下降沿( Δ V)的幅度及C esc與鞘電容( C sheath )之間的比率來近似計算:
Figure 02_image001
因此,波形區域401用於維持腔室中的電漿(例如,同時產生較低能量峰值301)並為較高能量峰值303建立直流鞘電位。
當進入的離子中和基板表面上的電子時,若無補償手段,則直流鞘電位會降低。因此,入射至基板上的離子將不是單能的。在一些實施例中,在波形區域405期間實施電壓斜坡以向電極供應增加量的電子以抵消由於進入離子而由正電荷引起的另外增加的電場,從而保持恆定的鞘電位(單能量峰值)。用於實現斜坡的直流電源電流可經控制以均衡和補償在離子電流階段期間提供的離子電流。離子電流( I ion )可藉由使用離子能量診斷來校準,或基於以下公式藉由對電極電壓(V0)(例如,計算V0的時間導數)和鞘電勢的值取樣來計算:
Figure 02_image003
如圖所示,射頻信號404亦可在區域405期間疊加在斜坡信號上以繼續維持腔室中的電漿(例如,同時產生較低能量峰值301)並為較高能量峰值303建立直流鞘電位。
第5圖圖示根據本案之某些實施例的,用於偏壓基板以達成IED控制的波形產生器500的示例性實施。波形產生器500可用於實現波形產生器組件150,如關於第1圖所述。如圖所示,波形產生器500可產生關於第4圖所述的波形400。
波形產生器500包括用於在波形區域401期間實現正電壓的主電壓源502(例如,直流電壓源)、用於在波形區域405期間實現斜坡電壓的電流源505及用於提供射頻信號404的RF產生器506(亦稱為射頻信號產生器)。波形產生器500在輸出節點504處產生波形400。輸出節點504可經耦接至基板支撐件105(例如,陶瓷圓盤)或支撐基座107中的偏壓電極104。若輸出節點504耦接至支撐基底107,則輸出節點504與基板103之間的總電容(例如,1/C = 1/C esc+ 1/C SB,其中C SB為安置在支撐基底107與偏壓電極104之間的介電層的電容)將大於輸出節點504耦接至偏壓電極104(例如,C esc)時的總電容。較大的電容可導致跨C esc的電壓降較低,而鞘套上的電壓降更大。
如圖所示,開關520(例如高壓固態繼電器)可耦接在主電壓源502與輸出節點504之間,並且開關522(例如高壓固態繼電器)可耦接在接地節點508與輸出節點504之間。如圖所示,RF濾波器540可在電壓源502與開關520之間的路徑中實現,RF濾波器542可在接地節點508與開關522之間的路徑中實現,並且RF濾波器544可在電流源505與輸出節點504之間實現。RF濾波器540、542、544可經實現為低通濾波器,該低通濾波器經配置以阻擋從RF產生器506提供的RF信號。電壓源502及電流源505係由各自的RF濾波器540、544保護而免受RF產生器506輸出的影響。換言之,RF濾波器540、544經配置以阻擋從RF產生器506提供的高頻RF信號。當開關522閉合時,接地節點508經由RF濾波器542(例如,低通濾波器)與RF產生器506隔離。在一些實施例中,RF濾波器540、542、544中的每一者可實現為並聯LC拓撲,如第6圖中所示。
第6圖圖示具有電容元件602及電感元件604的並聯LC濾波器拓撲600。如圖所示,電容元件602可並聯耦接至電感元件604並且耦接在節點610、612之間。RF濾波器540、542、544中的每一者可使用並聯LC濾波器拓撲600實施。例如,對於RF濾波器542,節點610可經耦接至接地節點508並且節點612可經耦接至開關522。作為一個實例,對於40 MHz的RF信號,電容元件602可為100皮法(pF),而電感元件604可為158奈亨(nH)以阻擋40 MHz的RF信號。換言之,LC濾波器拓撲600為有效地充當40 Mhz信號的開路的諧振電路,將電壓源502、接地節點508或電流源505與40 MHz的RF信號隔離開。
第7圖為圖示根據本案的某些實施例的開關520(標記為「S1」)和開關522(標記為「S2」)的狀態的時序圖700。如圖所示,開關520、522沒有同時閉合以避免電壓源502與接地節點508電短路。在一些實施例中,在波形週期(例如,波形400的週期)的階段1期間,開關520可閉合以產生如第4圖中所示的上升沿402。開關520可閉合範圍從20 ns至2000 ns的時間段,以允許在基板表面收集足夠數目的電子。在與波形區域401相關的週期之後,可打開開關520並且可閉合開關522以在波形週期的階段2期間產生下降沿403。在斷開開關S1之後,開關S2可閉合10 ns至100 ns的時間段。
在一些實施例中,在階段1期間,當開關S1閉合時,正電荷積聚在第1圖中所示的基板103上。歸因於電容效應,基板103上的電壓無法瞬時改變。因此,在階段2期間,一旦開關S1打開並且開關S2閉合,輸出節點504(例如,第1圖所示的電極104處)的電壓從正電壓下降至負電壓,如第4圖中所示。據信從正電壓至負電壓的下降是由於在電極104上形成負電荷以抵消基板104上的正電荷。換言之,基板103上的正電荷將電子吸引至電極104,導致在開關S2閉合時於輸出節點504處下降至負電壓。
在波形週期的第三階段期間,開關520、522皆保持打開。如第5圖中所示,RF產生器506和電流源505可一直連接至輸出節點504(例如,連接至腔室)。在一些實施例中,高通濾波器546可耦接在RF產生器506與輸出節點504之間。高通濾波器546將RF產生器與輸出節點504處的DC分量(例如,當開關520閉合時由電流源505、電壓源502引起或當開關522閉合時由接地節點508引起)隔離。在一些實施例中,高通濾波器546可實現為交流(alternating current; AC)阻擋電容器。
在一些實施例中,阻抗570可經耦接在電流源505的輸出與接地節點之間,以在開關520閉合時分流來自電流源505的輸出電流。換言之,歸因於電壓源502與輸出節點504的耦接,可能發生突然的阻抗變化。一旦開關520閉合,阻抗570提供電流從電流源505至地面的流動路徑,允許在上升沿402之後來自電流源505的電流逐漸減小。如圖所示,阻抗570可使用具有電感元件574及電阻元件572的電感電阻器(RL)電路來實現。當使用40 MHz的RF信號時,電感元件的阻抗可為2微亨(mH),且電阻元件572的電阻可為100歐姆。
本案的實施例提供了一種製程有利的雙峰值IED及一種在基板表面上實現該IED的方法,用於具有同時電漿激發及維持的電漿處理腔室。與傳統的離子能量控制技術相比,本案的實施例的一個優點是同時產生電漿及IED控制。在一個PV波形週期完成之後,複數個額外的PV波形週期將連續重複多次,如第4圖中重複的第二電壓波形週期的部分說明所示。在一些實施例中,在電極處建立的電壓波形具有導通時間,該導通時間經定義為離子電流時間週期(例如,波形區域405的長度)與波形週期T p(例如,波形區域401的長度+波形區域405的長度)之比率,其大於50%,或大於70%,諸如在80%與95%之間。在一些實施例中,具有約2.5 μs的週期T p的波形週期的PV波形在具有約100微秒(μs)與約10毫秒(ms)之間的叢發週期的PV波形叢發內連續重複。PV波形的叢發可具有約5%至100%之間,諸如約50%與約95%之間的叢發工作週期,其中該工作週期為叢發週期除以叢發週期加上分隔叢發週期的非叢發週期(亦即,不產生PV波形)的比率。
第8圖為圖示用於波形產生的方法800的製程流程圖。方法800可由波形產生系統來執行,該波形產生系統包括諸如波形產生器500的波形產生器及/或諸如系統控制器126的系統控制器。
在活動802處,波形產生系統在波形(例如,波形400)的第一階段(例如,第7圖中所示的階段1)期間將電壓源(例如,電壓源502)耦合至(例如,藉由閉合開關520)輸出節點(例如,輸出節點504)。輸出節點可經耦合至安置在處理腔室(例如,處理腔室100)內的電極。例如,輸出節點可經耦合至電極104或支撐基座107。
在活動804處,波形產生系統在波形的第二階段(例如,第7圖中所示的階段2)期間將接地節點(例如,接地節點508)耦合至(例如,藉由閉合開關522)輸出節點。在一些實施例中,RF信號產生器(例如,RF產生器506)在第一階段期間經由濾波器(例如濾波器546)耦合至輸出節點。RF信號產生器可在波形的第一階段、第二階段及第三階段(例如,第7圖中所示的階段3)期間耦合至輸出節點。在第三階段期間,電壓源和接地節點與輸出節點去耦合(例如,藉由斷開開關520、522)。在一些實施例中,電壓源經由濾波器(例如,濾波器540)耦合至輸出節點,並且接地節點經由濾波器(例如,濾波器542)耦合至輸出節點。
在一些實施例中,電流源(例如,電流源505)在波形的第三階段期間耦合至輸出節點,電壓源和接地節點在第三階段期間與輸出節點去耦合。電流源可經由濾波器(例如,濾波器544)耦合至輸出節點。
本文使用的術語「耦合」是指兩個物體之間的直接或間接耦合。例如,若物體A與物體B實體接觸,物體B與物體C接觸,則物體A和C仍可被視為彼此耦合——即使物體A和C不直接實體接觸彼此。例如,即使第一物體從未與第二物體直接實體接觸,第一物體也亦可耦合至第二物體。
雖然前述內容係針對本案的各個實施例,但是可在不背離本案的基本範疇的情況下設計本案之其他及進一步實施例,且本發明的範疇由以下的申請專利範圍確定。
10:處理系統 100:處理腔室 101:電漿 103:基板 104:偏壓電極 105:基板支撐件 105A:基板支撐表面 106:同軸電力輸送線 107:支撐基座 108:襯墊 109:電漿螢幕 110:石英管 111:絕緣板 112:接地板 113:腔室主體 114:邊緣環 115:邊緣控制電極 119:處理氣體源 120:真空出口 122:側壁 123:腔室蓋 124:腔室基座 126:系統控制器 128:氣體入口 129:處理容積 129:處理容積 133:中央處理單元 134:記憶體 135:支援電路 136:基板支撐組件 138:支撐軸 150:波形產生器組件 151:濾波器 155:直流電源 157:電力輸送線 158:電力輸送線 196:第一源組件 197:第二源組件 225:電壓波形 230:電壓波形 301:低能量峰值 302:低能量峰值 303:高能量峰值 304:中等能量區域 306:高能量峰值 400:波形 401:波形區域 402:上升沿 403:下降沿 404:射頻信號 405:波形區域 500:波形產生器 502:主電壓源 504:輸出節點 505:電流源 506:RF產生器 508:接地節點 520:開關 522:閉合開關 540:RF濾波器 542:RF濾波器 544:RF濾波器 546:高通濾波器 570:阻抗 572:電阻元件 574:電感元件 580:射頻信號源 582:射頻匹配網路 600:並聯LC濾波器拓撲 602:電容元件 604:電感元件 610:節點 612:節點 700:時序圖 800:方法 802:活動 804:活動 S1:開關 S2:開關 T P:週期
以能夠詳細理解本案之上述特徵的方式,可經由參考實施例獲得簡要概述於上文的本案之更特定描述,該等實施例之一些實施例圖示於附圖中。然而,應注意,附圖僅圖示示例性實施例並且因此不被視為限制本案之範疇,並且可允許其他同等有效的實施例。
第1圖為根據一或多個實施例,經配置以實踐本文所述的方法的處理系統的示意橫截面圖。
第2A圖圖示根據一或多個實施例,可施加於處理腔室的電極的電壓波形。
第2B圖圖示歸因於施加於處理腔室的電極的電壓波形而在基板上建立的電壓波形。
第3A圖圖示當使用單頻激發波形時的典型離子能量分佈(ion energy distribution; IED)。
第3B圖為圖示根據本案之某些實施例的IED函數(IED function; IEDF)的示圖。
第4圖圖示根據本案的某些實施例的,使用波形產生器產生的波形。
第5圖圖示根據本案之某些態樣的,用於偏壓基板以達成IED控制的波形產生器的示例性實施。
第6圖圖示根據本案的某些實施例的示例性濾波器拓撲。
第7圖為圖示根據本案之某些態樣的,第5圖的波形產生器的切換狀態的時序圖。
第8圖為圖示用於波形產生的方法的製程流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室
500:波形產生器
502:主電壓源
504:輸出節點
505:電流源
506:RF產生器
508:接地節點
520:開關
522:閉合開關
540:濾波器
542:濾波器
544:濾波器
546:高通濾波器
570:阻抗
572:電阻元件
574:電感元件
580:射頻信號源
582:射頻匹配網路

Claims (20)

  1. 一種用於電漿處理的波形產生器,包含: 一電壓源,選擇性地耦合至一輸出節點,其中 該輸出節點經配置以耦合至安置在一處理腔室之內的一電極,及 該輸出節點經選擇性地耦接至一接地節點; 一射頻(RF)信號產生器;以及 一第一濾波器,耦接在該RF信號產生器與該輸出節點之間。
  2. 如請求項1所述之波形產生器,其中該波形產生器經配置以藉由選擇性地將該電壓源和該接地節點耦合至該輸出節點來產生一脈衝電壓信號,並且其中該RF信號產生器經配置以產生疊加在該脈衝電壓信號上的一RF信號。
  3. 如請求項1所述之波形產生器,其中該第一濾波器包含一高通濾波器。
  4. 如請求項1所述之波形產生器,其中該電壓源經由一開關選擇性地耦接至該輸出節點。
  5. 如請求項1所述之波形產生器,其中該接地節點經由一開關選擇性地耦接至該輸出節點。
  6. 如請求項1所述之波形產生器,進一步包含耦接在該電壓源與該輸出節點之間的一第二濾波器。
  7. 如請求項1所述之波形產生器,進一步包含耦接在該接地節點與該輸出節點之間的一第二濾波器。
  8. 如請求項7所述之波形產生器,其中該第二濾波器包含一低通濾波器。
  9. 如請求項8所述之波形產生器,其中該低通濾波器包含與一電感元件並聯的一電容元件。
  10. 如請求項1所述之波形產生器,進一步包含: 一電流源,耦合至該輸出節點;以及 一第二濾波器,耦接在該電流源與該輸出節點之間。
  11. 如請求項10所述之波形產生器,進一步包含: 一第一開關,經配置以在一第一階段期間將該電壓源耦接至該輸出節點;以及 一第二開關,經配置以在一第二階段期間將該接地節點耦合至該輸出節點,其中該第一開關和該第二開關進一步經配置以在一第三階段期間將該電壓源及該接地節點與該輸出節點去耦合,該RF信號產生器在該第三階段期間經耦合至該輸出節點。
  12. 如請求項10所述之波形產生器,進一步包含耦接在該電流源與該接地節點之間的一阻抗。
  13. 如請求項12所述之波形產生器,其中該阻抗包含一電感元件及一電阻元件。
  14. 如請求項1所述之波形產生器,其中該電壓源包含一直流(DC)電壓源。
  15. 一種用於波形產生的方法,包含以下步驟: 在一波形的一第一階段期間將一電壓源耦接至一輸出節點,其中該輸出節點耦接至安置在一處理腔室之內的一電極;以及 在該波形的一第二階段期間將一接地節點耦接至該輸出節點,其中一射頻(RF)信號產生器在該第一階段期間經由一濾波器耦接至該輸出節點。
  16. 如請求項15所述之方法,進一步包含以下步驟: 藉由將該電壓源及該接地節點耦合至該輸出節點,在該輸出節點產生一脈衝電壓信號;以及 經由該RF信號產生器產生疊加在該脈衝電壓信號上的一RF信號。
  17. 如請求項16所述之方法,其中該RF信號產生器在該波形的該第一階段、該第二階段和該第三階段期間耦合至該輸出節點,該電壓源及該接地節點在該第三階段期間與該輸出節點去耦合。
  18. 如請求項17所述之方法,其中一電流源在該波形的一第三階段期間耦合至該輸出節點,該電壓源和該接地節點在該第三階段期間與該輸出節點去耦合。
  19. 一種用於波形產生的設備,包含: 一記憶體;以及 一或多個處理器,耦接至該記憶體,該記憶體及該一或多個處理器經配置以: 在一波形的一第一階段期間將一電壓源耦接至一輸出節點,其中該輸出節點耦接至安置在一處理腔室之內的一電極;以及 在該波形的一第二階段期間將一接地節點耦接至該輸出節點,其中一射頻(RF)信號產生器在該第一階段期間經由一濾波器耦接至該輸出節點。
  20. 如請求項19所述之設備,其中一電流源在該波形的一第三階段期間耦合至該輸出節點,該電壓源和該接地節點在該第三階段期間與該輸出節點去耦合。
TW111116189A 2021-06-02 2022-04-28 具有離子能量控制的電漿激發 TW202312218A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/337,146 US11967483B2 (en) 2021-06-02 2021-06-02 Plasma excitation with ion energy control
US17/337,146 2021-06-02

Publications (1)

Publication Number Publication Date
TW202312218A true TW202312218A (zh) 2023-03-16

Family

ID=84285426

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111116189A TW202312218A (zh) 2021-06-02 2022-04-28 具有離子能量控制的電漿激發

Country Status (6)

Country Link
US (1) US11967483B2 (zh)
JP (1) JP2024522091A (zh)
KR (1) KR20240011171A (zh)
CN (1) CN117378031A (zh)
TW (1) TW202312218A (zh)
WO (1) WO2022256086A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing

Family Cites Families (582)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
JP2775345B2 (ja) 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
CN1103655C (zh) 1997-10-15 2003-03-26 东京电子株式会社 应用等离子体密度梯度来产生粒子流的装置和方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
WO2000017920A1 (fr) 1998-09-18 2000-03-30 Tokyo Electron Limited Procede de traitement au plasma
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
KR20020046276A (ko) 1999-08-02 2002-06-20 로버트 엠. 포터 이온 소스를 이용하는 박막 퇴적 시스템의 개선된 전자방출 표면
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
AU2001245938A1 (en) 2000-03-28 2001-10-08 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
KR100842947B1 (ko) 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
JPWO2002059954A1 (ja) 2001-01-25 2004-10-14 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
ATE254192T1 (de) 2001-04-27 2003-11-15 Europ Economic Community Verfahren und vorrichtung zur sequentiellen plasmabehandlung
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
CN100355033C (zh) 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
WO2005052606A1 (ja) 2003-11-28 2005-06-09 Advantest Corporation デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
EP2479783B1 (en) 2004-06-21 2018-12-12 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
WO2006049085A1 (ja) 2004-11-04 2006-05-11 Ulvac, Inc. 静電チャック装置
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
ATE500604T1 (de) 2005-03-30 2011-03-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
CN101053283A (zh) 2005-05-13 2007-10-10 松下电器产业株式会社 电介质阻挡放电灯点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CA2635629A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
KR100777151B1 (ko) 2006-03-21 2007-11-16 주식회사 디엠에스 하이브리드형 플라즈마 반응장치
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
DE502006005363D1 (de) 2006-11-23 2009-12-24 Huettinger Elektronik Gmbh Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
EP2102889B1 (en) 2006-12-12 2020-10-07 Evatec AG Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
US9536711B2 (en) 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
JPWO2009069670A1 (ja) 2007-11-26 2011-04-14 東京エレクトロン株式会社 微小構造体検査装置および微小構造体検査方法
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
CN102789975B (zh) 2008-03-06 2015-10-14 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
JP5891341B2 (ja) 2009-01-13 2016-03-23 ヘルスセンシング株式会社 プラズマ生成装置及び方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
SG175695A1 (en) 2009-08-07 2011-12-29 Kyosan Electric Mfg Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
EP2544616B1 (en) 2010-03-11 2017-09-06 Medtronic Advanced Energy LLC Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
EP2580368B1 (en) 2010-06-11 2015-11-18 Tokyo Electron Limited Apparatus for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP2611465A4 (en) 2010-08-31 2014-06-04 Theraclone Sciences Inc NEUTRALIZING ANTI-VIRUS ANTIBODIES FOR HUMAN IMMUNODEFICIENCY (HIV)
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US20130344702A1 (en) 2011-03-04 2013-12-26 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8979842B2 (en) 2011-06-10 2015-03-17 Medtronic Advanced Energy Llc Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TWI659674B (zh) 2011-10-05 2019-05-11 應用材料股份有限公司 電漿處理設備及蓋組件
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
JP6027551B2 (ja) 2012-02-01 2016-11-16 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
WO2013118660A1 (ja) 2012-02-09 2013-08-15 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置
WO2013125523A1 (ja) 2012-02-20 2013-08-29 東京エレクトロン株式会社 電源システム、プラズマエッチング装置及びプラズマエッチング方法
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
EP2837687B1 (en) 2012-03-30 2017-02-22 Toray Industries, Inc. Method for producing chemical by means of continuous fermentation and continuous fermentation device
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
DK3403995T3 (da) 2012-08-15 2021-04-19 Lockheed Martin Energy Llc Jernhexacyanider med høj opløselighed
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
JP6329542B2 (ja) 2012-08-28 2018-05-23 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. プラズマ処理システム、プラズマシース電圧確立方法、および当該方法を実行可能な命令を読み取り可能な記憶媒体
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
KR102149718B1 (ko) 2012-11-01 2020-08-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
KR102168064B1 (ko) 2013-02-20 2020-10-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
KR20150128965A (ko) 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
WO2015009864A1 (en) 2013-07-17 2015-01-22 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (dms) processes
KR20180110213A (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
WO2015069428A1 (en) 2013-11-06 2015-05-14 Applied Materials, Inc. Particle generation suppressor by dc bias modulation
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR101907375B1 (ko) 2014-03-24 2018-10-12 어드밴스드 에너지 인더스트리즈 인코포레이티드 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
KR102302723B1 (ko) 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
KR102346036B1 (ko) 2014-12-25 2021-12-30 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
WO2016131061A1 (en) 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
CN115410804A (zh) 2015-11-30 2022-11-29 鹰港科技有限公司 高压变压器
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
KR102304823B1 (ko) 2016-03-31 2021-09-23 도쿄엘렉트론가부시키가이샤 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
WO2018048925A1 (en) 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3761762B1 (en) 2016-12-30 2022-04-13 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
EP3832691A1 (en) 2017-03-31 2021-06-09 Eagle Harbor Technologies, Inc. Method of plasma processing a substrate and plasma processing chamber
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
US11658354B2 (en) 2017-05-30 2023-05-23 Titan Advanced Energy Solutions, Inc. Battery life assessment and capacity restoration
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
WO2019036587A1 (en) 2017-08-17 2019-02-21 Tokyo Electron Limited APPARATUS AND METHOD FOR REAL-TIME DETECTION OF PROPERTIES IN INDUSTRIAL MANUFACTURING EQUIPMENT
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6902167B2 (ja) 2017-08-25 2021-07-14 イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. ナノ秒パルスを使用する任意波形の発生
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
WO2019067451A1 (en) 2017-09-26 2019-04-04 Advanced Energy Industries, Inc. SYSTEM AND METHOD FOR IGNITION OF PLASMA
WO2019087977A1 (ja) 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
KR102387008B1 (ko) 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
US10991554B2 (en) 2017-11-16 2021-04-27 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
JP7408570B2 (ja) 2018-05-03 2024-01-05 アプライド マテリアルズ インコーポレイテッド ペデスタル用のrf接地構成
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US11646210B2 (en) 2018-06-18 2023-05-09 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN113345788B (zh) 2018-06-22 2024-06-21 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和存储介质
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020033931A1 (en) 2018-08-10 2020-02-13 Eagle Harbor Technologies, Inc. Plasma sheath control for rf plasma reactors
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
US11257685B2 (en) 2018-09-05 2022-02-22 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
EP3881423A4 (en) 2018-11-14 2022-08-03 AES Global Holdings, Pte. Ltd. ADDITIVE SYNTHESIS OF INTERLEAVED SWITCH MODE POWER STAGES FOR MINIMUM DELAY IN SETPOINT TRACKING
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
US10796887B2 (en) 2019-01-08 2020-10-06 Eagle Harbor Technologies, Inc. Efficient nanosecond pulser with source and sink capability for plasma control applications
WO2020145051A1 (ja) 2019-01-09 2020-07-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
WO2021003319A1 (en) 2019-07-02 2021-01-07 Eagle Harbor Technologies. Inc Nanosecond pulser rf isolation
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
JP2022550053A (ja) 2019-09-25 2022-11-30 イーグル ハーバー テクノロジーズ,インク. エネルギー回収を伴う非線形伝送線路高電圧パルスシャープニング
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11810760B2 (en) * 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation

Also Published As

Publication number Publication date
US11967483B2 (en) 2024-04-23
WO2022256086A1 (en) 2022-12-08
CN117378031A (zh) 2024-01-09
US20220392750A1 (en) 2022-12-08
KR20240011171A (ko) 2024-01-25
JP2024522091A (ja) 2024-06-11

Similar Documents

Publication Publication Date Title
US11776789B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
TW202301913A (zh) 離子電流補償的設備及方法
TW202316478A (zh) 用於電漿處理應用的脈衝電壓源
TW202329193A (zh) 射頻電漿處理腔室中的失真電流減緩
TW202249540A (zh) 脈衝dc電漿腔室中的電漿均勻性控制
TW202312218A (zh) 具有離子能量控制的電漿激發
TWI835163B (zh) 用於基板處理的脈衝電壓增壓
US11984306B2 (en) Plasma chamber and chamber component cleaning methods
JP2024524944A (ja) イオン電流補償の装置及び方法
TW202312640A (zh) 用於對電漿中的離子能量分佈進行數位控制的方法和裝置
JP2024523852A (ja) パルスdcプラズマチャンバにおけるプラズマ均一性制御
TW202312678A (zh) 電壓脈衝的時域多工
KR20230026484A (ko) 펄스식 플라즈마를 사용하여 에칭 선택도를 향상시키는 방법