KR20230026484A - 펄스식 플라즈마를 사용하여 에칭 선택도를 향상시키는 방법 - Google Patents

펄스식 플라즈마를 사용하여 에칭 선택도를 향상시키는 방법 Download PDF

Info

Publication number
KR20230026484A
KR20230026484A KR1020237002379A KR20237002379A KR20230026484A KR 20230026484 A KR20230026484 A KR 20230026484A KR 1020237002379 A KR1020237002379 A KR 1020237002379A KR 20237002379 A KR20237002379 A KR 20237002379A KR 20230026484 A KR20230026484 A KR 20230026484A
Authority
KR
South Korea
Prior art keywords
substrate
plasma
waveform
etching
dielectric material
Prior art date
Application number
KR1020237002379A
Other languages
English (en)
Inventor
하이롱 조우
션 강
켄지 타케시타
라진더 딘사
태환 이
일조 곽
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230026484A publication Critical patent/KR20230026484A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 개시내용의 실시예들은, 플라즈마 프로세싱 챔버의 프로세싱 구역 내에 배치된 기판 지지 어셈블리의 기판 지지 표면 상에 배치되는 기판 상에 형성된 제1 유전체 재료를 에칭하는 단계를 포함하는, 기판을 프로세싱하는 방법을 포함한다. 에칭 프로세스는 프로세스 가스를 프로세싱 구역으로 전달하는 것 ― 프로세스 가스는 제1 플루오로카본 함유 가스 및 제1 프로세스 가스를 포함함 ― , 프로세싱 구역에서 플라즈마를 형성하기 위해, 무선 주파수 생성기의 사용에 의해, 무선 주파수 신호를 제1 전극으로 전달하는 것, 및 제1 펄스식 전압 파형 생성기의 사용에 의해, 기판 지지 어셈블리 내에 배치된 바이어싱 전극에서 제1 펄스식 전압 파형을 설정하는 것을 포함할 수 있다. 제1 펄스식 전압 파형은, 제1 시간 간격 동안 발생하는 제1 부분, 제2 시간 간격 동안 발생하는 제2 부분, 및 피크 대 피크 전압을 각각 포함하는 일련의 반복하는 펄스식 파형 사이클들을 포함한다. 펄스식 전압 파형은 제2 시간 간격의 적어도 일부 동안 실질적으로 일정하다.

Description

펄스식 플라즈마를 사용하여 에칭 선택도를 향상시키는 방법
[0001] 본원에서 설명되는 실시예들은 일반적으로 반도체 디바이스 제조 하드웨어 및 프로세스들에 관한 것이며, 더 구체적으로는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 장치 및 방법들에 관한 것이다.
[0002] 높은 종횡비 피처들을 안정적으로 생성하는 것은 반도체 디바이스들의 차세대 초고밀도 집적(very large scale integration; VLSI) 및 극초고밀도 집적(ultra large scale integration; ULSI)을 위한 핵심 기술 도전 과제들 중 하나이다. 높은 종횡비 피처들을 형성하는 한 가지 방법은 반응성 이온 에칭(reactive ion etch; RIE) 플라즈마 프로세스와 같은 플라즈마 보조 에칭 프로세스(plasma assisted etching process)를 사용하여 기판의 재료 층, 예컨대 유전체 층에서 높은 종횡비 개구들을 형성한다. 통상적인 RIE 플라즈마 프로세스에서, 플라즈마는 RIE 프로세싱 챔버에서 형성되고 플라즈마로부터의 이온들은 기판의 표면을 향해 가속되어 기판의 표면 상에서 형성되는 마스크 층 아래에 배치되는 재료 층에서 개구들을 형성한다.
[0003] 통상적인 반응성 이온 에칭(RIE) 플라즈마 프로세싱 챔버는 무선 주파수(radio frequency; RF) 전압을, 더 일반적으로는 "캐소드"로 지칭되는 "파워 전극"(예를 들면, 바이어싱 전극), 예컨대, 정전 척"(electrostatic chuck; ESC) 어셈블리에 인접하게 배치되는 금속 플레이트에 공급하는 RF 바이어스 생성기를 포함한다. 파워 전극은 ESC 어셈블리의 일부인 유전체 재료(예를 들면, 세라믹 재료)의 두꺼운 층을 통해 프로세싱 시스템의 플라즈마에 용량 결합될 수 있다. 용량 결합된 가스 방전에서, 플라즈마는 반사 전력을 최소화하고 전력 전달 효율성을 극대화하기 위해 겉보기 부하를 50Ω으로 튜닝하는 RF 매칭 네트워크("RF 매칭")를 통해 RF 전극에 결합되는 무선 주파수(RF) 생성기를 사용하는 것에 의해 생성된다. 파워 전극에 대한 RF 전압의 인가는 전자 반발 플라즈마 외장(electron-repelling plasma sheath)("캐소드 외장"으로서 또한 지칭됨)으로 하여금 프로세싱 동안 ESC 어셈블리의 기판 지지 표면 상에 배치되는 기판의 프로세싱 표면 위에 형성되게 한다. 플라즈마 외장의 비선형 다이오드와 같은 본질은 인가된 RF 필드의 정류를 초래하고, 그 결과, 직류(direct-current; DC) 전압 강하, 또는 "셀프 바이어스"가 기판과 플라즈마 사이에 나타나서, 플라즈마 전위와 관련하여 기판 전위를 네거티브로 만든다. 이 전압 강하는 기판을 향해 가속되는 플라즈마 이온들의 평균 에너지를 결정하고, 따라서 에칭 이방성을 결정한다. 더 구체적으로, 이온 방향성, 피처 프로파일, 및 마스크 및 정지 층에 대한 에칭 선택도는 이온 에너지 분포 함수(Ion Energy Distribution Function; IEDF)에 의해 제어된다. RF 바이어스를 갖는 플라즈마에서, IEDF는, 통상적으로, 하나는 낮은 에너지에서 하나는 높은 에너지에서 두 개의 비불연속(non-discrete) 피크들, 및 두 개의 피크들 사이에서 확장되는 일정 범위의 에너지들을 갖는 이온 집단(ion population)을 갖는다. IEDF의 두 개의 피크들 사이 내에 있는 이온 집단의 존재는 기판과 플라즈마 사이의 전압 강하가 RF 바이어스 주파수에서 발진한다는 사실의 반영이다. 더 높은 셀프 바이어스 전압들을 달성하기 위해 더 낮은 주파수 RF 바이어스 생성기가 사용되는 경우, 이들 두 개의 피크들 사이의 에너지에서의 차이는 상당할 수 있고; 그리고 낮은 에너지 피크에서 이온들에 기인하는 에칭 프로파일이 더욱 등방성이기 때문에, 이것은, 잠재적으로, 에칭된 피처 벽들의 만곡으로 이어질 수 있다. 고에너지 이온들과 비교하여, 저에너지 이온들은 (예를 들면, 대전 효과에 기인하여) 에칭된 피처의 저부에 있는 코너에 도달하는 데 덜 효과적이지만, 그러나 마스크 재료의 더 적은 스퍼터링을 야기한다. 이것은 높은 종횡비 에칭 애플리케이션들, 예컨대 하드 마스크 개구 또는 유전체 몰드 에칭에서 중요하다. 피처 사이즈들이 계속 감소하고 종횡비가 증가함에 따라, 피처 프로파일 제어 요건들이 더욱 엄격하게 되지만, 프로세싱 동안 기판 표면에서 잘 제어된 IEDF를 갖는 것은 더욱 바람직하게 된다.
[0004] 다른 종래의 플라즈마 프로세스들 및 프로세싱 챔버 설계들은, 다양한 플라즈마 속성들, 예컨대 플라즈마 밀도, 이온 에너지, 및/또는 플라즈마 화학 물질(plasma chemistry)을 제어하기 위해 플라즈마 프로세싱 챔버 내의 전극들 중 하나 이상으로 다수의 상이한 RF 주파수들을 전달하는 것이 사용될 수 있다는 것을 또한 발견하였다. 그러나, 상이한 RF 주파수들을 제공하도록 각각 구성되는 두 개 이상의 RF 소스들로부터 다수의 종래의 사인파 파형들의 전달은 외장 속성들을 적절하게 또는 바람직하게는 제어할 수 없으며 바람직하지 않은 아크 방전 문제들로 이어질 수 있다는 것이 밝혀졌다. 또한, 프로세싱 동안 RF 소스들 사이의 직접적인 또는 용량성 결합에 기인하여, 각각의 RF 소스는 다른 연결된 RF 소스(들)의 출력으로 제공되는 RF 전류(예를 들면, 종종 "크로스토크"로 지칭됨)를 유도할 수 있고, 그 결과, 전력이 의도된 부하(플라즈마)로부터 멀어지게 방향 전환되는 것뿐만 아니라, 어쩌면 RF 소스들 각각에 대한 손상을 야기할 수 있다.
[0005] 최근에, 삼차원(three-dimensional; 3D) 적층식 메모리 구조물을 포함하는 고밀도 스토리지 디바이스들이 개발되었다. 예를 들면, 3D NAND 적층식 메모리 디바이스는 유전체 재료들 및 전기 전도성 층들(예를 들면, 텅스텐 함유 층들)의 교대하는 수직 스택들의 어레이로부터 형성될 수 있다. 메모리 개구들이 형성되고 교대하는 스택의 유전체 재료 함유 층들을 통해 수직으로 연장되어 전도성 층들의 일부들을 노출시키고, 따라서, 교대하는 스택 구조물 내에서 다양한 깊이들을 갖는다. 메모리 개구들은 궁극적으로 전도성 재료로 충전되어 교대하는 스택의 각각의 층 내의 각각의 전도성 층의 노출된 부분과의 연결을 형성한다. 교대하는 스택 내의 전기 전도성 층들은 3D NAND 적층식 메모리 디바이스의 워드 라인들로서 기능할 수 있고, 메모리 스택 구조물들의 어레이 위에 놓이는 비트 라인들은 반도체 채널들의 드레인 측 단부들에 연결될 수 있다. 그러나, 그것은, 가장 깊은 깊이들에서 형성되는 층들에 대비하여 가장 얕은 깊이들에서의 교대하는 스택의 층들의 일부들을 오버 에칭하지 않으면서 단일의 에칭 단계에서, 교대하는 스택 내에서 상이한 깊이들로 연장되는 메모리 개구들을 형성할 때 바람직하다. 따라서, 교대하는 스택의 유전체 부분들을 선택적으로 에칭할 수 있고 전도성 층들 상에서 멈출 수 있는, 그 결과, 에칭 프로세스 동안 다양한 전도성 피처들의 노출된 부분들을 오버 에칭하지 않으면서 교대하는 스택 내의 층들 모두까지 메모리 개구들 모두가 형성될 수 있는 에칭 프로세스에 대한 요구가 있다.
[0006] 따라서, 기술 분야에서는, 거의 일정한 외장 전압을 유지하는 것을 가능하게 하는, 따라서, 기판의 표면에서 바람직하고 반복 가능한 IEDF를 생성하여, IEDF의 형상 및, 일부 경우들에서, 기판 표면에서 형성되는 피처들의 에칭 프로파일에 대한 정확한 제어를 가능하게 하는 신규의, 강건한 그리고 신뢰 가능한 플라즈마 프로세싱 및 바이어싱 방법들에 대한 요구가 있다. 또한, 상기에서 설명되는 문제들을 해결하는 시스템, 디바이스(들) 및 방법들에 대한 요구가 있다.
[0007] 본 개시내용은 일반적으로 플라즈마 프로세싱 챔버의 프로세싱 구역 내에 배치된 기판 지지 어셈블리의 기판 지지 표면 상에 배치되는 기판 상에 형성된 제1 유전체 재료를 에칭하는 것을 포함하는 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법을 포함한다. 제1 유전체 재료를 에칭하는 프로세스는 프로세스 가스를 플라즈마 프로세싱 챔버의 프로세싱 구역으로 전달하는 것 ― 프로세스 가스는 제1 플루오로카본 함유 가스 및 제1 프로세스 가스를 포함함 ― , 프로세싱 구역에서 플라즈마를 형성하기 위해, 무선 주파수 생성기의 사용에 의해, 플라즈마 프로세싱 챔버 내에 배치된 제1 전극에 무선 주파수 신호를 전달하는 것, 및 제1 펄스식 전압 파형 생성기의 사용에 의해, 기판 지지 어셈블리 내에 배치된 바이어싱 전극에서 제1 펄스식 전압 파형을 설정하는 것 ― 제1 펄스식 전압 파형은 일련의 반복하는 펄스식 파형 사이클들을 포함함 ― 을 포함한다. 각각의 펄스식 파형 사이클은 제1 시간 간격 동안 발생하는 제1 부분 및 제2 시간 간격 동안 발생하는 제2 부분, 및 피크 대 피크 전압을 포함하는데, 여기서 제2 시간 간격은 제1 시간 간격보다 더 크다. 펄스식 전압 파형은 제2 시간 간격의 적어도 일부 동안 실질적으로 일정하다.
[0008] 본 개시내용의 실시예들은, 플라즈마 프로세싱 챔버의 프로세싱 구역 내에 배치된 기판 지지 어셈블리의 기판 지지 표면 상에 배치되는 기판 상에 형성된 제1 유전체 재료를 에칭하는 것을 포함하는 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법을 추가로 제공할 수 있다. 제1 유전체 재료를 에칭하는 프로세스는 프로세스 가스를 플라즈마 프로세싱 챔버의 프로세싱 구역으로 전달하는 것을 포함하는데, 여기서 프로세스 가스는 제1 플루오로카본 함유 가스 및 제1 프로세스 가스를 포함한다. 제1 플루오로카본 함유 가스는 C4F6 또는 C3F6 중 적어도 하나를 포함하고, 제1 프로세스 가스는 N2, Kr 및 O2 중 적어도 하나를 포함한다. 제1 유전체 재료를 에칭하는 프로세스는, 프로세싱 구역에서 플라즈마를 형성하기 위해, 무선 주파수 생성기의 사용에 의해, 플라즈마 프로세싱 챔버 내에 배치된 제1 전극에 무선 주파수 신호를 전달하는 것, 및 제1 펄스식 전압 파형 생성기의 사용에 의해, 기판 지지 어셈블리 내에 배치된 바이어싱 전극에서 제1 펄스식 전압 파형을 설정하는 것 ― 제1 펄스식 전압 파형은 일련의 반복하는 펄스식 파형 사이클들을 포함함 ― 을 또한 포함한다. 각각의 펄스식 파형 사이클은 제1 시간 간격 동안 발생하는 제1 부분 및 제2 시간 간격 동안 발생하는 제2 부분, 및 피크 대 피크 전압을 포함하는데, 여기서 제2 시간 간격은 제1 시간 간격보다 더 크다. 제1 시간 간격은 약 200ns 내지 약 400ns일 수 있고, 제1 시간 간격은 일련의 반복하는 사이클들 중 한 사이클의 약 20% 미만일 수 있다. 각각의 펄스식 파형 사이클 내의 펄스식 전압 파형은 약 5kV 내지 20kV인 피크 대 피크 전압을 가질 수 있다. 펄스식 전압 파형은 제2 시간 간격의 적어도 일부 동안 실질적으로 일정하다.
[0009] 본 개시내용의 상기 기재된 피처들이 상세하게 이해될 수 있는 방식으로, 상기에서 간략하게 요약된 본 개시내용의 더욱 특정한 설명이 실시예들에 대한 참조에 의해 이루어질 수 있는데, 그들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부의 도면들은 단지 예시적인 실시예들을 예시하고 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 되며, 다른 동등하게 유효한 실시예들을 허용할 수 있다는 것을 유의해야 한다.
[0010] 도 1은, 일 실시예에 따른, 본원에서 설명되는 방법들을 실시하도록 구성되는 프로세싱 챔버의 개략적인 단면도이다.
[0011] 도 2는, 일 실시예에 따른, 도 1에서 예시되는 프로세스 챔버와 함께 사용될 수 있는 바이어싱 방식(biasing scheme)의 단순화된 개략적인 다이어그램이다.
[0012] 도 3a는, 일 실시예에 따른, 도 1에서 예시되는 프로세스 챔버에서 수행될 수 있는 네거티브 펄스 바이어싱 방식의 기능적으로 등가인 회로 다이어그램이다.
[0013] 도 3b는, 일 실시예에 따른, 도 1에서 예시되는 프로세스 챔버에서 수행될 수 있는 포지티브 펄스 바이어싱 방식의 기능적으로 등가인 회로 다이어그램이다.
[0014] 도 4a는, 일 실시예에 따른, 바이어싱 전극에서 설정되는 네거티브 펄스식 전압(pulsed voltage; PV) 파형의 예를 예시한다.
[0015] 도 4b는, 일 실시예에 따른, 바이어싱 전극에서 설정되는 성형된 펄스식 전압(PV) 파형의 예를 예시한다.
[0016] 도 4c는, 일 실시예에 따른, 바이어싱 전극에서 설정되는 포지티브 펄스식 전압(PV) 파형의 예를 예시한다.
[0017] 도 4d는, 일 실시예에 따른, 프로세싱 동안 기판에서 설정되는 네거티브 펄스식 전압(PV) 파형과 포지티브 펄스식 전압(PV) 파형의 비교를 예시한다.
[0018] 도 5는, 일 실시예에 따른, 에칭된 트렌치들이 기판의 다양한 전도성 층들에 도달한 기판의 다이어그램을 예시한다.
[0019] 도 6a 내지 도 6c는, 일 실시예에 따른, 기판에 대한 플라즈마 에칭 프로세스의 다이어그램을 예시한다.
[0020] 도 7은, 일 실시예에 따른, 기판에 대한 플라즈마 에칭 프로세스의 다이어그램을 예시한다.
[0021] 도 8은, 일 실시예에 따른, 플라즈마 에칭 프로세스 동안 예시적인 외장 두께를 예시한다.
[0022] 도 9는, 일 실시예에 따른, 플라즈마 에칭 프로세스의 예시적인 동작들을 예시한다.
[0023] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해, 가능한 경우, 동일한 참조 번호들이 사용되었다. 하나의 실시예의 엘리먼트들 및 피처들은 추가적인 기재 없이 다른 실시예들에서 유익하게 통합될 수 있다는 것이 고려된다.
[0024] 본원에서 제공되는 본 개시내용의 실시예들은 프로세싱 챔버에서 기판의 플라즈마 프로세싱을 위한 장치 및 방법을 포함한다. 더 구체적으로, 본 개시내용의 실시예들은 펄스식 플라즈마 이온 에칭 프로세스를 사용하여 하나 이상의 무기 물질들에 대한 에칭 유전체 층들의 선택도를 향상시키기 위한 프로세스를 설명한다. 본 개시내용의 실시예들은 RF 생성기로부터의 무선 주파수(RF) 생성 RF 파형을 프로세싱 챔버 내의 하나 이상의 전극들로 그리고 하나 이상의 펄스식 전압(PV) 생성기들로부터 전달되는 펄스식 전압(PV) 파형을 프로세싱 챔버 내의 하나 이상의 전극들로 제공하도록 구성되는 장치를 수반한다.
[0025] 일반적으로, 생성된 RF 파형은 프로세싱 챔버 내에서 플라즈마를 설정 및 유지하도록 구성되고, 전달된 PV 파형(들)은 플라즈마 프로세스의 일부들 동안 기판의 표면에 걸쳐 외장 전압을 제어하도록, 따라서, 프로세싱 챔버 내에서 수행되는 하나 이상의 플라즈마 프로세싱 단계들 동안 기판의 표면에서 바람직한 이온 에너지 분포 함수(IEDF)를 생성하도록 구성된다. 본원에서 개시되는 플라즈마 프로세스(들)는 프로세싱 동안 기판의 표면과의 플라즈마의 상호 작용을 제어하기 위해 사용될 수 있다. 일부 구성들에서, 본원에서 개시되는 플라즈마 프로세스(들)는 프로세싱 동안 기판의 표면에서 형성되는 피처들의 프로파일을 제어하기 위해 사용된다. 일부 실시예들에서, 펄스식 전압 파형은 플라즈마 프로세싱 챔버 내에 배치된 기판 지지 어셈블리 내에 배치된 바이어싱 전극에 전기적으로 결합되는 PV 생성기에 의해 설정된다.
[0026] 일반적으로, 반도체 디바이스 제조 프로세스들은 로직 및 메모리 제조 프로세스들, 예컨대 플래시 메모리의 제조를 포함할 수 있다. 디바이스들이 축소됨에 따라, 메모리 디바이스에서 메모리 셀들의 밀도를 최대화하기 위해, 효율적인 다수의 메모리 셀들을 제조하기 위한 구조물들이 사용된다. 이차원(2D) NAND 기술 및 메모리 셀들을 층들에서 수직으로 적층하는 것에 수반되는 도전 과제들을 삼차원(3D) NAND 기술이 해결한다.
[0027] 3D NAND 디바이스들의 제조에서 수반되는 플라즈마 에칭 프로세스들은 점점 더 까다로워지고 있다. 구체적으로, 3D NAND 기술의 계단(staircase) 콘택 에칭은 NAND 스택의 저부에 있는 셀들에 대한 액세스를 제공하고, 그에 의해, 전도성 재료(예를 들면, 텅스텐)의 증착이, 외부 주변장치 회로부로부터 셀 제어 게이트들에 대한 액세스를 허용하는 워드 라인들을 형성하는 것을 허용한다. 계단 콘택 에칭은 에칭에 대한, 특히 양태(aspect)에서 20:1로부터 40:1까지의 범위에 이르는 높은 종횡비 피처들에 대한 도전 과제들을 생성한다. 높은 종횡비 전도성 층들을 통한 에칭은, 줄무늬가 없는, 왜곡이 없는, 그리고 라인 벤딩, 패시팅(faceting), 및 피처 클로깅(feature clogging)이 없는 개구들을 층들에서 형성할 수 있어야만 한다는, 에칭 프로세스에 대한 요구들을 보강한다. 이들 요구들 외에, 기저의 전도성 콘택 재료들의 무시 가능한 손실이 있다는 것을 보장하기 위해, 계단 콘택 에칭 애플리케이션의 우선 순위는 20:1로부터 40:1 이상까지의 범위에 이르는 종횡비들에서 동시적 다중 레벨 에칭을 높은 선택도를 가지고 결합한다.
[0028] 따라서, 펄스 전압 기술은 플라즈마 프로세싱 동안 플라즈마 이온 밀도 및 이온 에너지를 정밀하게 제어하는 방법들을 가능하게 할 수 있다. 플라즈마 이온 밀도 및 이온 에너지의 정확한 제어는, 바람직한 건식 에칭 화학 물질들의 사용과 조합하여, 에칭 선택도에서 증가를 야기하고 에칭 프로세스 결과를 향상시키기 위해 사용될 수 있다고 여겨진다. 또한, 본원에서 설명되는 방법들 중 하나 이상의 사용에 의해, 에칭 프로세스 동안 노출된 전도성 재료 표면들 상에 플루오로카본 기반의 폴리머 층의 제어된 형성에 의해 에칭 선택도 및 개선된 에칭 프로세스 결과들이 추가로 달성될 수 있다.
[0029] 도 1은 본원에서 설명되는 방법들을 실시하도록 구성되는 프로세싱 챔버의 개략적인 단면도이다. 일부 반도체 플라즈마 프로세스들 동안, 기판 지지 어셈블리(136)(도 1)의 상단 상에 배치되는 기판 위에서 형성되는 전자 반발 외장의 전압 강하에 의해 이온들은 기판을 향해 의도적으로 가속된다. 본원에서 제공되는 개시내용의 범위에 관해 제한하도록 의도되지는 않지만, 기판 지지 어셈블리(136)는 종종 본원에서 "캐소드 어셈블리" 또는 "캐소드"로서 지칭된다. 일부 실시예들에서, 기판 지지 어셈블리(136)는 기판 지지체(substrate support; 105) 및 지지 베이스(support base; 107)를 포함한다. 기판 지지체(105)는 기판 수용 표면(105A) 상에 기판을 척킹(예를 들면, 유지)하도록 구성되는 정전 척(ESC) 어셈블리를 포함할 수 있다.
[0030] 본원에서 제공되는 본 개시내용의 일부 실시예들에서, 프로세싱 챔버는 용량 결합된 가스 방전을 제공하도록 구성되고, 그 결과, RF 매칭 네트워크("RF 매칭")를 통해 RF 전극에 결합되는 RF 생성기를 포함하는 RF 생성기 어셈블리의 사용에 의해 플라즈마가 생성된다. RF 매칭 네트워크는 반사 전력을 최소화하고 전력 전달 효율성을 최대화하기 위해 겉보기 부하를 50Ω으로 튜닝하도록 구성된다. 일부 실시예들에서, RF 전극은 기판의 플라즈마 대향 표면에 평행하게 배치되는 금속 플레이트를 포함한다.
[0031] 추가적으로, 본원에서 개시되는 플라즈마 프로세싱 방법들 동안, 기판 지지 어셈블리(136) 내에서 배치되는 하나 이상의 바이어싱 전극들(104)(도 1)에서 펄스식 전압 파형을 설정하도록 구성되는 펄스식 전압(PV) 생성기의 사용에 의해 플라즈마 프로세싱 동안 이온 가속 캐소드 외장이 일반적으로 형성된다. 일부 실시예들에서, 하나 이상의 바이어싱 전극들(104)은 기판 지지 어셈블리(136)(예를 들면, 정전 척(ESC) 어셈블리) 내에서 형성되는 유전체 재료의 얇은 층에 의해 기판으로부터 분리된 척킹 전극(chucking electrode)을 포함하고 선택적으로(optionally) 기판(103)이 기판 지지 어셈블리(136)의 기판 지지 표면(105A) 상에 배치될 때 기판(103)을 둘러싸는 에지 링(114) 내에 또는 그 아래에 배치되는 에지 제어 전극을 포함한다. 하기에서 추가로 논의될 바와 같이, 이 PV 파형은 거의 일정한 외장 전압(예를 들면, 플라즈마 전위와 기판 전위 사이의 차이)으로 하여금 PV 파형의 펄스 주기의 상당한 부분 동안 형성되게 하도록 구성될 수 있는데, 이것은 본원에서 "이온 전류 위상(ion-current phase)"으로서 또한 지칭되는 펄스 주기의 이 부분 동안 기판에 도달하는 이온들의 단일의 (좁은) 피크 함유 이온 에너지 분포 함수(IEDF)에 대응한다.
플라즈마 프로세싱 챔버 하드웨어 예들
[0032] 도 1은 플라즈마 프로세싱 동안 복합 부하(complex load; 130)(도 3a 및 도 3b)가 형성되는 프로세싱 챔버(100)의 개략적인 단면도이다. 도 3a 및 도 3b는 프로세싱 챔버(100)에서 발견되는 컴포넌트들을 사용하여 수행될 수 있는 펄스식 전압 및 RF 바이어싱 방식의 단순화된 전기 회로(140)의 각각의 예들이다. 프로세싱 챔버(100)는, 하나 이상의 실시예들에 따라, 본원에서 제안되는 바이어싱 방식들 중 하나 이상을 실시하도록 구성된다. 하나의 실시예에서, 프로세싱 챔버는 플라즈마 프로세싱 챔버, 예컨대 반응성 이온 에칭(RIE) 플라즈마 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버는 플라즈마 강화 증착 챔버, 예를 들면, 플라즈마 강화 화학 기상 증착(plasma-enhanced chemical vapor deposition; PECVD) 챔버, 플라즈마 강화 물리 기상 증착(plasma enhanced physical vapor deposition; PEPVD) 챔버, 또는 플라즈마 강화 원자 층 증착(plasma-enhanced atomic layer deposition; PEALD) 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버는 플라즈마 처리 챔버, 또는 플라즈마 기반의 이온 주입 챔버, 예를 들면, 플라즈마 도핑(plasma doping; PLAD) 챔버이다. 일부 실시예들에서, 플라즈마 소스는, 기판 지지 어셈블리(136)에 대향하는 프로세싱 볼륨에서 배치되는 전극(예를 들면, 챔버 덮개(chamber lid; 123))을 포함하는 용량 결합된 플라즈마(capacitively coupled plasma; CCP) 소스이다. 도 1에서 예시되는 바와 같이, 기판 지지 어셈블리(136) 반대쪽에 배치되는 대향 전극, 예컨대 챔버 덮개(123)는 접지에 전기적으로 결합된다. 그러나, 다른 대안적인 실시예들에서, 대향 전극은 RF 생성기에 전기적으로 결합된다. 여전히 다른 실시예들에서, 프로세싱 챔버는 대안적으로 또는 추가적으로 무선 주파수(RF) 전력 공급부에 전기적으로 결합되는 유도적으로 결합된 플라즈마(inductively coupled plasma; ICP) 소스를 포함할 수 있다.
[0033] 프로세싱 챔버(100)는 프로세싱 볼륨(129)을 한정하는, 챔버 덮개(123), 하나 이상의 측벽들(122), 및 챔버 베이스(124)를 포함하는 챔버 본체(113)를 또한 포함한다. 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 프로세싱 챔버(100)의 엘리먼트들에 대한 구조적 지지체를 형성하도록 사이즈가 정해지고 형상이 정해지는 재료들을 일반적으로 포함하며, 이들 재료들은 프로세싱 동안 프로세싱 챔버(100)의 프로세싱 볼륨(129) 내에서 유지되는 진공 환경 내에서 플라즈마(101)가 생성되는 동안 그들에게 인가되는 압력 및 추가된 에너지를 견디도록 구성된다. 하나의 예에서, 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 금속, 예컨대 알루미늄, 알루미늄 합금, 또는 스테인리스 스틸로 형성된다. 챔버 덮개(123)를 통해 배치되는 가스 유입구(128)는 하나 이상의 프로세싱 가스들을 프로세싱 가스 소스(119)로부터 프로세싱 볼륨(129)에 제공하기 위해 사용되며, 프로세싱 가스 소스(119)는 프로세싱 볼륨(129)과 유체 연통한다. 기판(103)은, 기판(103)의 플라즈마 프로세싱 동안 슬릿 밸브(도시되지 않음)로 밀봉되는, 하나 이상의 측벽들(122) 중 하나에 있는 개구(도시되지 않음)를 통해 프로세싱 볼륨(129) 안으로 로딩되고 그로부터 제거된다. 본원에서, 기판(103)은 리프트 핀 시스템(lift pin system)(도시되지 않음)을 사용하여 ESC 기판 지지체(105)의 기판 수용 표면(105A)으로 그리고 그로부터 이송된다.
[0034] 일부 실시예들에서, RF 생성기 어셈블리(160)는, ESC 기판 지지체(105)에 근접하게, 그리고 기판 지지 어셈블리(136) 내에 배치되는 지지 베이스(107)에 RF 전력을 전달하도록 구성된다. 지지 베이스(107)로 전달되는 RF 전력은 프로세싱 볼륨(129) 내에 배치되는 프로세싱 가스들의 사용에 의해 형성되는 프로세싱 플라즈마(101)를 점화 및 유지하도록 구성된다. 일부 실시예들에서, 지지 베이스(107)는 RF 매칭 회로(161) 및 제1 필터 어셈블리(162)를 통해 RF 생성기(118)에 전기적으로 결합되는 RF 전극인데, RF 매칭 회로(161) 및 제1 필터 어셈블리(162) 둘 모두는 RF 생성기 어셈블리(160) 내에서 배치된다. 일부 실시예들에서, 플라즈마 생성기 어셈블리(160) 및 RF 생성기(118)는 프로세싱 볼륨(129) 내에 배치되는 프로세싱 가스들 및 RF 생성기(118)에 의해 지지 베이스(107)에 제공되는 RF 전력에 의해 생성되는 필드들을 사용하여 프로세싱 플라즈마(101)를 점화 및 유지하기 위해 사용된다. 프로세싱 볼륨(129)은, 진공 유출구(vacuum outlet; 120)를 통해, 대기압 이하의 조건들에서 프로세싱 볼륨(129)을 유지하고 그로부터 프로세싱 및/또는 다른 가스들을 배출하는 하나 이상의 전용 진공 펌프들에 유체 흐름 가능하게 결합된다. 프로세싱 볼륨(129) 내에 배치된 기판 지지 어셈블리(136)는, 접지되며 챔버 베이스(124)를 통해 연장되는 지지 샤프트(138) 상에 배치된다. 그러나, 일부 실시예들에서, RF 생성기 어셈블리(160)는 지지 베이스(107)와 대비하여 기판 지지체(105)에 배치된 바이어싱 전극(104)에 RF 전력을 전달하도록 구성된다.
[0035] 기판 지지 어셈블리(136)는, 상기에서 간략히 논의되는 바와 같이, 기판 지지체(105)(예를 들면, ESC 기판 지지체) 및 지지 베이스(107)를 일반적으로 포함한다. 일부 실시예들에서, 기판 지지 어셈블리(136)는, 하기에서 추가로 논의되는 바와 같이, 절연체 플레이트(111) 및 접지 플레이트(112)를 추가적으로 포함할 수 있다. 기판 지지체(105)는 지지 베이스(107)에 열적으로 결합되고 그 상에서 배치된다. 일부 실시예들에서, 지지 베이스(107)는, 기판 프로세싱 동안, 기판 지지체(105) 및 기판 지지체(105) 상에 배치되는 기판(103)의 온도를 조절하도록 구성된다. 일부 실시예들에서, 지지 베이스(107)는, 쿨란트 소스(coolant source)(도시되지 않음), 예컨대 냉각제 소스(refrigerant source) 또는 상대적으로 높은 전기 저항을 갖는 물 소스에 유체 흐름 가능하게 결합되고, 이들과 유체 연통하는, 내부에 배치되는 하나 이상의 냉각 채널들(도시되지 않음)을 포함한다. 일부 실시예들에서, 기판 지지체(105)는 히터(도시되지 않음), 예컨대 자신의 유전체 재료에 임베딩되는 저항 가열 엘리먼트를 포함한다. 본원에서, 지지 베이스(107)는 내부식성의 열적으로 전도성인 재료, 예컨대 내부식성 금속, 예를 들면, 알루미늄, 알루미늄 합금, 또는 스테인리스 스틸로 형성되며 접착제를 사용하여 또는 기계적 수단들에 의해 기판 지지체에 결합된다.
[0036] 지지 베이스(107)는 절연체 플레이트(111)에 의해 챔버 베이스(124)로부터 전기적으로 절연되고, 접지 플레이트(112)는 절연체 플레이트(111)와 챔버 베이스(124) 사이에서 개재된다. 일부 실시예들에서, 프로세싱 챔버(100)는, ESC 기판 지지체(105)의 부식 및/또는 지지 베이스(107)가 부식성 프로세싱 가스들 또는 플라즈마, 세정 가스들 또는 플라즈마, 또는 이들의 부산물들과 접촉하는 것을 방지하기 위해 기판 지지 어셈블리(136)의 일부들을 적어도 부분적으로 둘러싸는 석영 파이프(quartz pipe; 110), 또는 칼라(collar)를 더 포함한다. 통상적으로, 석영 파이프(110), 절연체 플레이트(111), 및 접지 플레이트(112)는 라이너(108)에 의해 둘러싸인다. 본원에서, ESC 기판 지지체(105)의 기판 수용 표면과 대략 동일 평면 상에 있는 플라즈마 스크린(109)은 라이너(108)와 하나 이상의 측벽들(122) 사이의 볼륨에서 플라즈마가 형성되는 것을 방지한다.
[0037] 기판 지지체(105)는 유전체 재료, 예컨대 벌크 소결 세라믹 재료(bulk sintered ceramic material), 예컨대 내부식성 금속 산화물 또는 금속 질화물 재료, 예를 들면, 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 이트륨 산화물(Y2O3), 이들의 혼합물들, 또는 이들의 조합들로 통상적으로 형성된다. 본원의 실시예들에서, 기판 지지체(105)는 자신의 유전체 재료에 임베딩되는 바이어싱 전극(104)을 더 포함한다. 하나의 구성에서, 바이어싱 전극(104)은, 본원에서 ESC 기판 지지체로서 또한 지칭되는 기판 지지체(105)의 기판 수용 표면(105A)에 기판(103)을 고정(척킹)하기 위해, 그리고 본원에서 설명되는 펄스식 전압 바이어싱 방식들 중 하나 이상을 사용하여 프로세싱 플라즈마(101)와 관련하여 기판(103)을 바이어싱하기 위해 사용되는 척킹 폴이다. 통상적으로, 바이어싱 전극(104)은 하나 이상의 전기적으로 전도성인 부품들, 예컨대 하나 이상의 금속 메시들, 포일들, 플레이트들, 또는 이들의 조합들로 형성된다. 일부 실시예들에서, 바이어싱 전극(104)은, 전기 도체, 예컨대 동축 송신 라인(106)(예를 들면, 동축 케이블)을 사용하여, 약 -5000V와 약 5000V 사이의 정적 DC 전압과 같은 척킹 전압을 자신에게 제공하는 바이어스 보상 모듈(116)에 전기적으로 결합된다. 하기에서 추가로 논의될 바와 같이, 고전압 모듈(116)은 바이어스 보상 회로 엘리먼트들(116A)(도 3a 및 도 3b), DC 전력 공급부(155), 및 차단 커패시터(blocking capacitor)(153)를 포함한다. 본원에서 차단 커패시터(153)로서 또한 지칭되는 바이어스 보상 모듈 차단 커패시터는 펄스식 전압 파형 생성기(pulsed-voltage waveform generator; PVWG)(150)의 출력과 바이어싱 전극(104) 사이에서 배치된다.
[0038] 바이어싱 전극(104)은, 기판 지지체(105)의 유전체 재료의 층에 의해, 기판 지지체(105)의 기판 수용 표면(105A)으로부터, 따라서 기판(103)으로부터 떨어져 이격된다. 쿨롱(coulombic) ESC 또는 Johnsen-Rahbek(존슨 라벡) ESC와 같은, 프로세싱 동안 기판(103)을 유지하기 위해 기판 지지체(105) 내에서 활용되는 정전 척킹 방법의 타입에 따라, 플라즈마(101)에 대한 바이어싱 전극(104)의 전기적 결합을 모델링하기 위해 사용되는 유효 회로 엘리먼트들은 변할 것이다. 일반적으로, 약 5nF 내지 약 50nF의 유효 커패시턴스(CE)를 통상적으로 가질 수 있는 유전체 재료의 층 및 바이어싱 전극(104)에 의해 평행 플레이트형 구조물이 형성된다. 통상적으로, 유전체 재료(예를 들면, 알루미늄 질화물(AlN), 알루미늄 산화물(Al2O3), 등)의 층은 약 0.1mm 내지 약 1mm의, 예컨대 약 0.1mm 내지 약 0.5mm의, 예를 들면, 약 0.3mm의 두께를 갖는다. 본원에서, 바이어싱 전극(104)은, 지지 샤프트(138) 내에 배치되는 외부 도체, 예컨대 송신 라인(106)을 사용하여, PVWG(150)의 출력에 전기적으로 결합된다. 일부 실시예들에서, 유전체 재료 및 층 두께는, 예를 들면, 유전체 재료의 층의 척 커패시턴스(CESC)가 약 5nF 내지 약 50nF가 되도록, 예컨대, 약 7nF 내지 약 10nF가 되도록 선택될 수 있다.
[0039] 존슨 라벡 ESC의 더욱 복잡한 모델에서, 회로 모델은, 도시되는 바와 같이, ESC 유전체 재료 척 커패시턴스(CESC), ESC 유전체 재료 저항(RCER), 갭 커패시턴스(Cabt), 기판 커패시턴스(Csub), 및 기판 저항(Rsub)의 조합을 포함한다. 갭 커패시턴스들(Cabt)은 기판 지지체(105) 상에 배치되는 기판 위 및 아래의 가스 함유 공간들을 일반적으로 고려할 것이다. 갭 커패시턴스(Cabt)는 척 커패시턴스(CESC)와 동일한 범위 내의 커패시턴스를 갖는다는 것이 예상된다.
[0040] 일부 애플리케이션들에서, 기판(103)이 통상적으로 반도체 재료 및/또는 유전체 재료의 얇은 층으로 제조되기 때문에, 기판(103)은, 전기적으로, 바이어싱 전극(104)과 기판 수용 표면(105A) 사이에서 배치되는 ESC 유전체 층의 일부인 것으로 간주될 수 있다. 따라서, 일부 애플리케이션들에서, 척 커패시턴스(CESC)는 ESC와 기판(즉, 기판 커패시턴스(Csub))의 조합된 직렬 커패시턴스에 의해 근사화된다. 그러나, 쿨롱 척 경우에서, 기판 커패시턴스(Csub)가 통상적으로 매우 크거나(> 10nF), 또는 기판이 전도성일 수 있기 때문에(무한 커패시턴스), 직렬 커패시턴스는 주로 커패시턴스(CESC)에 의해 결정된다. 이 경우, 유효 커패시턴스(CE)는 척 커패시턴스(CESC)와 실질적으로 동일하다. "존슨 라벡 ESC"의 경우, ESC 유전체 층은, 그것이 완전한 절연체가 아니며 약간의 전도성을 갖는다는 점에서, "누설적"인데, 그 이유는, 예를 들면, 유전체 재료가 약 9의 유전율(ε)을 갖는 도핑된 알루미늄 질화물(AlN)일 수 있기 때문이다. 그러나, 존슨 라벡 ESC의 유효 커패시턴스는 쿨롱 척과 유사해야 한다. 하나의 예에서, 존슨 라벡 ESC 내의 유전체 층의 체적 저항률은 약 1012ohms-cm(Ω-cm) 미만이거나, 또는 약 1010Ω-cm 미만이거나, 또는 심지어 108Ω-cm 내지 1012Ω-cm의 범위 내에 있다.
[0041] 기판 지지 어셈블리(136)는, 바이어싱되는 경우, 기판(103)에 대한 자신의 포지션에 기인하여, 기판(103)의 에지에 있는 또는 그 에지 외부에 있는 생성된 플라즈마(101)의 일부에 영향을 줄 수 있거나 또는 변경할 수 있도록, 에지 링(114) 아래에 배치되고 바이어싱 전극(104)을 둘러싸는 에지 제어 전극(115)을 더 포함한다. 에지 제어 전극(115)은 바이어싱 전극(104)을 바이어싱하기 위해 사용되는 PVWG(150)와는 상이한 PVWG(150)의 사용에 의해 바이어싱될 수 있다. 하나의 구성에서, 제1 PV 소스 어셈블리(196)의 제1 PV 파형 생성기(150)는 바이어싱 전극(104)을 바이어싱하도록 구성되고, 제2 PV 소스 어셈블리(197)의 제2 PV 파형 생성기(150)는 에지 제어 전극(115)을 바이어싱하도록 구성된다. 하나의 실시예에서, 에지 제어 전극(115)은, 도 1에서 도시되는 바와 같이, 기판 지지체(105)의 영역 내에서 배치된다. 일반적으로, 원형 기판들을 프로세싱하도록 구성되는 프로세싱 챔버들(100)의 경우, 에지 제어 전극(115)은 형상이 환형이고, 전도성 재료로 만들어지며, 도 1에서 도시되는 바와 같이, 바이어싱 전극(104)의 적어도 일부를 둘러싸도록 구성된다. 일부 실시예들에서, 도 1에서 예시되는 바와 같이, 에지 제어 전극(115)은 기판 지지체(105)의 표면(105A)으로부터, 바이어싱 전극(104)과 유사한 거리(즉, Z 방향)에 배치되는 전도성 메시, 포일, 또는 플레이트를 포함한다. 대안적으로, 일부 다른 실시예들에서, 에지 제어 전극(115)은, 바이어싱 전극(104) 및/또는 기판 지지체(105)의 적어도 일부를 둘러싸는 석영 파이프(110)(도시되지 않음)의 영역 상에 또는 그 내에 배치되는 전도성 메시, 포일 또는 플레이트를 포함한다. 일부 다른 실시예들에서, 에지 제어 전극(115)은, 기판 지지체(105)에 인접하게 배치되는 에지 링(114) 내에 배치되거나 또는 그것에 결합된다. 이 구성에서, 에지 링(114)은 반도체 또는 유전체 재료(예를 들면, AlN, Al2O3, 등)로 형성된다.
[0042] 도 1을 참조하면, 지지 베이스(107)는 유전체 재료의 일부에 의해 바이어싱 전극(104)으로부터 떨어져 이격된다. 일부 구성들에서 유전체 재료의 일부는 기판 지지체(105)를 형성하기 위해 사용되는 유전체 재료이고, 기판 지지체(105)의 후면으로부터 바이어싱 전극(104)까지 연장된다. 기판 지지체(105)의 유전체 재료의 일부는, 도 3a 및 도 3b에서 개략적으로 예시되는 바와 같이, ESC 커패시턴스(CE)와 직렬인 지지 베이스 커패시턴스(CCL)를 갖는다. 일부 실시예들에서, 지지 베이스(107)와 바이어싱 전극(104) 사이에서 배치되는 유전체 재료의 부분의 두께는 바이어싱 전극(104)과 기판(103) 사이에서 배치되는 유전체 재료의 두께보다 더 두꺼운데, 여기서 유전체 재료들은 동일한 재료이고 및/또는 기판 지지체(105)의 일부를 형성한다. 하나의 예에서, 지지 베이스(107)와 바이어싱 전극(104) 사이에서 배치되는 기판 지지체(105)의 유전체 재료(예를 들면, Al2O3 또는 AlN)의 부분은 1mm보다 더 큰 두께인데, 예컨대 약 1.5mm와 약 20mm 사이의 두께이다.
[0043] 일반적으로, 프로세싱 챔버(100)의 프로세싱 볼륨(129)에서 형성되는 저압은 그 내에서 배치되는 하드웨어 컴포넌트들의 표면들 사이에서, 예컨대 기판 지지체(105)의 유전체 재료와 그것의 기판 수용 표면 상에 배치되는 기판(103) 사이에서 불량한 열 전도를 초래하는데, 이것은 기판(103)을 가열 또는 냉각함에 있어서 기판 지지체의 효율성을 감소시킨다. 따라서, 일부 프로세스들에서, 열적으로 전도성인 불활성 열 전달 가스, 통상적으로 헬륨이 기판(103)의 비 디바이스 측 표면(non-device side surface)과 기판 지지체(105)의 기판 수용 표면(105A) 사이에서 배치되는 볼륨(도시되지 않음) 안으로 도입되어, 그들 사이의 열 전달을 향상시킨다. 열 전달 가스 소스(도시되지 않음)에 의해 제공되는 열 전달 가스는 지지 베이스(107)를 통해 배치되며 기판 지지체(105)를 통해 추가로 배치되는 가스 전달 경로(도시되지 않음)를 통해 후면 볼륨으로 흐른다.
[0044] 프로세싱 챔버(100)는 본원에서 프로세싱 챔버 컨트롤러로 또한 지칭되는 컨트롤러(126)를 더 포함한다. 본원에서 컨트롤러(126)는 중앙 프로세싱 유닛(central processing unit; CPU)(133), 메모리(134), 및 지원 회로들(135)을 포함한다. 컨트롤러(126)는 본원에서 설명되는 기판 바이어싱 방법들을 비롯하여 기판(103)을 프로세싱하기 위해 사용되는 프로세스 시퀀스를 제어하기 위해 사용된다. CPU(133)는 프로세싱 챔버를 제어하기 위해 산업 환경에서 사용하도록 구성되는 범용 컴퓨터 프로세서 및 그것에 관련되는 하위 프로세서들이다. 일반적으로 불휘발성 메모리인 본원에서 설명되는 메모리(134)는 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 로컬 또는 원격인, 다른 적절한 형태들의 디지털 스토리지를 포함할 수 있다. 지원 회로들(135)은 종래에는 CPU(133)에 결합되고 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들, 등, 및 이들의 조합들을 포함한다. 소프트웨어 명령어들(프로그램) 및 데이터는 CPU(133) 내의 프로세서에 지시하기 위해 메모리(134) 내에서 코딩 및 저장될 수 있다. 컨트롤러(126) 내의 CPU(133)에 의해 판독 가능한 소프트웨어 프로그램(또는 컴퓨터 명령어들)은 프로세싱 챔버(100) 내의 컴포넌트들에 의해 어떤 태스크들이 수행 가능한지를 결정한다. 바람직하게는, 컨트롤러(126) 내의 CPU(133)에 의해 판독 가능한 프로그램은, 프로세서(CPU(133))에 의해 실행될 때, 본원에서 설명되는 전극 바이어싱 방식의 모니터링 및 실행에 관련되는 태스크들을 수행하는 코드를 포함한다. 프로그램은 본원에서 설명되는 전극 바이어싱 방식을 구현하기 위해 사용되는 다양한 프로세스 태스크들 및 다양한 프로세스 시퀀스들을 수행하기 위해 프로세싱 챔버(100) 내의 다양한 하드웨어 및 전기 컴포넌트들을 제어하기 위해 사용되는 명령어들을 포함할 것이다.
[0045] 프로세싱 동안, 제1 PV 소스 어셈블리(196) 및 제2 PV 소스 어셈블리(197)의 PV 파형 생성기들(150) 내의 PV 생성기들(314)은 프로세싱 챔버(100)와 함께 배치되는 부하(예를 들면, 복합 부하(130))에 대한 펄스식 전압 파형을 설정한다. 본원에서 제공되는 본 개시내용에 관해 제한하도록 의도되지는 않지만, 그리고 논의를 단순화하기 위해, 에지 제어 전극(115)을 바이어싱하기 위해 사용되는 제2 PV 소스 어셈블리(197) 내의 컴포넌트들은 도 3a 및 도 3b에서 도식적으로 도시되지 않는다. PV 파형 생성기들(150) 각각으로부터의 PV 파형의 전달의 전반적인 제어는 컨트롤러(126)로부터 제공되는 신호들의 사용에 의해 제어된다. 하나의 실시예에서, 도 3a에서 예시되는 바와 같이, PV 파형 생성기(150A)는, 사전 결정된 레이트에서 자신의 내부 스위치(S1)를 반복적으로 폐쇄하고 개방하는 것에 의해, 사전 결정된 길이의 규칙적으로 반복되는 시간 간격들 동안 자신의 출력(즉, 접지로의) 양단에서 사전 결정된 실질적으로 일정한 포지티브 전압을 유지하도록 구성된다. 대안적으로, 하나의 실시예에서, 도 3b에서 예시되는 바와 같이, PV 파형 생성기(150B)는, 사전 결정된 레이트에서 자신의 내부 스위치(S1)를 반복적으로 폐쇄하고 개방하는 것에 의해, 사전 결정된 길이의 규칙적으로 반복되는 시간 간격들 동안 자신의 출력(즉, 접지로의) 양단에서 사전 결정된 실질적으로 일정한 네거티브 전압을 유지한다. 도 3a 및 도 3b에서, PV 파형 생성기(150A, 150B)는 바이어싱 전극(104)에서 요구되는 펄스식 전압 파형을 설정함에 있어서 자신의 역할을 이해하는 데 중요한 컴포넌트들의 최소 조합으로 감소된다. 각각의 PV 파형 생성기(150)는, 도 3a 및 도 3b에서 개략적으로 예시되는 바와 같이, PV 생성기(314)(예를 들면, DC 전력 공급부) 및 PV 파형을 출력(350)에 제공하도록 구성되는 하나 이상의 전기 컴포넌트들, 예컨대 높은 반복 레이트 스위치들, 커패시터들(도시되지 않음), 인덕터들(도시되지 않음), 플라이백(fly back) 다이오드들(도시되지 않음), 전력 트랜지스터들(도시되지 않음) 및/또는 저항기들(도시되지 않음)을 포함할 것이다. 나노초 펄스 생성기로서 구성될 수 있는 실제 PV 파형 생성기(150)는 임의의 개수의 내부 컴포넌트들을 포함할 수 있고 도 3a 및 도 3b에서 예시되는 것보다 더 복잡한 전기 회로에 기초할 수 있다. 도 3a 및 도 3b의 개략적인 다이어그램들 각각은 PV 파형 생성기(150) 및 그것의 전기 회로부의 컴포넌트들의 기능적으로 등가의 표현만을, 그것의 동작의 기본 원리, 프로세싱 볼륨 내에서 플라즈마와의 그것의 상호 작용, 및 펄스식 전압 파형을, 예컨대 바이어싱 전극(104)에서 입력 펄스식 전압 파형을 설정함에 있어서의 그것의 역할을 설명하는 데 필요로 되는 만큼만, 제공한다. 도 3a 및 도 3b에서 도시되는 개략적인 다이어그램으로부터 추론될 수 있는 바와 같이, 스위치(S1)가 개방(오프)으로부터 폐쇄(온) 포지션으로 이동하는 경우, 그것은 PV 파형 생성기(150)의 출력을, 실질적으로 일정한 출력 전압을 생성하는 그것의 PV 생성기(314)에 연결한다. PV 파형 생성기(150)는, 일정한 전압 소스로서가 아니라, 전하 인젝터(전류 소스)로서 주로 사용될 수 있다; 따라서, 심지어 스위치가 폐쇄(온) 포지션에 유지되는 경우에도 출력 전압이 시간적으로 변할 수 있다는 점에서, 출력 전압의 안정성에 대해 엄격한 요건들을 부과할 필요는 없다. 게다가, 일부 구성들에서, PV 생성기(314)는 근본적으로 소싱(sourcing)이지만, 그러나, 전류를 하나의 방향에서만 통과시킨다는 점에서, 싱킹 공급부(sinking supply)는 아니다(예를 들면, 출력은 커패시터를 충전할 수 있지만, 그러나 방전시킬 수는 없다). 추가적으로, 스위치(S1)가 개방(오프) 포지션에서 유지되는 경우, PV 파형 생성기(150)의 출력 양단의 전압(V0)은 PV 생성기(314)에 의해 제어되지 않으며 대신 다른 회로 엘리먼트들과의 그것의 내부 컴포넌트들의 상호 작용에 의해 결정된다.
[0046] 전류 복귀 출력 스테이지(current-return output stage; 314A)는 접지에 연결되는 하나의 단부, 및 PV 파형 생성기(150)의 출력에서 연결 포인트(즉, 생성기 결합 어셈블리(도시되지 않음)의 일측)에 연결되는 다른 단부를 구비한다. 전류 복귀 출력 스테이지(314A)는 다음의 엘리먼트들을 포함할 수 있다: 저항기, 직렬로 연결되는 저항기 및 인덕터, 스위치(S2), 및/또는 접지를 향한 포지티브 전류 흐름을 허용하는, 병렬 커패시터들을 비롯한, 전기 엘리먼트들의 더욱 복잡한 조합.
[0047] PV 송신 라인(157)(도 1)의 일부를 형성하는 송신 라인(131)은 PV 파형 생성기(150)의 출력(350)을 제2 필터 어셈블리(151)에 전기적으로 연결한다. 하기의 논의가 PV 파형 생성기(150)를 바이어싱 전극(104)에 결합하기 위해 사용되는 제1 PV 소스 어셈블리(196)의 PV 송신 라인(157)을 주로 논의하지만, PV 파형 생성기(150)를 에지 제어 전극(115)에 결합하는 제2 PV 소스 어셈블리(197)의 PV 송신 라인(158)은 동일한 또는 유사한 컴포넌트들을 포함할 것이다. 따라서, 일반적으로, PV 파형 생성기(150)의 출력(350)은, PV 펄스 생성기(314)의 출력이 내부 전기 도체를 통해 출력(350)에 그리고 전류 복귀 출력 스테이지(314A)에 연결되는 단부이다. 송신 라인(131)은 PV 파형 생성기(150)의 출력(350)에서 배치되는 생성기 결합 어셈블리(181)를 제2 필터 어셈블리(151)에 연결한다. PV 송신 라인(157, 158)의 다양한 부분들 내의 전기 도체(들)는 다음의 것을 포함할 수 있다: (a) 단단한 동축 송신 라인과 직렬로 연결되는 플렉시블 동축 케이블을 포함할 수 있는 동축 송신 라인(예를 들면, 동축 라인(106)), (b) 절연된 고전압 내코로나성 훅업 와이어(insulated high-voltage corona-resistant hookup wire), (c) 베어 와이어(bare wire), (d) 금속 막대, (e) 전기 커넥터, 또는 (f) (a) 내지 (e)의 전기 엘리먼트들의 임의의 조합. PV 송신 라인(157)의 외부 도체 부분(예를 들면, 제1 전기 도체), 예컨대 지지 샤프트(138) 및 바이어싱 전극(104) 내의 PV 송신 라인(157)의 부분은 접지에 대해 어떤 조합된 표류 커패시턴스(Cstray)를 가질 것이다(도 3a 및 도 3b). 도면들에서 도시되지는 않지만, PV 송신 라인(158) 및 에지 제어 전극(115)의 외부 도체 부분(예를 들면, 제2 전기 도체)은 접지에 대해 어떤 결합된 표류 커패시턴스(Cstray)를 또한 가질 것이다. PV 파형 생성기(150)의 내부 전기 도체는 외부 전기 도체와 동일한 기본 엘리먼트들을 포함할 수 있다. 대부분의 실제 애플리케이션들에서, 송신 라인(131)은 PV 파형 생성기(150)(즉, 생성기 출력 결합 어셈블리(181)(도 3a 및 도 3b)의 좌측)의 내부 컴포넌트들에 의해 생성되는 부분 및/또는 PV 파형 생성기(150)를 제2 필터 어셈블리(151)에 연결하는 외부 라인/케이블들(즉, 생성기 출력 결합 어셈블리(181)의 우측)에 의해 생성되는 부분을 포함할 수 있는 라인 인덕턴스(159)를 포함할 것이다.
[0048] 도 1을 다시 참조하면, 프로세싱 챔버(100)는 접지되는 챔버 덮개(123)를 포함한다. 일반적으로 종래의 플라즈마 프로세싱 챔버 설계들과는 상이한 이 구성에서, RF 전력은 기판 지지체를 통해 대신 전달된다. 따라서, RF 생성기(118)를 지지 베이스(107)에 결합하는 것에 의해, 기능적으로 캐소드 어셈블리의 일부인 ESC의 전체 본체는 상단 전극이 접지되는 것을 가능하게 하고 전류 복귀 면적이 최대화되는 것을 허용한다. RF 전력 전달 및 PV 파형 전달을 활용하는 플라즈마 프로세스들의 경우, 플라즈마 프로세싱 챔버 내의 접지된 표면적을 최대화하는 것, 그러므로, 전류 복귀 면적을 최대화하는 것은, PV 파형 생성기(150)의 출력에 의해 생성되는 PV 파형 사이클의 ESC 재충전/외장 붕괴 위상(ESC-recharging/sheath-collapse phase) 동안 플라즈마 전위 점프를 최소화하는데, 이것은 하기에서 추가로 논의된다. 따라서, 본원에서 제공되는 장치 및 방법들은 챔버 벽들에 대한 전력 손실들을 최소화할 것이고 플라즈마 프로세싱 효율성을 향상시킨다. 본원에서 설명되는 RF 전력 및 PV 펄스식 파형 전달 방법들은, 그들이 플라즈마 속성들 및 라디칼 생성의 개선된 제어에 영향을 끼치고 그것을 허용하기 때문에, 특정한 프로세스 이점들을 또한 제공한다. 그러나, 상기에서 언급되는 바와 같이, ESC 세라믹 층을 통한 바이어싱 전극(104)과 지지 베이스(107) 사이에, 뿐만 아니라 RF 송신 라인(167)과 PV 송신 라인(157) 사이에 강한 용량성 결합이 있으며, 따라서, 기판 지지 어셈블리(136)(즉, 캐소드 어셈블리)를 통해 두 타입들 모두의 전력이 전달될 때, 각각의 생성기는 다른 것을 통해 전류를 유도할 것이고, 그 결과, 전력이 의도된(플라즈마) 부하로부터 멀어지게 방향 전환될 뿐만 아니라, 생성기들 둘 모두에 대한 가능한 손상을 초래할 것이다.
[0049] 본원에서 개시되는 다른 실시예들 중 하나 이상과 함께 사용될 수 있는 다른 대안적인 챔버 덮개(123) 구성에서, 챔버 덮개(123)(즉, 대향 전극)는 하나 이상의 측벽들(122)로부터 전기적으로 절연되고 플라즈마 생성기 어셈블리(160)를 통해 RF 생성기(118)에 전기적으로 결합된다. 이 구성에서, 챔버 덮개(123)는 RF 생성기(118)에 의해 구동되어 프로세싱 볼륨(129) 내에서 프로세싱 플라즈마(101)를 점화하고 유지시킬 수 있다. 하나의 예에서, RF 생성기(118)는 약 300kHz보다 더 큰 RF 주파수의, 예컨대 약 300kHz 내지 60MHz의, 또는 심지어 약 2MHz 내지 약 40MHz의 범위 내의 주파수의 RF 신호를 챔버 덮개(123)에 제공하도록 구성된다.
플라즈마 프로세싱 바이어싱 방식들 및 프로세스들
[0050] 도 2는 도 1에서 예시되는 프로세스 챔버와 함께 사용될 수 있는 바이어싱 방식의 단순화된 개략적인 다이어그램이다. 도 2에서 도시되는 바와 같이, RF 생성기(118) 및 PV 파형 생성기들(150)은 RF 파형 및 펄스식 전압 파형들을, 프로세싱 챔버(100)의 챔버 본체(113) 내에 배치되는 하나 이상의 전극들에 각각 전달하도록 구성된다. 하나의 실시예에서, RF 생성기(118) 및 PV 파형 생성기들(150)은 RF 파형 및 펄스식 전압 파형(들)을 기판 지지 어셈블리(136) 내에 배치되는 하나 이상의 전극들에 동시에 전달하도록 구성된다. 하나의 비제한적 예에서, 상기에서 논의되는 바와 같이, RF 생성기(118) 및 PV 파형 생성기(150)는 RF 파형 및 펄스식 전압 파형을 지지 베이스(107) 및 바이어싱 전극(104)에 각각 전달하도록 구성되는데, 이들 둘 모두는 기판 지지 어셈블리(136) 내에 배치된다. 다른 예에서, RF 생성기(118), 제1 PV 파형 생성기(150) 및 제2 PV 파형 생성기(150)는 RF 파형, 제1 펄스식 전압 파형 및 제2 펄스식 전압 파형을, 지지 베이스(107), 바이어싱 전극(104) 및 에지 제어 전극(115)에 각각 전달하도록 구성되는데, 이들 모두는 기판 지지 어셈블리(136)에서 배치된다.
[0051] 도 2에서 예시되는 바와 같이, RF 생성기(118)는, RF 매칭 회로(161) 및 제1 필터 어셈블리(162)를 포함하는 플라즈마 생성기 어셈블리(160)를 통해, 사인파 RF 파형(601)(도 6a 내지 도 6g)을 포함하는 RF 신호를 전달하는 것에 의해 챔버 본체(113)에 배치되는 하나 이상의 전극들에 사인파 RF 파형을 제공하도록 구성된다. 추가적으로, PV 파형 생성기들(150) 각각은, 제2 필터 어셈블리(151)를 통해 바이어싱 전극(104)에서 PV 파형(401(도 4a, 도 5a), 441(도 5b), 또는 431(도 5c))을 설정하는 것에 의해, 일련의 전압 펄스들(예를 들면, 나노초 전압 펄스들)을 통상적으로 포함하는 PV 파형을, 챔버 본체(113)에 배치되는 하나 이상의 전극들에 제공하도록 구성된다. 바이어스 보상 모듈(116) 내의 컴포넌트들은 각각의 PV 파형 생성기(150)와 제2 필터 어셈블리(151) 사이에서 선택적으로 배치될 수 있다.
[0052] 상기에서 간략히 논의되는 바와 같이, 도 3a 및 도 3b 각각은, 프로세스 볼륨 내의 플라즈마의 표현을 또한 포함하는, 본원에서 제안되는 펄스식 전압 및 RF 바이어싱 방식의 기능적으로 등가인 단순화된 전기 회로(140)의 예들이다. 도 3a는, 바이어싱 전극(104)에서 PV 파형, 예컨대 PV 파형(431)(도 4c)을 설정하는 프로세스의 일부 동안 포지티브 전압을 제공하도록 구성되는 PV 파형 생성기(150)를 제1 PV 소스 어셈블리(196) 내에서 활용하는 펄스식 전압 및 RF 바이어싱 방식의 단순화된 전기 회로(140)를 묘사한다. 도 3b는, 바이어싱 전극(104)에서 PV 파형, 예컨대 PV 파형(401)(도 4a)을 설정하는 프로세스의 일부 동안 네거티브 전압을 제공하도록 구성되는 PV 파형 생성기(150)를 제1 PV 소스 어셈블리(196) 내에서 활용하는 펄스식 전압 및 RF 바이어싱 방식의 단순화된 전기 회로(140)를 묘사한다. 이들 회로들은 프로세싱 챔버(100) 내의 제1 PV 소스 어셈블리(196)의 펄스식 전압 파형 생성기(150) 및 RF 생성기(118)의 상호 작용의 단순화된 모델을 예시하고, 프로세스 챔버(100)의 동작 동안 사용되는 기본 엘리먼트들을 일반적으로 예시한다. 명확화 목적들을 위해, 다음의 정의들이 본 개시내용의 전반에 걸쳐 사용된다: (1) 기준이 명시되지 않는 한, 모든 전위들은 접지를 기준으로 한다; (2) (기판 또는 바이어싱 전극과 같은) 임의의 물리적 포인트에서의 전압은 접지(제로 전위 포인트)에 대한 이 포인트의 전위로서 마찬가지로 정의된다; (3) 캐소드 외장은 플라즈마에 대한 네거티브 기판 전위에 대응하는 전자 반발 이온 가속 외장인 것으로 암시된다; (4) 외장 전압(때때로 "외장 전압 강하"로서 또한 지칭됨)(Vsh)은 플라즈마와 (예를 들면, 기판 또는 챔버 벽의) 인접 표면 사이의 전위 차이의 절대 값으로서 정의된다; 그리고 (5) 기판 전위는 플라즈마에 대향하는 기판 표면에서의 전위이다.
[0053] 도 3a 및 도 3b에서 예시되는 복합 부하(130)는 프로세싱 플라즈마(101)를 세 개의 직렬 엘리먼트들로서 표현하는 표준 전기 플라즈마 모델로서 도시되어 있다. 제1 엘리먼트는 기판(103)에 인접한 전자 반발 캐소드 외장(이것은 때때로 "플라즈마 외장" 또는 단순히 "외장"으로서 또한 지칭됨)이다. 캐소드 외장은 도 3a 및 도 3b에서 다음의 것을 포함하는 종래의 세 부분 회로 엘리먼트에 의해 표현된다: (a) 개방되는 경우 외장 붕괴를 나타내는 다이오드(DSH), (b) 외장의 존재 상태에서 기판으로 흐르는 이온 전류를 나타내는 전류 소스(Ii), 및 (c) 이온 가속 및 에칭이 발생하는 바이어싱 사이클의 메인 부분(즉, PV 파형의 이온 전류 위상)에 대한 외장을 나타내는 커패시터(CSH)(예를 들면, ~100-300pF). 제2 엘리먼트는 단일의 저항기(Rplasma)(예를 들면, 저항기(146) = ~ 5-10 옴(Ohm)들)에 의해 표현되는 벌크 플라즈마이다. 제3 엘리먼트는 챔버 벽들에서 형성되는 전자 반발 벽 외장이다. 벽 외장은 도 3에서 다음의 것을 포함하는 종래의 세 부분 회로 엘리먼트에 의해 마찬가지로 표현된다: (a) 다이오드(Dwall), (b) 벽에 대한 이온 전류를 나타내는 전류 소스(Iiwall), 및 (c) 주로 PV 파형의 ESC 재충전 위상 동안의 벽 외장을 나타내는 커패시터(Cwall)(예를 들면, ~ 5-10nF)(본 명세서 후반부에 설명됨). 접지된 금속 벽들의 내부 표면은, 도 3에서 대형 커패시터(Ccoat)(예를 들면, ~ 300-1000nF)에 의해 표현되는 유전체 재료의 얇은 층으로 코팅되는 것으로 또한 간주될 수 있다.
[0054] 도 3a 및 도 3b에서 예시되는 바와 같이, RF 생성기(118)는, 제1 필터 어셈블리(162), RF 매칭 회로(161), 라인 인덕턴스(LLine), 지지 베이스 커패시턴스(CCL), 및 유효 커패시턴스(CE)를 통해 생성된 RF 전력을 전달하는 것에 의해, RF 신호를 지지 베이스(107)로, 그리고 궁극적으로는 복합 부하(130)로 제공하도록 구성된다. 하나의 실시예에서, RF 매칭 회로(161)는 직렬 인덕턴스 엘리먼트(LSER), 및 조정 가능한 직렬 커패시턴스 엘리먼트(CSER) 및 컨트롤러(126)로부터의 입력에 의해 제어될 수 있는 조정 가능한 션트 커패시턴스 엘리먼트(CShunt)를 포함한다. 일부 실시예들에서, RF 매칭 회로(161)는, 예를 들면, 다른 회로 엘리먼트 구성들, 예컨대 L 네트워크, 파이(pi) 네트워크, 또는 트랜스매칭 회로(transmatch circuit)들의 사용에 의해 대안적으로 형성될 수 있다. 상기에서 언급되는 바와 같이, RF 매칭 회로(161)는 RF 생성기(118)로부터의 RF 신호의 전달에 의해 생성되는 반사 전력을 최소화하고 그것의 전력 전달 효율성을 최대화하기 위해 겉보기 부하를 50Ω으로 튜닝하도록 일반적으로 구성된다. 일부 실시예들에서, RF 매칭 회로(161)는 옵션 사항이며, 이들 경우들에서, 복합 부하(130)에 대한 RF 전력의 비효율적인 전달을 방지하기 위해 기판의 플라즈마 프로세싱 동안 다른 RF 신호 매칭 기술들(예를 들면, 가변 주파수 튜닝)이 사용될 수 있다.
[0055] 제1 필터 어셈블리(162)는 PV 파형 생성기(150)의 출력에 의해 생성되는 전류가 RF 송신 라인(167)을 통해 흐르는 것 및 RF 생성기(118)를 손상시키는 것을 실질적으로 방지하도록 구성되는 하나 이상의 전기 엘리먼트들을 포함한다. 제1 필터 어셈블리(162)는 PV 파형 생성기(150) 내에서 PV 펄스 생성기(314)로부터 생성되는 PV 신호에 대한 하이 임피던스(예를 들면, 하이 Z)로서 작용하고, 따라서, RF 생성기(118)로의 전류의 흐름을 억제한다. 하나의 실시예에서, 제1 필터 어셈블리(162)는 RF 매칭 회로(161)와 RF 생성기(118) 사이에서 배치되는 차단 커패시터(CBC)를 포함한다. 이 구성에서, RF 매칭 엘리먼트(161)는, RF 생성기(118)에게 보이는 부하를 튜닝함에 따라 차단 커패시터(CBC)의 커패시턴스를 보상하도록 구성된다. 하나의 예에서, PV 파형 생성기(150)로부터 제공되는 나노초 PV 파형(예를 들면, 펄스 주기(10-100ns))이 RF 생성기(118)를 손상시키는 것을 방지하기 위해, 제1 필터 어셈블리(162)는 38-40pF 커패시터를 포함한다. 다른 예에서, 제1 필터 어셈블리(162)는 38pF 미만인 커패시턴스를 갖는 차단 커패시터(CBC)를 포함한다.
[0056] 일부 실시예들에서, 도 1 내지 도 3b에서 도시되는 바와 같이, PV 파형 생성기들(150) 각각은, 생성된 펄스식 전압 파형들을 고전압 모듈(116)의 차단 커패시터(153) 및 제2 필터 어셈블리(151), 고전압 라인 인덕턴스(LHV), 및 유효 커패시턴스(CE)를 통해 전달하는 것에 의해, 펄스식 전압 파형 신호를 바이어싱 전극(104)으로, 그리고 궁극적으로는, 복합 부하(130)로 제공하도록 구성된다. 이 경우에, 시스템은 ESC 기판 지지체의 기판 수용 표면에 기판을 "전기적으로 클램핑"하는 것과 같은 척킹을 위해 사용되는 바이어스 보상 모듈(116)을 선택적으로 포함한다. 기판을 척킹하는 것은, 기판 수용 표면과 기판의 비 디바이스 측 표면 사이의 갭을 헬륨 가스(He)로 충전하는 것을 허용하는데, 이것은 둘 사이의 양호한 열 접촉을 제공하기 위해 그리고 ESC 기판 지지체의 온도를 조절하는 것에 의해 기판 온도 제어를 허용하기 위해 행해진다. 바이어스 보상 모듈(116)에 의해 생성되는 DC 척킹 전압을 바이어싱 전극(104)에서 PV 파형 생성기(150)에 의해 생성되는 펄스식 전압과 결합하는 것은 DC 척킹 전압과 동일한 펄스식 전압 파형의 추가적인 전압 오프셋을 초래할 것이다. 추가적인 전압 오프셋은 도 4a 및 도 4b에서 예시되는 오프셋(ΔV)으로부터 감산될 수 있거나 또는 가산될 수 있다. PV 파형 생성기(150)의 PV 펄스 생성기(314)의 동작에 대한 바이어스 보상 모듈(116)의 효과는 적절하게 큰 차단 커패시터(153) 및 차단 저항기(154)를 선택하는 것에 의해 무시 가능하게 만들어질 수 있다. 차단 저항기(154)는 바이어스 보상 모듈(116)을 송신 라인(131) 내의 포인트에 연결하는 컴포넌트들 내에 배치되는 저항기를 개략적으로 예시한다. 차단 커패시터(153)의 값은, 바이어스 보상 모듈 DC 전압만을 차단하는 한편, 펄스식 바이어스 생성기의 펄스식 전압 출력에 어떠한 부하도 제시하지 않도록 선택된다. 하나의 예에서, 차단 커패시터(CBC)의 커패시턴스는 약 38pF이고, 차단 커패시터(153)의 커패시턴스는 약 40nF이다. 이 차단 저항기(154)는, 통상적으로, 자신을 통과하는 전류를 효율적으로 최소화하기에 충분히 크도록 사이즈가 정해진다. 예를 들면, PV 파형 생성기(150)로부터 바이어스 보상 모듈(116)로의 400kHz 전류를 무시 가능하게 만들기 위해 ≥ 1 MOhm의 저항이 사용된다. 하나의 예에서, 차단 저항기는 약 500kOhm 초과의 저항을 갖는다. 대략 0.5-1mA의 결과적으로 나타나는 평균 유도 전류는, 실제로는, 약 5mA DC 전류인 바이어스 보상 모듈 전력 공급부들에 대한 통상적인 제한보다 훨씬 더 작다.
[0057] 제2 필터 어셈블리(151)는 RF 생성기(118)의 출력에 의해 생성되는 전류가 PV 송신 라인(157)을 통해 흐르는 것 및 PV 파형 생성기(150)의 PV 펄스 생성기(314)를 손상시키는 것을 방지하도록 구성되는 하나 이상의 전기 엘리먼트들을 포함한다. 상기에서 논의되는 바와 같이, PV 송신 라인(157)은 동축 송신 라인(106) 및 송신 라인(131)을 포함하는 어셈블리이다. 하나의 실시예에서, 제2 필터 어셈블리(151)는 커패시턴스(CFC)를 갖는 필터 커패시터(151A), 및 인덕턴스(LFL)를 갖는 필터 인덕터(151B)를 포함하는데, 이들은 병렬로 연결되고, PV 펄스 생성기(314)와 바이어싱 전극(104) 사이의 송신 라인(157)에서 배치된다. 일부 구성들에서, 제2 필터 어셈블리(151)는 바이어스 보상 모듈(116)의 차단 커패시터(153)와 바이어싱 전극(104) 사이에서 배치된다. 제2 필터 어셈블리(151)는 RF 생성기(118)로부터 생성되는 RF 신호에 대한 하이 임피던스(예를 들면, 하이 Z)로서 작용하며, 따라서 PV 펄스 생성기(314)로의 전류의 흐름을 억제한다. 일반적으로, 제2 필터 어셈블리(151)는 RF 신호, 및 임의의 연관된 고조파들이 PV 펄스 생성기(314)로 가는 것을 차단하도록 구성된다. 일부 실시예들에서, RF 생성기에 의해 생성되는 RF 신호는 400kHz보다 더 큰 RF 주파수, 예컨대 ≥ 1MHz, 또는 ≥ 2MHz, 또는 ≥ 13.56MHz, 또는 ≥ 40MHz인 RF 주파수를 전달하도록 구성된다. 하나의 예에서, 40MHz의 주파수에서 RF 생성기(118)로부터 제공되는 RF 전력이 PV 펄스 생성기(314)를 손상시키는 것을 방지하기 위해, 제2 필터 어셈블리(151)는 약 51pF의 커패시턴스를 갖는 필터 커패시터(151A) 및 약 311 nH의 인덕턴스를 갖는 필터 인덕터(151B)를 포함한다.
펄스 파형 예들
[0058] 상기에서 언급되는 바와 같이, 본 개시내용의 실시예들은, 하나 이상의 RF 소스 어셈블리들의 사용에 의해 플라즈마 프로세싱 챔버의 프로세싱 볼륨에서 형성되는 플라즈마의 양태들을 개별적으로 제어하는 능력을 또한 제공하면서, 프로세싱 동안 거의 일정한 외장 전압의 유지를 가능하게 하는, 따라서 기판의 표면에서 요구되는 IEDF를 생성하는 것을 가능하게 하는 신규의 기판 바이어싱 방법들을 제공한다. 일부 실시예들에서, 본원에서 개시되는 신규의 기판 바이어싱 장치 및 방법들의 사용에 의해, 프로세싱 동안 기판의 표면에서 단일 피크(단일 에너지(mono-energetic)) IEDF가 형성될 수 있다. 다른 실시예들에서, 본원에서 개시되는 신규의 기판 바이어싱 장치 및 방법들 중 하나 이상의 사용에 의해 프로세싱 동안 기판의 표면에서 2 피크(이중 에너지(bi-energetic)) IEDF가 형성된다.
[0059] 도 4a 내지 도 4c와 관련하여 하기에서 추가로 논의되는 바와 같이, 플라즈마 프로세싱 동안 거의 일정한 외장 전압의 유지를 가능하게 하는 신규의 기판 바이어싱 방법들은, 플라즈마 프로세싱 챔버에서 수행되는 플라즈마 프로세스 동안 기판에 대해 수행되는 플라즈마 프로세싱 시퀀스 동안, 일련의 펄스들 및/또는 펄스들의 버스트들의 전달을 포함한다. 본원에서 제공되는 본 개시내용의 실시예들은, 각각이 다수의 상이한 위상들을 포함하는, 요구되는 PV 파형을 갖는 펄스들의 전달을 포함한다. 하기에서 추가로 논의되는 바와 같이, 각각의 PV 파형은, PV 파형 생성기(150)로부터 제공되는 전압 신호, 또는 일부 경우들에서, 일정한 전류 신호의 전달에 의해 제어되는 다수의 위상들 중 적어도 하나의 위상을 포함한다. 일반적으로, 논의 목적들을 위해, PV 파형의 각각의 펄스는, 도 4a 내지 도 4c에서 예시되는 바와 같이, 제1 영역(405) 및 제2 영역(406)을 포함하는 두 개의 메인 영역들로 분할될 수 있다. 일반적으로, 각각의 PV 파형은 진폭(Vout), 오프셋(예를 들면, ΔV), 펄스 주기(TP), 및 펄스 반복 주파수(fP = 1/TP)를 포함할 것이다.
[0060] 일부 실시예들에서, PV 파형은, 제1 PV 소스 어셈블리(196)의 PV 파형 생성기(150) 및 제2 PV 소스 어셈블리(197)의 PV 파형 생성기(150)의 사용에 의해 바이어싱 전극(104) 및 에지 제어 전극(115)에서 각각 개별적으로 설정된다. 도 4a는, 바이어싱 전극(104) 또는 에지 제어 전극(115)에서 PV 파형을 설정하기 위해 PV 파형 생성기들(150)이 일련(550)의 다중 위상(multiphase) 네거티브 펄스 파형들(401)의 생성을 제어하도록 구성되는 펄스식 전압 파형의 네거티브 펄스 바이어싱 방식 타입을 예시한다. 일부 실시예들에서, 다중 위상 네거티브 펄스 파형들(401)은 일련의 반복하는 사이클들을 포함하고, 그 결과, 각각의 사이클 내의 파형은 제1 시간 간격 동안 발생하는 제1 부분 및 제2 시간 간격 동안 발생하는 제2 부분을 갖는다. 다중 위상 네거티브 펄스 파형들(401)은 제1 시간 간격의 적어도 일부 동안에만 존재하는 포지티브 전압을 또한 포함할 것이고, 펄스식 전압 파형은 제2 시간 간격의 적어도 일부 동안 실질적으로 일정하다. PV 파형 생성기(150)의 출력은 제2 시간 간격의 적어도 일부 동안 네거티브 전압 공급부에 연결된다.
[0061] 도 4a 및 도 4d를 참조하면, 하나의 예에서, 기판 PV 파형(425)은, PV 파형 생성기(150)에 의해 바이어싱 전극(104) 또는 에지 제어 전극(115)에서 형성되는 설정된 PV 파형에 기인하여 기판에서 설정되는 일련의 PV 파형들이다. 기판 PV 파형(425)은 프로세싱 동안 기판의 표면에서 설정되고, 예시적인 기판 PV 파형(425)의 포인트(420)와 포인트(421) 사이에서 연장되는 외장 붕괴 및 ESC 재충전 위상(450)(또는 논의의 단순화를 위해, 외장 붕괴 위상(450)), 포인트(421)와 포인트(422) 사이에서 연장되는 외장 형성 위상(451), 및 포인트(422)와 다음번 순차적으로 설정된 펄스 전압 파형의 포인트(420)에 있는 시작으로의 복귀 사이에서 연장되는 이온 전류 위상(452)을 포함한다. 플라즈마 전위 곡선은 하나 이상의 PV 파형 생성기들(150)의 사용에 의해 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에서 설정되는 네거티브 펄스 파형들(401)의 전달 동안의 국소적 플라즈마 전위를 예시한다.
[0062] 이 예에서, 프로세싱 챔버(100)에서의 프로세싱 동안, PV 파형 생성기(150)가 설정된 다중 위상 네거티브 펄스 파형(401)의 위상들 중 두 개 동안, 예컨대 네거티브 방향을 향하며 및/또는 네거티브 전압 레벨(예를 들면, 이온 전류 위상)에서 유지되는 PV 파형의 부분들 동안 네거티브 전압의 전달을 공급 및 제어할 때, 다중 위상 네거티브 펄스 파형(401)(도 4a)이 형성된다. 예를 들면, 네거티브 펄스 파형(401)의 이들 네거티브 전압 포함 부분들은, 유사하게, 기판 PV 파형(425)에 대한 외장 형성 위상(451) 및 이온 전류 위상(452)에 관련될 것이다. 이 경우, 다중 위상 네거티브 펄스 파형(401)의 경우, PV 파형 생성기(150)로부터 네거티브 전압의 전달은, 포인트(411)(즉, 다중 위상 네거티브 펄스 파형(401)의 피크)로부터 또는 포인트(411)와 포인트(413)와 일치하는 기판 PV 파형의 외장 붕괴 위상(450)의 시작 사이에서 연장되는 제2 위상(406) 동안 발생한다. 일부 실시예들에서, 포인트(412)와 포인트(413) 사이에 있는 설정된 다중 위상 네거티브 펄스 파형(401)의 일부와 일치하는 이온 전류 위상(452) 동안, PV 파형 생성기(150)는 일정한 네거티브 전압(예를 들면, VOUT)을 제공하도록 구성된다. 예를 들면, 이온 전류 위상(452) 동안 기판 표면 상에 포지티브 전하를 증착하는 이온 전류(Ii)에 기인하여, 기판 표면에서의 전압은, 포인트(422)와 포인트(420) 사이의 라인의 포지티브 기울기에 의해 보이는 바와 같이, 시간이 지남에 따라 증가할 것이다. 기판 표면에서의 시간 경과에 따른 전압 증가는 외장 전압을 감소시킬 것이고 이온 에너지의 확산을 초래할 것이다. 따라서, 외장 전압에서의 감소 및 이온 에너지의 확산의 효과들을 최소화하기 위해, 적어도 PV 파형 주파수(1/TPD, 여기서 TPD는 PV 파형 주기(도 5a)임)를 제어하고 설정하는 것이 바람직하다.
[0063] 플라즈마 프로세싱 동안 바이어싱 전극(104)에 제공되는 PV 파형들을 전달 및 제어하는 것에 의해, 바람직한 이온 에너지 분포 함수(IEDF), 예컨대 거의 단일 에너지 IEDF가 형성될 수 있다. PV 파형들의 특성들(예를 들면, 피크 대 피크 전압, 듀티 사이클, 주파수, 등)의 생성 및 제어는 플라즈마 이온 밀도 및 생성된 이온 에너지들의 정밀한 제어를 허용하며, 또한 에칭된 피처의 저부에서 발견되는 전도성 재료(예를 들면, W) 표면 상의 더욱 제어 가능한 플루오르화 탄소(CxFy) 기반의 폴리머 증착을 또한 초래한다. 전도성 재료 표면 상에서의 폴리머 증착의 형성은 전도성 재료 대 개재하는 에칭된 유전체 재료에 대한 건식 에칭 화학 물질의 에칭 선택도를 향상시킬 것이다.
[0064] 도 4b는, PV 파형 생성기(150)가 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에서 설정되는 일련(551)의 다중 위상 성형 펄스 파형들(441)의 생성을 제어하도록 구성되는 PV 파형의 성형 펄스 바이어싱 방식 타입(shaped-pulse biasing scheme type)을 예시한다. 일부 실시예들에서, 다중 위상 성형 펄스 파형(441)은, 하나 이상의 내부 스위치들 및 DC 전력 공급부들의 사용에 의해, 전압 펄스의 하나 이상의 위상들(예를 들면, 제1 영역(405)) 동안 포지티브 전압을 그리고 전압 펄스의 하나 이상의 위상들(예를 들면, 제2 영역(406)) 동안 네거티브 전압을 공급하도록 구성되는 PV 파형 생성기(150)에 의해 형성된다.
[0065] 일부 실시예들에서, 도 4c에서 예시되는 바와 같이, PV 파형 생성기(150)는 바이어싱 전극(104) 및 에지 제어 전극(115)에 일련(552)의 다중 위상 포지티브 펄스 파형들(431)을 제공하도록 구성된다. 포지티브 펄스 파형(431)에서의 각각의 포지티브 펄스는 다수의 위상들, 예컨대 외장 붕괴 위상, ESC 재충전 위상, 외장 형성 위상 및 이온 전류 위상을 포함할 수 있다. 이 예에서, 제1 영역(405)은 외장 붕괴 위상 및 ESC 재충전 위상을 일반적으로 포함한다. 제2 영역(406)은 외장 형성 위상 및 이온 전류 위상을 일반적으로 포함한다. 일부 실시예들에서, 다중 위상 포지티브 펄스 파형들(431)은 일련의 반복하는 사이클들을 포함하고, 그 결과, 각각의 사이클 내의 파형은 제1 시간 간격 동안 발생하는 제1 부분 및 제2 시간 간격 동안 발생하는 제2 부분을 갖는다. 다중 위상 포지티브 펄스 파형들(431)은 제1 시간 간격의 적어도 일부 동안에만 존재하는 포지티브 전압을 또한 포함할 것이고, 다중 위상 포지티브 펄스 파형들(431)은 제2 시간 간격의 적어도 일부 동안 실질적으로 일정하다. PV 파형 생성기(150)의 출력은 제1 시간 간격의 적어도 일부 동안 포지티브 전압 공급부에 연결된다.
[0066] 도 4a, 도 4b 및 도 4c에서 각각 예시되는 다양한 펄스 전압 파형들(401, 441, 및 431)은 바이어스 보상 모듈(116)의 입력에 제공되는 펄스 전압 파형들을 표현하며, 따라서 바이어싱 전극(104) 및 에지 제어 전극(115)에서 설정되는 펄스 전압 파형들과는 상이할 수 있다. 각각의 PV 파형에서 발견되는 DC 오프셋(ΔV)은 PV 파형을 설정하기 위해 사용되는 PV 파형 생성기(150) 구성의 다양한 속성들에 의존한다.
[0067] 일부 실시예들에서, 적어도 하나 이상의 타입들의 펄스 전압 파형들(401, 441 및/또는 431)의 일련의 버스트들이 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에서 설정되고 기판 표면에서 설정된다. 하나의 예에서, 각각의 버스트 내의 복수의 펄스들은 바이어싱 전극(104) 및/또는 에지 제어 전극(115)에서 설정되는 일련의 네거티브 펄스 파형들(401)을 포함한다. 하나의 예에서, 펄스 전압 파형들의 버스트들 각각은 일관된 펄스식 전압 형상(예를 들면, 각각의 PV 파형(401)의 일부 동안 일정한 전압 크기가 제공됨)을 갖는 파형을 갖는 펄스들, 시간이 지남에 따라 하나의 버스트로부터 다른 버스트로 변할 수 있는 버스트 전달 길이(TON), 및 시간이 지남에 따라 또한 변할 수 있는 버스트 휴지 길이(burst rest length)(TOFF)를 포함한다. 버스트 휴지 길이(TOFF)는 시간의 한 기간 동안 버스트 전달 길이(TON) 시간 동안 제공되는 PV 파형들의 전달을 중단하는 것에 의해 형성된다. 버스트 동안 복수의 펄스들이 전달되는 시간의 길이(즉, 버스트 전달 길이(TON))를 버스트 주기의 지속 기간(즉, TBD = TON + TOFF)으로 나눈 비율인 버스트들의 듀티 사이클은 일정할 수 있거나 또는 시간이 지남에 따라 변할 수 있다. 다른 프로세싱 방법들에서, 복수의 펄스들이 네거티브 펄스 파형들(401), 성형된 펄스 파형들(441) 또는 포지티브 펄스 파형들(431), 또는 이들의 조합들을 포함할 수 있다는 것이 인식될 것이다.
실리콘 이산화물의 에칭의 예시적인 선택도
[0068] 앞서 언급된 바와 같이, 3D NAND 디바이스들의 제조에서 수반되는 플라즈마 에칭 프로세스들은 점점 더 까다로워지고 있다. 구체적으로, 3D NAND 기술에서의 계단 콘택 에칭은 NAND 스택의 저부에 있는 셀들에 대한 액세스를 제공하고, 그에 의해, NAND 스택에 임베딩되는 형성된 전도성 재료 층들(예를 들면, 텅스텐 함유 층들)이, 외부 주변장치 회로부로부터 셀 제어 게이트들에 대한 액세스를 허용하는 워드 라인들의 부분들을 형성하는 것을 허용한다.
[0069] 도 5는, 본원에서 설명되는 특정한 실시예들에 따른, 기판에 대해 수행되는 계단 콘택 에칭 프로세스의 결과들을 예시한다. 하나의 실시예에 따르면, 기판(500)은 마스크 층(505) 및 다층 스택(501)을 포함하는데, 다층 스택(501)은 복수의 전도성 층들(520) 및 그들 사이에서 배치되는 복수의 개재하는 유전체 재료 층들을 포함한다. 전도성 층들(520)의 일부들에 인접하게 배치되는 유전체 재료 및 복수의 개재하는 유전체 재료 층들은 본원에서 유전체 재료(510)로 일괄적으로 설명되고 지칭된다. 마스크 층(505)은 리소그래피 및 마스크 에칭 프로세스의 사용에 의해 고객 명세들에 기초하여 형성되는 사전 에칭 패턴을 포함한다. 패턴화된 마스크 층(505)은 (도 6a에서 예시되는 바와 같이) 플라즈마 에칭 프로세스 동안 형성되는 피처들, 예컨대 트렌치들(515)의 형성을 안내한다. 다층 스택(501)은 다수의 전도성 층들(520)을 포함하고, 전도성 층들(520)은, 본원에서 설명되는 플라즈마 에칭 프로세스 동안 형성되는 트렌치들(515) 각각이 다층 스택(501) 내에서 상이한 깊이들(Z 방향)에서 배치되는 전도성 층들(520) 각각에 도달하도록 엇갈려 배치된 배열을 형성한다. 도 5에서 예시되는 바와 같이, 플라즈마 에칭 프로세스 동안 형성되는 트렌치들(515) 각각은, 마스크 층(505)에서 형성되는 패턴에 기인하여, 상이한 깊이를 가지며 상이한 전도성 층(520)과 접촉한다. 플라즈마 에칭 프로세스 동안 형성되는 트렌치들(515) 각각은 또한, 대응하는 전도성 층(520)을 통해 연장하지 않는다.
[0070] 일부 실시예들에서, 다층 스택(501)의 유전체 재료(510)에 배치되는 전도성 층들(520)은 텅스텐, 백금, 티타늄, 루테늄, 실리콘, 몰리브덴, 코발트 및 하프늄으로 구성될 수 있다.
[0071] 도 6a 내지 도 6c 각각은, 본원에서 설명되는 특정한 실시예들에 따른, 기판의 일부 내의 계단식 콘택 구조물에 트렌치들(515)을 형성하기 위해 사용되는 플라즈마 에칭 프로세스의 상이한 위상들 동안 다층 스택(501)의 일부를 예시한다. 도 6a는, 논의 목적들을 위해 본원에서 시간(T0)으로 지칭되는, 트렌치들(515)을 형성하기 위해 사용되는 플라즈마 에칭 프로세스 이전에 형성되는 패턴화된 마스크 층(505)을 갖는 기판을 예시한다. 마스크 층(505)의 사전 에칭은, 후속하는 플라즈마 에칭 프로세스 동안 유전체 층(510) 내에서 에칭이 발생하도록 의도되는 곳의 경계를 정한다.
[0072] 도 6b는 플라즈마 프로세스가 기판(500)의 전도성 층(520)과 접촉한 경우의 플라즈마 에칭 프로세스 동안의 기판(500)을 예시한다. 이 예에서, 도 6b에서 도시되는 바와 같이, 플라즈마 에칭 프로세스는 마스크 층(505)에서 형성되는 패턴에 기인하여 유전체 층(510)에서 두 개의 트렌치들을 형성하였다. 플라즈마 에칭 프로세스 동안, 플라즈마 프로세싱 챔버에서 형성되는 플라즈마(예를 들면, 도 7의 플라즈마(530))는 패턴화된 마스크 층(505)을 통해 유전체 재료(510)와 상호 작용하고, 시간의 한 기간 이후 유전체 재료(510) 내에서 트렌치들(515)을 형성한다. 에칭 프로세스 동안 사용되는 마스크 층(505)의 조성 및 플라즈마 화학 물질의 조성이 프로세싱 동안 최소 에칭이 발생하도록 선택되기 때문에, 플라즈마는 마스크 층(505)을 에칭하지 않고 패턴화된 마스크 층(505)을 통해 노출되는 유전체 재료(510)만을 에칭한다. 트렌치들(515) 각각은, 논의 목적들을 위해 플라즈마 에칭 프로세스 동안 본원에서 시간(T1)으로 지칭되는 이 시점에서 동일한 깊이를 갖는다. 일부 실시예들에서, 플라즈마 에칭 프로세스는 상기에서 설명되는 방식으로 동작한다.
[0073] 도 6c는, 논의의 목적들을 위해 본원에서 시간(T2)으로 지칭되는, 플라즈마 에칭 프로세스의 완료시의 기판(500)을 예시한다. 플라즈마 에칭 프로세스는, 패턴화된 마스크 층(505)에 의해 경계가 정해진 트렌치들(515) 내의 유전체 재료(510) 안으로 계속해서 에칭한다. 전도성 층(520)의 조성 및 에칭 프로세스를 수행하기 위한 플라즈마 화학 물질의 특정한 조성에 대한 필요성 때문에, 전도성 층(520)은 (도 6c에서 예시되는 바와 같이) 시간(T1)에서 전도성 층(520)이 먼저 노출된 이후 플라즈마 에칭 프로세스가 계속되는 동안 어떤 바람직하지 않은 에칭을 경험할 것이다. 전도성 층(520) 안으로의 에칭의 레이트는 유전체 재료(510) 안으로의 에칭의 레이트보다 훨씬 더 작다. 따라서, 플라즈마 에칭 프로세스는, 플라즈마(530)가 기판(500)의 베이스 층(525) 또는 다층 스택(501) 내의 하나 이상의 전도성 층들(520)에 도달할 때까지 유전체 재료(510)에서 트렌치들을 형성하도록 유전체 재료(510) 안으로 에칭한다. 플라즈마(530)가 기판(500)의 베이스 층(525) 또는 하나 이상의 전도성 층들(520)에 도달하는 경우, 에칭의 레이트는 감소하고, 한편, 유전체 재료(510)를 통한 에칭의 레이트는 기판(500)의 베이스 층(525) 또는 다른 전도성 층이 도달될 때까지 동일하게 유지된다.
[0074] 상기에서 언급되는 바와 같이, 플라즈마 에칭 프로세스는, 플라즈마(530)가 하나 이상의 전도성 층들(520)에 도달할 때까지 유전체 재료(510)에서 트렌치들을 형성하도록 유전체 재료(510) 안으로 에칭한다. 플라즈마 에칭 프로세스 동안 바람직한 건식 에칭 화학 물질의 사용에 기인하여, 플라즈마(530)가 유전체 재료(510)를 통해 에칭하고 전도성 층(520)에 도달하는 경우, 에칭의 레이트는 전도성 층(520) 내의 재료들 대 유전체 재료(510)에 대한 에칭 화학 물질 조성의 선택도에 기인하여 감소되거나 또는 억제된다. 하기에서 추가로 논의되는 바와 같이, 건식 에칭 화학 물질, 또는 프로세스 가스는 플루오로카본 함유 가스(예를 들면, CxFy) 및 추가적인 비 플루오로카본 함유 프로세스 가스(non-fluorocarbon-containing process gas)를 포함할 수 있다. 전도성 층(520)의 표면에서 형성되는 계면은 에칭된 피처의 저부에서 발견되는 전도성 층 재료에 대한 건식 에칭 화학 물질의 노출에 의해 형성되는 폴리머 재료로 구성될 수 있다. 예를 들면, 하나 이상의 전도성 층들(520)이 텅스텐(W)으로부터 제조되는 경우, 플라즈마 에칭 프로세스는 텅스텐 재료의 표면 상에 폴리머 재료를 형성하고, 따라서, 그 밖의 노출된 표면을 보호한다. 몇몇 예들에서, 형성되는 계면은 플라즈마 라디칼 에칭에 대한 더 나은 보호를 위해 하나 이상의 전도성 층들(520)의 표면 상에 증착되는 플루오로카본 기반의 폴리머이다. 계면은 건식 에칭 플라즈마 프로세스가 진행됨에 따라 기판 내에서 상이한 깊이들로 배치되는 하나 이상의 전도성 층들(520) 각각 상에서 형성될 수 있다. 하나 이상의 전도성 층들 상에서의 하나 이상의 계면들의 형성은 에칭 선택도에 도움이 된다. 즉, 플라즈마 에칭 프로세스는 유전체 재료(510)를 선택적으로 에칭하는 것을 수반하고 하나 이상의 전도성 층들(520)의 에칭된 표면 상에 계면을 형성하는 것에 의해 하나 이상의 전도성 층들(520) 안으로의 에칭을 방지한다. 몇몇 예들에서, 하나 이상의 전도성 층들(520) 상에서의 계면(들)의 형성은 기판의 에칭 선택도를 용이하게 하고, 그 결과, 플라즈마(530)는 (도 7에서 예시되는 바와 같이) 기판(500)의 유전체 재료(510) 안으로 선택적으로 에칭하고, 그리고 하나 이상의 전도성 층들(520) 또는 다른 기저의 재료들 층들 안으로는 선택적으로 에칭하지 않는다.
[0075] 도 7은, 하나의 예에 따른, 플라즈마 에칭 프로세스의 향상된 에칭 선택도를 예시한다. PV 파형의 ESC 재충전 위상(예를 들면, PV 펄스(도 4d) 내의 포지티브 점프의 일부) 동안, 플라즈마(530)의 외장 두께는 감소되고 기판(500)은 플라즈마 라디칼들 에칭, 또는 더욱 등방성인 경향이 있는 라디칼들 대 이온들에 의해 주로 야기되는 에칭을 겪을 것이다. 플라즈마 라디칼 에칭 동안, 플루오로카본 라디칼들은 기판(500)의 트렌치들(515) 안으로 증착되고, 따라서 플라즈마 라디칼들은 유리하게 하나 이상의 전도성 층들(520)의 표면 상에서 폴리머 증착을 형성하기 위해 사용된다. 일단 ESC 재충전 위상이 종료되면, 플라즈마(530)의 외장이 형성되고 이온 전류 위상(도 4d) 동안, 기판(500)은 플라즈마 이온 에칭, 또는 더욱 이방성인 경향이 있는 이온들 대 라디칼들에 의해 주로 야기되는 에칭을 겪는다.
[0076] 도 8은, 하나의 실시예에 따른, 플라즈마 에칭 프로세스 동안 외장 두께의 그래프를 예시하는 곡선(801)을 포함한다. 외장 두께는, 도 4d에서 예시되는 PV 파형(401)이 시간의 함수로서 변하기 때문에 변한다. 구체적으로, ESC 재충전 위상(450) 동안, 외장 두께는 감소되고 기판은 플라즈마 라디칼 에칭, 또는 더욱 등방성인 경향이 있는 라디칼들 대 이온들에 의해 주로 야기되는 에칭을 겪을 수 있다. 일단 ESC 재충전 위상(450)이 종료되면, 외장은 외장 형성 위상(451) 동안 형성되고, 이온 전류 위상(452) 동안 기판은 플라즈마 이온 에칭, 또는 더욱 이방성인 경향이 있는 이온들 대 라디칼들에 의해 주로 야기되는 에칭을 겪는다. 이온 전류 위상(452) 동안, 외장의 두께는 이온 전류 위상(452) 동안 웨이퍼 전압의 증가와 일치하여 감소될 수 있다.
[0077] 도 9는, 본 개시내용의 특정한 실시예들에 따른, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하기 위한 예시적인 동작들(900)을 예시하는 흐름도이다. 동작들(900)은, 예를 들면, 플라즈마 프로세싱 챔버(예를 들면, 예컨대 도 1의 플라즈마 프로세싱 챔버(100))에서 수행될 수 있다.
[0078] 동작들(900)은, 동작(905)에서, 패턴화된 마스크 층 및 그 상에서 형성된 제1 유전체 재료를 포함하는 기판을, 플라즈마 프로세싱 챔버의 프로세싱 구역 내에 배치된 기판 지지 어셈블리의 기판 지지 표면 상에 배치하는 것에 의해 시작될 수 있다. 동작(905)은, 임의의 순서로 수행될 수 있는, 그러나, 동작(905)이 수행되는 대부분의 시간 동안 일반적으로 동시에 수행될 동작들(910-920)에서 수행되는 동작들을 일반적으로 포함한다.
[0079] 동작(910)에서, 플라즈마 에칭 프로세스는 플라즈마 프로세싱 챔버의 프로세싱 구역 안으로 건식 에칭 화학 물질을 전달하는 것을 수반한다. 건식 에칭 화학 물질은 제1 플루오로카본 함유 가스(예를 들면, C4F6, C3F6, 등과 같은 CxFy) 및 제1 프로세스 가스를 포함할 수 있다. 건식 에칭 화학 물질을 플라즈마 프로세싱 챔버의 프로세싱 구역 안으로 전달하는 것은, 제1 유량(flow rate)의 N2 가스, 제2 유량의 크립톤(Kr) 가스, 제3 유량의 C4F6 가스, 제4 유량의 C3F6 가스, 및 제5 유량의 O2 가스를 포함하는, 그러나 이들로 제한되지는 않는 것들로부터 선택되는 두 개 이상의 가스들을 전달하는 것을 수반한다. 플라즈마 에칭 프로세스는 챔버 압력, 기판 지지 온도, 지붕 온도들, 및 지지 헬륨(He) 압력을 제어하는 것을 또한 포함할 것이다. N2의 제1 유량은 5sccm 내지 1000sccm 사이의 범위에 이를 수 있다. Kr의 제2 유량은 5sccm 내지 1000sccm 사이의 범위에 이를 수 있다. C4F6의 제3 유량은 5sccm 내지 1000sccm 사이의 범위에 이를 수 있다. C3F6의 제4 유량은 5sccm 내지 1000sccm 사이의 범위에 이를 수 있다. O2의 제5 유량은 5sccm 내지 1000sccm 사이의 범위에 이를 수 있다. 플라즈마 에칭 프로세스는 1mTorr 내지 500mTorr 사이의 범위 내에서 챔버 압력을 제어하는 것을 또한 포함할 것이다. 플라즈마 에칭 프로세스는 -80 내지 500℃ 사이의 범위 내에서 기판 지지체 온도를 제어하는 것을 또한 포함할 것이다. 플라즈마 에칭 프로세스는 10℃ 내지 500℃ 사이의 범위 내에서 지붕 온도를 제어하는 것을 또한 포함할 것이다. 플라즈마 에칭 프로세스는 0-100 Torr 사이의 범위 내에서 He 압력을 제어하는 것을 또한 포함할 수 있다. 하나의 예에서, 플라즈마 에칭 프로세스는, 약 1mTorr와 40mTorr 사이의 챔버 압력에서 약 4의 C4F6 가스 유량 대 C3F6 가스 유량 비율, 약 2의 C4F6 가스 유량 대 O2 가스 유량 비율, 약 1.1의 C4F6 가스 유량 대 N2 가스 유량 비율, 및 약 0.7의 C4F6 가스 유량 대 Kr 가스 유량 비율을 생성하는 것에 의해 형성되는 조성을 갖는 프로세스 가스를 전달하는 것을 포함한다.
[0080] 동작(915)에서, 플라즈마 에칭 프로세스는 프로세싱 구역에서 플라즈마를 형성하기 위해, 무선 주파수 생성기의 사용에 의해, 플라즈마 프로세싱 챔버 내에 배치된 제1 전극에 무선 주파수 신호를 전달하는 것을 수반한다. 플라즈마를 형성하기 위해 플라즈마 프로세싱 챔버 내에 배치된 제1 전극에 무선 주파수 신호를 전달하는 것은 다음의 것을 포함하는, 그러나 이들로 제한되지는 않는 파라미터들을 수반한다: 소스 전력 및 주파수. RF 소스 전력은 > 400kHz, 예컨대 2MHz 또는 13.56MHz, 또는 40MHz, 또는 60MHz의 주파수에서 500W 내지 5000W 사이의 범위에 이를 수 있다.
[0081] 동작(920)에서, 플라즈마 에칭 프로세스는, 제1 펄스식 전압 파형 생성기의 사용에 의해, 기판 지지 어셈블리 내의 바이어싱 전극에서 제1 펄스식 전압 파형을 설정하는 것을 수반한다. 제1 펄스식 전압 파형은 일련의 반복하는 펄스식 파형 사이클들을 수반할 수 있다. 각각의 펄스식 파형 사이클은 제1 시간 간격(도 8의 810) 동안 발생하는 제1 부분 및 제2 시간 간격(도 8의 811) 동안 발생하는 제2 부분을 포함하고, 제2 시간 간격은 제1 시간 간격보다 더 크다. 각각의 펄스식 파형 사이클은 피크 대 피크 전압을 또한 포함한다. 제1 펄스식 전압 파형 생성기로부터 출력되는 펄스식 전압 파형은 제2 시간 간격의 적어도 일부 동안 실질적으로 일정하다. 각각의 펄스식 파형 사이클의 제1 시간 간격은 외장 붕괴 위상, ESC 재충전 위상, 및 외장 형성 위상을 포함할 수 있다. 각각의 펄스식 파형 사이클의 제2 시간 간격은 이온 전류 위상을 포함할 수 있다. 몇몇 예들에서, 플라즈마 에칭 프로세스는 제1 시간 간격 동안 플라즈마 라디칼 에칭을 그리고 제2 시간 간격 동안 플라즈마 이온 에칭을 수반한다. 플라즈마는 각각의 펄스식 파형의 제2 시간 간격 동안 플라즈마 이온 에칭을 통해 유전체 재료를 관통하여 에칭하고, 플라즈마는 각각의 펄스식 파형의 제1 시간 간격 동안 플라즈마 라디칼 에칭을 통해 하나 이상의 전도성 층들 안으로 에칭한다.
[0082] 플라즈마 프로세싱 챔버 내에 배치된 바이어싱 전극(104)으로 펄스식 전압 파형을 전달하는 것은, 다음의 것을 포함하는, 그러나 이들로 제한되지는 않는 파라미터들을 갖는 펄스식 전압 파형을 전달하는 것을 수반한다: PVT 바이어스 전력, 듀티 사이클, 펄스 주파수, 피크 대 피크 전압 및 흐름 비율 제어(flow ratio control; FRC). 바이어스 전력은 500W 내지 500,000W 사이의 범위에 이를 수 있다. 듀티 사이클은 포지티브 펄스 파형들(431)의 생성 동안 포지티브 출력 전압을 제공하도록 구성되는 PV 파형 생성기(150)의 경우 0 내지 100% 사이, 예컨대 약 1% 내지 99%, 또는 심지어 5% 내지 20%의 범위에 이를 수 있거나, 또는 듀티 사이클은 네거티브 펄스 파형들(401)의 생성 동안 네거티브 출력 전압을 제공하도록 구성되는 PV 파형 생성기(150)의 경우 50%와 98% 사이의 범위에 이를 수 있다. 펄스 주파수는 1 내지 1000kHz 사이, 예컨대 약 10kHz와 약 500kHz 사이, 또는 약 50kHz와 약 400kHz 사이, 또는 심지어 약 50kHz와 약 200kHz 사이의 범위에 이를 수 있다. FRC는 모든 FRC 채널에 대해 0 내지 100% 사이의 범위에 이를 수 있다. 일련의 PV 파형들 내에서, PV 파형 생성기(150)에 의해 생성되는 PV 파형들 각각의 피크 대 피크 전압은 0.5kV와 20kV 사이, 예컨대 약 2kV와 20kV 사이, 또는 약 5kV와 9kV 사이, 또는 약 5kV와 8kV 사이에 있다. 더 높은 피크 대 피크 전압들은 피처 에칭 레이트를 증가시키고, 그리고 또한, 놀랍게도, 동작(910)에서 설명되는 건식 에칭 화학 물질들 및 본원에서, 예컨대 동작들(915 및 920)에서 개시되는 다른 프로세싱 파라미터들을 사용하여 텅스텐을 에칭하는 것에 대한 선택도를 향상시킨다는 것이 밝혀졌다.
[0083] 동작들(900)은 요구되는 시간의 기간 동안, 또는 요구되는 엔드포인트가 플라즈마 프로세싱 챔버 내에서 감지될 때까지 완료될 수 있고, 그 결과, 에칭 프로세스, 예컨대 도 5 내지 도 6c와 관련하여 상기에서 논의되는 프로세스(들)가 다층 스택의 하나 이상의 층들을 통해 수행될 수 있다. 일부 실시예들에서, 컨트롤러(126) 내의 소프트웨어 알고리즘들 중 하나 이상은 동작(900) 내에서 수행되는 프로세스들을 모니터링, 제어 및/또는 구현하기 위해 사용된다.
[0084] 전술한 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들은 본 개시내용의 기본 범위로부터 벗어나지 않으면서 고안될 수 있고, 그 범위는 후속하는 청구항들에 의해 결정된다.

Claims (20)

  1. 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법으로서,
    상기 플라즈마 프로세싱 챔버의 프로세싱 구역 내에 배치된 기판 지지 어셈블리의 기판 지지 표면 상에 배치되는 기판 상에 형성된 제1 유전체 재료를 에칭하는 단계를 포함하고,
    상기 제1 유전체 재료를 에칭하는 단계는:
    프로세스 가스를 상기 플라즈마 프로세싱 챔버의 프로세싱 구역으로 전달하는 단계 ― 상기 프로세스 가스는 제1 플루오로카본 함유 가스 및 제1 프로세스 가스를 포함함 ―;
    상기 프로세싱 구역에서 플라즈마를 형성하기 위해, 무선 주파수 생성기의 사용에 의해, 상기 플라즈마 프로세싱 챔버 내에 배치된 제1 전극에 무선 주파수 신호를 전달하는 단계; 및
    제1 펄스식 전압 파형 생성기의 사용에 의해, 상기 기판 지지 어셈블리 내에 배치된 바이어싱 전극에서 제1 펄스식 전압 파형을 설정하는 단계를 포함하고,
    상기 제1 펄스식 전압 파형은 일련의 반복하는 펄스식 파형 사이클들을 포함하며,
    각각의 펄스식 파형 사이클은:
    제1 시간 간격 동안 발생하는 제1 부분 및 제2 시간 간격 동안 발생하는 제2 부분 ― 상기 제2 시간 간격은 상기 제1 시간 간격보다 더 큼 ―; 및
    피크 대 피크 전압을 포함하고; 그리고
    상기 펄스식 전압 파형은 상기 제2 시간 간격의 적어도 일부 동안 실질적으로 일정한, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  2. 제1 항에 있어서,
    상기 제1 시간 간격은 약 200ns 내지 약 400ns인, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  3. 제1 항에 있어서,
    상기 제1 시간 간격은 상기 일련의 반복하는 사이클들 중 한 사이클의 약 20% 미만인, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  4. 제3 항에 있어서,
    각각의 펄스식 파형 사이클 내의 펄스식 전압 파형은 약 5kV 내지 20kV인 피크 대 피크 전압을 갖는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  5. 제1 항에 있어서,
    상기 프로세스 가스는 제2 플루오로카본 함유 가스 및 제2 프로세스 가스를 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  6. 제1 항에 있어서,
    상기 제1 플루오로카본 함유 가스는 C4F6 또는 C3F6 중 적어도 하나를 포함하고, 상기 제1 프로세스 가스는 N2, Kr 및 O2 중 적어도 하나를 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  7. 제1 항에 있어서,
    하나 이상의 전도성 층들을 포함하는 상기 기판 상에 마스크를 배치하는 단계;
    상기 마스크 및 상기 하나 이상의 전도성 층들을 갖는 상기 기판을 상기 플라즈마 프로세싱 챔버 내에 제공하는 단계; 및
    복수의 파라미터들에 기초하여 상기 제1 유전체 재료를 에칭하는 단계를 더 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  8. 제7 항에 있어서,
    상기 하나 이상의 전도성 층들은 텅스텐, 백금, 티타늄, 루테늄 및 실리콘 중 적어도 하나를 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  9. 제7 항에 있어서,
    상기 하나 이상의 전도성 층들은 몰리브덴, 코발트 및 하프늄 중 적어도 하나를 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  10. 제7 항에 있어서,
    상기 제1 유전체 재료를 에칭하는 단계는:
    상기 제1 유전체 재료를 관통하여 제1 트렌치를 에칭하는 단계 ― 상기 제1 트렌치는 상기 하나 이상의 전도성 층들 중 제1 전도성 층까지 연장됨 ―; 및
    상기 제1 트렌치의 깊이를 지나 상기 제1 유전체 재료를 관통하여 제2 트렌치를 에칭하는 단계를 포함하며,
    상기 제2 트렌치는 상기 하나 이상의 전도성 층들 중 제2 전도성 층까지 연장되는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  11. 제10 항에 있어서,
    상기 제1 유전체 재료를 에칭하는 단계는:
    제3 트렌치가 상기 기판의 베이스 층에 도달할 때까지 상기 제2 트렌치의 깊이를 지나 상기 제1 유전체 재료를 관통하여 상기 제3 트렌치를 에칭하는 단계를 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  12. 제10 항에 있어서,
    상기 제1 트렌치는 상기 제1 전도성 층을 관통하지 않고, 상기 제2 트렌치는 상기 제2 전도성 층을 관통하지 않는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  13. 제10 항에 있어서,
    상기 유전체를 관통하여 상기 제1 트렌치를 에칭하는 단계는 상기 제1 트렌치의 깊이와 매칭하는 깊이까지 상기 제2 트렌치를 에칭하는 단계를 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  14. 제1 항에 있어서,
    상기 제1 유전체 재료를 에칭하는 단계는 상기 제1 유전체 재료를 관통하여 하나 이상의 트렌치들을 에칭하는 단계를 포함하고,
    상기 하나 이상의 트렌치들 각각의 깊이는 상이하고 상기 하나 이상의 트렌치들 각각은 상기 하나 이상의 전도성 층들 중 상이한 전도성 층과 접촉하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  15. 제14 항에 있어서,
    상기 하나 이상의 트렌치들 각각은 상기 하나 이상의 전도성 층들 중 어떤 것도 관통하지 않는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  16. 제14 항에 있어서,
    상기 제1 유전체 재료를 에칭하는 단계는 하나 이상의 트렌치들과 상기 하나 이상의 전도성 층들 중 한 전도성 층 사이에 계면을 형성하는 단계를 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  17. 제16 항에 있어서,
    상기 하나 이상의 전도성 층들 각각의 단부들은 계단(staircase)을 형성하도록 서로로부터 변위되는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  18. 제1 항에 있어서,
    상기 프로세스 가스를 상기 플라즈마 프로세싱 챔버의 프로세싱 구역으로 전달하는 단계는 1mTorr 내지 500mTorr의 챔버 압력을 형성하고,
    상기 프로세스 가스를 전달하는 단계는 상기 제1 플루오로카본 함유 가스를 제1 유량으로 그리고 상기 제1 프로세스 가스를 제2 유량으로 흐르게 하는 단계를 포함하고; 그리고
    상기 배치된 바이어싱 전극에서 설정되는 펄스식 전압 파형은 1% 내지 99%의 듀티 사이클을 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  19. 제18 항에 있어서,
    상기 제1 플루오로카본 함유 가스는 C4F6 또는 C3F6 중 적어도 하나를 포함하고, 상기 제1 프로세스 가스는 N2, Kr 및 O2 중 적어도 하나를 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
  20. 제19 항에 있어서,
    상기 일련의 반복하는 펄스식 파형 사이클들은 약 300kHz 내지 500kHz의 펄스 주파수에서 제공되고, 그리고
    상기 제1 유전체를 에칭하는 단계는 상기 기판 지지 표면의 온도를 -80℃ 내지 500℃의 범위 내에 유지하는 단계를 더 포함하는, 플라즈마 프로세싱 챔버에서 기판을 프로세싱하는 방법.
KR1020237002379A 2021-04-16 2022-03-18 펄스식 플라즈마를 사용하여 에칭 선택도를 향상시키는 방법 KR20230026484A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202163175759P 2021-04-16 2021-04-16
US63/175,759 2021-04-16
US17/244,873 2021-04-29
US17/244,873 US11495470B1 (en) 2021-04-16 2021-04-29 Method of enhancing etching selectivity using a pulsed plasma
PCT/US2022/020957 WO2022220992A1 (en) 2021-04-16 2022-03-18 Method of enhancing etching selectivity using a pulsed plasma

Publications (1)

Publication Number Publication Date
KR20230026484A true KR20230026484A (ko) 2023-02-24

Family

ID=83602560

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237002379A KR20230026484A (ko) 2021-04-16 2022-03-18 펄스식 플라즈마를 사용하여 에칭 선택도를 향상시키는 방법

Country Status (6)

Country Link
US (1) US11495470B1 (ko)
JP (1) JP2024506231A (ko)
KR (1) KR20230026484A (ko)
CN (1) CN116261768A (ko)
TW (1) TW202307909A (ko)
WO (1) WO2022220992A1 (ko)

Family Cites Families (568)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ko) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
JP2001520433A (ja) 1997-10-15 2001-10-30 東京エレクトロン株式会社 加速された粒子を発生させる装置並びに方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
TW426888B (en) 1998-09-18 2001-03-21 Tokyo Electron Ltd Plasma processing method
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
CN1241316C (zh) 1999-07-13 2006-02-08 东京电子株式会社 产生感性耦合的等离子的射频电源
EP1282909A1 (en) 1999-08-02 2003-02-12 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
JP4819267B2 (ja) 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
JP5165825B2 (ja) 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
ES2208530T3 (es) 2001-04-27 2004-06-16 European Community Metodo y aparato para el tratamiento secuencial por plasma.
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
KR100775175B1 (ko) 2001-10-31 2007-11-12 동경 엘렉트론 주식회사 높은 어스펙트비의 반도체장치 에칭방법
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
JP4644128B2 (ja) 2003-11-28 2011-03-02 株式会社アドバンテスト デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP1803142A1 (en) 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
KR20080107473A (ko) 2004-11-04 2008-12-10 가부시키가이샤 알박 정전 척 장치
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
PL1701376T3 (pl) 2005-03-10 2007-04-30 Huettinger Elektronik Gmbh Co Kg Próżniowy generator plazmowy
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
JP4704088B2 (ja) 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
CN101053283A (zh) 2005-05-13 2007-10-10 松下电器产业株式会社 电介质阻挡放电灯点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CN101405924B (zh) 2006-01-23 2012-07-11 奥德拉国际销售公司 用于受限电源的功率供应设备以及使用功率供应设备的音频放大器
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
WO2008071734A2 (en) 2006-12-12 2008-06-19 Oc Oerlikon Balzers Ag Arc suppression and pulsing in high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
EP2221614A1 (en) 2007-11-26 2010-08-25 Tokyo Electron Limited Microstructure inspecting device, and microstructure inspecting method
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
EP2416629B1 (en) 2009-08-07 2021-04-21 Kyosan Electric Mfg. Co. Ltd Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR101757920B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP2926830B1 (en) 2010-08-31 2017-08-02 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
WO2012122064A1 (en) 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
KR102046193B1 (ko) 2012-02-01 2019-11-18 도쿄엘렉트론가부시키가이샤 플라스마 에칭 방법 및 플라스마 에칭 장치
WO2013118660A1 (ja) 2012-02-09 2013-08-15 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置
JP5922218B2 (ja) 2012-02-20 2016-05-24 東京エレクトロン株式会社 電源システム及びプラズマ処理装置
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
US9644221B2 (en) 2012-03-30 2017-05-09 Toray Industries, Inc. Method of producing chemical by continuous fermentation and continuous fermentation apparatus
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
WO2014028050A1 (en) 2012-08-15 2014-02-20 Sun Catalytix Corporation High solubility iron hexacyanides
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9396960B2 (en) 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
CN105122431A (zh) 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
US20140262755A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Uv-assisted reactive ion etch for copper
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
WO2015009864A1 (en) 2013-07-17 2015-01-22 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (dms) processes
KR20180110213A (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102133895B1 (ko) 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
CN106105033B (zh) 2013-11-14 2019-04-12 鹰港科技有限公司 高压纳秒脉冲发生器
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
WO2015148490A1 (en) 2014-03-24 2015-10-01 Advanced Energy Industries, Inc. System and method for control of high efficiency generator source impedance
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
US10672616B2 (en) 2014-12-25 2020-06-02 Tokyo Electon Limited Plasma processing apparatus and plasma processing method
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
WO2016131061A1 (en) 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
CN106206447A (zh) 2015-05-05 2016-12-07 中芯国际集成电路制造(上海)有限公司 3d nand器件的形成方法
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
CN115410804A (zh) 2015-11-30 2022-11-29 鹰港科技有限公司 高压变压器
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
WO2017100136A1 (en) 2015-12-07 2017-06-15 Applied Materials, Inc. Method and apparatus for clamping and declamping substrates using electrostatic chucks
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
WO2018048925A1 (en) 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP6697372B2 (ja) * 2016-11-21 2020-05-20 キオクシア株式会社 ドライエッチング方法及び半導体装置の製造方法
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3563646A4 (en) 2016-12-30 2020-01-22 Eagle Harbor Technologies, Inc. INDUCTIVE HIGH VOLTAGE ADDER
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
WO2018148182A1 (en) 2017-02-07 2018-08-16 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
JP2020515063A (ja) 2017-03-17 2020-05-21 東京エレクトロン株式会社 エッチングメトリックを向上させるための表面改質制御
CN110771041B (zh) 2017-03-31 2023-10-03 鹰港科技有限公司 高压电阻性输出级电路
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
TWI775862B (zh) 2017-05-30 2022-09-01 美商泰坦先進能源解決公司 電池壽命評估和容量恢復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
US11114321B2 (en) 2017-08-17 2021-09-07 Tokyo Electron Limited Apparatus and method for real-time sensing of properties in industrial manufacturing equipment
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
EP3665775A4 (en) 2017-08-25 2020-07-22 Eagle Harbor Technologies, Inc. ARBITRARY WAVEFORM GENERATION USING NANO-SECOND PULSES
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
KR102361417B1 (ko) 2017-09-26 2022-02-09 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 점화를 위한 시스템 및 방법
WO2019087977A1 (ja) 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
CN111226309B (zh) 2017-11-06 2023-09-19 日本碍子株式会社 静电卡盘组件、静电卡盘及聚焦环
KR20200074961A (ko) 2017-11-16 2020-06-25 도쿄엘렉트론가부시키가이샤 동기화된 신호 변조를 통한 플라즈마 공정 시스템
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
KR102626357B1 (ko) * 2017-12-07 2024-01-16 램 리써치 코포레이션 반도체 rf 플라즈마 프로세싱을 위한 펄싱 내 rf 펄싱
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
EP3762711A4 (en) 2018-03-08 2021-11-17 Eagle Harbor Technologies, Inc. PRECISION EDDY CURRENT SENSOR FOR NON-DESTRUCTIVE STRUCTURAL EVALUATION
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
KR20200139842A (ko) 2018-05-03 2020-12-14 어플라이드 머티어리얼스, 인코포레이티드 페데스탈들을 위한 rf 접지 구성
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US11646210B2 (en) 2018-06-18 2023-05-09 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR102499709B1 (ko) 2018-08-10 2023-02-16 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR102678158B1 (ko) 2018-09-04 2024-06-27 삼성전자주식회사 3차원 반도체 메모리 소자 및 그 제조 방법
WO2020051064A1 (en) 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US10797058B2 (en) * 2018-09-28 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
US10447174B1 (en) 2018-11-14 2019-10-15 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
KR20220027141A (ko) 2019-07-02 2022-03-07 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 rf 절연
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
KR20220070269A (ko) 2019-09-25 2022-05-30 이글 하버 테크놀로지스, 인코포레이티드 에너지 회수에 의한 비선형 전송 선로 고 전압 펄스 샤프닝
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20230150396A (ko) 2019-12-24 2023-10-30 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
WO2021184287A1 (en) 2020-03-19 2021-09-23 Yangtze Memory Technologies Co., Ltd. Method for forming contact structures in three-dimensional memory devices

Also Published As

Publication number Publication date
JP2024506231A (ja) 2024-02-13
TW202307909A (zh) 2023-02-16
US11495470B1 (en) 2022-11-08
WO2022220992A1 (en) 2022-10-20
US20220336222A1 (en) 2022-10-20
CN116261768A (zh) 2023-06-13

Similar Documents

Publication Publication Date Title
US11776789B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
CN107039263B (zh) 等离子体蚀刻的方法
US11476090B1 (en) Voltage pulse time-domain multiplexing
TW202316478A (zh) 用於電漿處理應用的脈衝電壓源
TW202306442A (zh) 用於在電漿處理腔室中減少特徵充電的方法及設備
TW202249540A (zh) 脈衝dc電漿腔室中的電漿均勻性控制
TW202312218A (zh) 具有離子能量控制的電漿激發
KR20230026484A (ko) 펄스식 플라즈마를 사용하여 에칭 선택도를 향상시키는 방법
CN117425945A (zh) 等离子体腔室和腔室部件清洁方法
TWI846014B (zh) 電壓脈衝的時域多工
TW202312640A (zh) 用於對電漿中的離子能量分佈進行數位控制的方法和裝置
CN116631861A (zh) 等离子体处理方法以及等离子体处理系统
JP2024526013A (ja) プラズマ処理チャンバ内でフィーチャ帯電を低減させるための方法及び装置
CN118202439A (zh) 等离子体反应器中电极的离子能量控制