JP2024506231A - パルスプラズマを使用してエッチング選択性を向上させる方法 - Google Patents

パルスプラズマを使用してエッチング選択性を向上させる方法 Download PDF

Info

Publication number
JP2024506231A
JP2024506231A JP2023534966A JP2023534966A JP2024506231A JP 2024506231 A JP2024506231 A JP 2024506231A JP 2023534966 A JP2023534966 A JP 2023534966A JP 2023534966 A JP2023534966 A JP 2023534966A JP 2024506231 A JP2024506231 A JP 2024506231A
Authority
JP
Japan
Prior art keywords
plasma
substrate
waveform
etching
dielectric material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023534966A
Other languages
English (en)
Inventor
ハイロン チョウ,
ショーン カン,
健二 竹下
ラジンダー ディンサ,
テファン リー,
イルヨ クワック,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024506231A publication Critical patent/JP2024506231A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

本開示の実施形態は、プラズマ処理チャンバの処理領域内に配置された基板支持アセンブリの基板支持面上に配置された基板上に形成された第1の誘電材料をエッチングすることを含む、基板を処理する方法を含む。エッチングプロセスが、処理領域に、第1のフッ化炭素含有ガス及び第1のプロセスガスを含むプロセスガスを伝達すること、高周波生成器を利用して、第1の電極へと高周波信号を伝達して、処理領域内でプラズマを生成すること、及び、第1のパルス電圧波形生成器を利用して、基板支持アセンブリ内に配置されたバイアス電極で第1のパルス電圧波形を確立することを含みうる。第1のパルス電圧波形が、一連の繰り返しパルス波形サイクルを含み、当該パルス波形サイクルはそれぞれ、第1の期間の間に発生する第1の部分、第2の期間の間に発生する第2の部分、及びピークツーピーク電圧を含む。パルス電圧波形が、第2の期間の少なくとも一部分の間実質的に一定である。【選択図】図7

Description

本明細書に記載される実施形態は、概して、半導体デバイス製造ハードウェア及びプロセスに関し、より具体的には、プラズマ処理チャンバ内で基板を処理する装置及び方法に関する。
高アスペクト比のフィーチャ(feature)を信頼性高く製造することは、半導体デバイスの次世代の超大規模集積(VLSI:very large scale integration)及び極超大規模集積(ULSI:ultra large scale integration)のための、重要な技術的課題の1つである。高アスペクト比のフィーチャを形成する1つの方法では、反応性イオンエッチング(RIE:reactive ion etch)プラズマプロセスといった、プラズマ支援エッチングプロセスを使用して、基板の誘電体層などの材料層に高アスペクト比の開口部を形成する。典型的なRIEプラズマプロセスでは、プラズマがRIE処理チャンバ内で形成され、プラズマからのイオンが基板表面に向けて加速させられ、基板表面上に形成されたマスク層の下に配置された材料層において、開口部を形成する。
典型的な反応性イオンエッチング(RIE)プラズマ処理チャンバは、高周波(RF:radio frequency)バイアス生成器を含み、このRFバイアス生成器はRF電圧を、「パワー電極(power electrode)」(例えばバイアス電極)へと、例えば、「静電チャック」(ESC:electrostatic chuck)アセンブリ(より一般的には「カソード(cathode)」と呼ばれる)に隣接して配置された金属プレートへと供給する。パワー電極は、ESCアセンブリの一部である誘電材料(例えば、セラミック材料)の厚い層を介して、処理システムのプラズマに容量結合することができる。容量結合ガス放電においては、プラズマが、RF整合回路(「RF整合器(RF match)」)を介してRF電極に結合される高周波(RF)生成器を使用して生成され、上記RF整合回路は、反射される電力を最小に抑えて電力供給効率を最大化するために、見かけの負荷を50Ωに調整する。パワー電極にRF電圧を印加することで、ESCアセンブリの基板支持面上に配置された基板の処理表面の上に、電子反発(electron-repelling)プラズマシース(「カソードシース(cathode sheath)」とも呼ばれる)を形成する。プラズマシースの非線形的でダイオードのような性質により、印加されたRF電場の整流が行われ、これにより、直流(DC)の電圧降下、即ち「自己バイアス(self-bias)」が基板とプラズマの間で発生し、基板電位はプラズマ電位に対して負となる。この電圧降下によって、基板に向かって加速するプラズマイオンの平均エネルギーが決定され、従ってエッチング異方性が決定される。具体的には、イオンの指向性、フィーチャのプロファイル、並びに、マスク及びストップ層に対するエッチングの選択性が、イオンエネルギー分布関数(IEDF:Ion Energy Distribution Function)によって制御される。RFバイアスを含むプラズマでは、IEDFは典型的に、2つの別個のピーク、即ち、低エネルギーのピーク及び高エネルギーのピークと、2つのピークの間にのびるエネルギーの範囲を有するイオン群と、を有する。IEDFの2つのピーク間にイオン群が存在することは、基板とプラズマとの間の電圧降下がRFバイアス周波数で振動するという事実を反映している。より低い周波数のRFバイアス生成器が、より高い自己バイアス電圧を得るために使用されるときには、これら2つのピーク間のエネルギーの差はかなり大きくなりうる。即ち、低エネルギーピークにあるイオンに因りエッチングプロファイルはより等方的であるため、エッチングされたフィーチャの壁が曲がることに繋がる可能性がある。高エネルギーイオンと比較して、低エネルギーイオンは、(例えば、帯電効果に起因して、)エッチングされたフィーチャの底部の隅に到達することにおいては効果が低いものの、マスク材料のスパッタリングを引き起こすことが少ない。このことは、ハードマスク開口部又は誘電体モールドエッチング(mold etch)といった、高アスペクト比のエッチング用途においては重要である。フィーチャの大きさが縮小し続けてアスペクト比が大きくなるにつれ、フィーチャプロファイルの制御要件がより厳しくなる一方で、処理中に基板表面においてIEDFが十分に制御されることがより望まれるようになっている。
他の従来のプラズマプロセス、及び処理チャンバ設計では、プラズマ処理チャンバ内の1つ以上の電極に複数の異なるRF周波数を伝達することが、プラズマ密度、イオンエネルギー、及び/又はプラズマ化学物質といった様々なプラズマ特性を制御するために利用可能であることも分かっている。しかしながら、異なるRF周波数を提供するようそれぞれ構成された2つ以上のRF源から、複数の従来の正弦波波形を伝達することでは、シース特性を適切に又は望ましい形で制御することはできず、望まれないアーク放電の問題に繋がりうることが分かっている。さらに、処理中のRF源間の直接的な結合又は容量結合に起因して、各RF源が、接続された他のRF源の出力に提供されるRF電流(例えば、しばしば「クロストーク(cross-talk)」と呼ばれる)を誘発する虞があり、その結果、電力が、意図される負荷(プラズマ)から逸れ、さらにRF源のそれぞれに損傷を与える虞がある。
近年では、3次元(3D:three-dimensiona)積層メモリ構造を含む高密度ストレージデバイスが開発されている。例えば、3D NAND積層メモリデバイスは、誘電材料と導電層(例えば、タングステン含有層)とが交互になった垂直スタックのアレイから形成されうる。メモリ開口部が形成され、交互スタック内の誘電材料含有層を通って垂直方向に延びて導電層の一部を露出させ、従って、交互スタック構造内での深さは様々である。メモリ開口部は、最終的に導電性材料で充填され、交互スタックの各層における各導電層の露出部分との接続を形成する。交互スタック内の導電層は、3D NAND積層メモリデバイスのワード線として機能することができ、メモリスタック構造のアレイの上にあるビット線が、半導体チャネルのドレイン側末端に接続されうる。しかしながら、交互スタック内の異なる深さまで延びるメモリ開口部を、1回のエッチングステップで形成するときには、交互スタックの最も浅い深さにある層の部分を、最も深い深さに形成された層に対してオーバーエッチング(over etching)しないことが望ましい。従って、全てのメモリ開口部を交互スタック内の全ての層まで形成できるように、交互スタックの誘電体部分を選択的にエッチングし、かつ導電層上で停止することが可能であり、その際に、エッチングプロセス中に様々な導電性フィーチャの露出部分をオーバーエッチングするがないエッチングプロセスが必要とされている。
従って、当技術分野では、新規の堅牢で信頼性が高いプラズマ処理方法及びバイアス方法であって、ほぼ一定のシース電圧を維持することを可能とし、従って、基板の表面において所望の再現可能なIEDFを形成し、IEDFの形状、及び場合によっては基板の表面に形成されるフィーチャのエッチングプロファイルに対する正確な制御を可能とする処理方法及びバイアス方法が必要とされている。先に述べた問題を解決するシステム、装置、及び方法も必要とされている。
本開示の実施形態は、概して、プラズマ処理チャンバ内の基板を処理する方法であって、プラズマ処理チャンバの処理領域内に配置された基板支持アセンブリの基板支持面上に配置された基板上に形成された第1の誘電材料をエッチングすることを含む方法を含む。第1の誘電材料をエッチングするプロセスが、
プラズマ処理チャンバの処理領域に、第1のフッ化炭素含有ガス及び第1のプロセスガスを含むプロセスガスを伝達することと、高周波生成器を利用して、プラズマ処理チャンバ内に配置された第1の電極へと高周波信号を伝達して、処理領域内でプラズマを生成することと、第1のパルス電圧波形生成器を利用して、基板支持アセンブリ内に配置されたバイアス電極で第1のパルス電圧波形を確立することであって、第1のパルス電圧波形が一連の繰り返しパルス波形サイクルを含む、第1のパルス電圧波形を確立することと、を含む。各パルス波形サイクルが、第1の期間の間に発生する第1の部分、及び、前記第1の期間よりも大きい第2の期間の間に発生する第2の部分と、を含む。パルス電圧波形が、第2の期間の少なくとも一部分の間実質的に一定である。
本開示の実施形態は、プラズマ処理チャンバ内で基板を処理する方法であって、プラズマ処理チャンバの処理領域内に配置された基板支持アセンブリの基板支持面上に配置された基板上に形成された第1の誘電材料をエッチングすることを含む方法をさらに提供しうる。第1の誘電材料をエッチングするプロセスは、プラズマ処理チャンバの処理領域に、第1のフッ化炭素含有ガス及び第1のプロセスガスを含むプロセスガスを伝達すること含む。第1のフッ化炭素含有ガスが、C又はCの少なくとも1つを含み、第1のプロセスガスが、N、Kr、及びOの少なくとも1つを含む。第1の誘電材料をエッチングするプロセスはまた、高周波生成器を利用して、プラズマ処理チャンバ内に配置された第1の電極へと高周波信号を伝達して、処理領域内でプラズマを生成することと、第1のパルス電圧波形発生器を利用して、基板支持アセンブリ内に配置されたバイアス電極で第1のパルス電圧波形を確立することであって、第1のパルス電圧波形が一連の繰り返しパルス波形サイクルを含む、第1のパルス電圧波形を確立することと、を含む。各パルス波形サイクルが、第1の期間の間に発生する第1の部分、及び、第1の期間よりも大きい第2の期間の間に発生する第2の部分を含む。第1の期間は、約200nsと約400nsの間とすることができ、第1の期間は、一連の繰り返しサイクルのうちの1サイクルの約20%未満でありうる。各パルス波形サイクル内のパルス電圧波形は、約5kVと約20kVの間のピークツーピーク電圧を有しうる。パルス電圧波形が、第2の期間の少なくとも一部分の間、実質的に一定である。
本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約した本開示のより詳細な説明が、実施形態を参照することによって得られる。一部の実施形態は、添付図面に示されている。しかしながら、添付の図面は例示的な実施形態を示しているにすぎず、従って、本開示の範囲を限定すると見なすべきではなく、他の等しく有効な実施形態も許容されうることに留意されたい。
一実施形態に係る、本明細書に記載の方法を実施するよう構成された処理チャンバの概略的な断面図である。 一実施形態に係る、図1に示す処理チャンバを用いて使用することが可能なバイアス方式の簡略化した概略図である。 一実施形態に係る、図1に示す処理チャンバ内で実行することが可能な負パルスバイアス方式の機能的に等価な回路図である。 一実施形態に係る、図1に示す処理チャンバ内で実行することが可能な正パルスバイアス方式の機能的に等価な回路図である。 一実施形態に係る、バイアス電極で確立される負パルス電圧(PV)波形の一例を示す。 一実施形態に係る、バイアス電極で確立される整形パルス電圧(PV)波形の一例を示す。 一実施形態に係る、バイアス電極で確立される正パルス電圧(PV)波形の一例を示す。 一実施形態に係る、処理中に基板において確立される負パルス電圧(PV)波形と正パルス電圧(PV)波形との比較を示す。 一実施形態に係る、エッチングされたトレンチが基板の様々な導電層に到達している基板の図である。 一実施形態に係る、基板に対するプラズマエッチング処理の図を示す。 一実施形態に係る、基板に対するプラズマエッチング処理の図を示す。 一実施形態に係る、基板に対するプラズマエッチング処理の図を示す。 一実施形態に係る、基板に対するプラズマエッチング処理の図を示す。 一実施形態に係る、プラズマエッチングプロセスの間の例示的なシース厚さを示す。 一実施形態に係る、プラズマエッチングプロセスの例示的な工程を示す。
理解が容易になるよう、可能な場合には、各図に共通する同一の要素を示すために同一の参照番号を使用した。一実施形態の構成要素及び特徴は、さらなる記述がなくとも、他の実施形態に有益に組み込まれうることが想定されている。
本明細書で提供される本開示の実施形態は、処理チャンバ内で基板をプラズマ処理するための装置及び方法を含む。より具体的には、本開示の実施形態では、パルスプラズマイオンエッチングプロセスを使用して、1つ以上の無機物質に対する誘電体層のエッチングの選択性を向上させるためのプロセスについて説明する。本開示の実施形態は、高周波(RF:radio frequency)で生成されたRF波形を、RF生成器から処理チャンバ内の1つ以上の電極へと提供するよう構成された装置を含み、パルス電圧(PV:pulsed-voltage)波形が、1つ以上のパルス電圧(PV)生成器から、処理チャンバ内の1つ以上の電極へと伝達される。
概して、生成されたRF波形は、処理チャンバ内でプラズマを確立して維持するよう構成され、伝達されたPV波形は、プラズマプロセスの一部の間に基板の表面でのシース電圧を制御し、従って処理チャンバ内で行われる1つ以上のプラズマ処理ステップの間に、基板の表面において所望のイオンエネルギー分布関数(IEDF)を形成するよう構成されている。本明細書に開示されるプラズマプロセスは、処理中のプラズマと基板表面との相互作用を制御するために使用することができる。幾つかの構成において、本明細書に開示されるプラズマプロセスが、処理中に基板表面において形成されるフィーチャのプロファイルを制御するために使用される。幾つかの実施形態において、パルス電圧波形が、プラズマ処理チャンバ内に配置された基板支持アセンブリ内に配置されたバイアス電極に電気的に結合されたPV生成器によって確立される。
一般に、半導体デバイスの作製工程は、フラッシュメモリの作製といった、ロジック部及びメモリの製造プロセスを含みうる。デバイスが小型化されるにつれて、効率よく複数のメモリセルを作製するための構造が、メモリデバイス内のメモリセルの密度を最大にするために使用される。3次元(3D)NAND技術は、2次元(2D)NAND技術、及びメモリセルを垂直方向に積層することに伴う課題に対処する。
3D NANDデバイスの製造に含まれるプラズマエッチングプロセスは、ますます困難になってきている。特に、3D NAND技術における階段状コンタクトのエッチングは、NANDスタックの底部にあるセルへのアクセスを提供し、これにより、外部の周辺回路からセル制御ゲートへのアクセスを可能とするワード線を形成するための、導電性材料(例えば、タングステン)の堆積を可能とする。階段状コンタクトのエッチングでは、特にアスペクト比が20:1~40:1の高アスペクト比のフィーチャについて、エッチングに関する問題が生じる。高アスペクト比の導電層を通してエッチングすることは、層内の開口部であって、ストリエーション(striation)が無く、歪みが無く、ラインの曲がりが無く、ファセット(faceting)が無く、フィーチャが詰まっていない開口部を形成できなければならないという、エッチングプロセスに対する要求を高める。これらの要求の他に、階段状コンタクトのエッチング用途で優先されることは、20:1から40:1以上までのアスペクト比での複数レベルでの同時エッチングを高い選択性と組み合わせて、下にある導電性コンタクト材料の損失がごくわずかであることを保証することである。
これに対応して、パルス電圧技術によって、プラズマ処理中のプラズマイオン密度及びイオンエネルギーを正確に制御する方法が可能となりうる。望ましいドライエッチング化学物質を用いながら、プラズマイオン密度及びイオンエネルギーを正確に制御することを使用して、エッチングの選択性を向上させ、かつエッチングプロセスの結果を改善することができると考えられる。さらに、本明細書に記載の1つ以上の方法を使用して、エッチングプロセス中に、露出した導電性材料表面上にフッ化炭素系ポリマー層を制御して形成することによって、エッチング選択性、及びエッチングプロセス結果の改善をさらに達成することができる。
図1は、本明細書に記載の方法を実行するよう構成された処理チャンバの概略的な断面図である。幾つかの半導体プラズマプロセスの間に、基板支持アセンブリ136(図1)の上に置かれた基板の上に形成される電子反発シースにおける電圧降下によって、イオンが基板に向かって意図的に加速させられる。本明細書で提供される開示の範囲に関して限定する意図はないが、基板支持アセンブリ136は、本明細書ではしばしば「カソードアセンブリ(cathode assembly)」又は「カソード(cathode)」と呼ばれる。幾つかの実施形態において、基板支持アセンブリ136は、基板支持体105及び支持ベース107を含む。基板支持体105は、基板収容面105a上で基板をチャックする(例えば、保持する)よう構成された静電チャック(ESC:electrostatic chuck)アセンブリを含みうる。
本明細書で提供される開示の幾つかの実施形態において、処理チャンバが、容量結合ガス放電を提供するよう構成されており、これにより、プラズマが、RF生成アセンブリを使用することによって生成され、RF生成アセンブリは、RF整合回路(RF整合器」)を介してRF電極に結合されるRF生成器を含んでいる。RF整合回路は、反射される電力を最小に抑え電力供給効率を最大にするために、見かけの負荷を50Ωに調整するよう構成されている。幾つかの実施形態において、RF電極は、プラズマに面する基板表面に対して平行に配置された金属プレートを含む。
加えて、本明細書に開示されるプラズマ処理方法の間、イオン加速カソードシースは、基板支持アセンブリ136内に配置された1つ以上のバイアス電極104(図1)においてパルス電圧波形を確立するよう構成されたパルス電圧(PV:pulsed-voltage)波形生成器を使用して、プラズマ処理中に概ね形成される。幾つかの実施形態において、1つ以上のバイアス電極104が、基板支持アセンブリ136(例えば、静電チャック(ESC)アセンブリ)内に形成された誘電材料の薄層によって基板から隔てられたチャック電極と、任意選択的に、基板103が基板支持アセンブリ136の基板支持面105A上に配置されたときには、基板103を囲むエッジリング114の内部又は当該エッジリング114の下に配置されるエッジ制御電極と、を含む。以下で更に述べるように、このPV波形は、当該PV波形のパルス期間のかなりの部分について、ほぼ一定のシース電圧(例えば、プラズマ電位と基板電位との間の差)を形成させるよう構成することができ、このことは、本明細書では「イオン流フェーズ(ion-current phase)」とも称される上記パルス期間のこの部分の間に基板に達するイオンの、イオンエネルギー分布関数(IEDF)を含む単一の(狭い)ピークに対応している。
プラズマ処理チャンバのハードウェア例
図1は、処理チャンバ100の概略的な断面図であり、処理チャンバ100内では、複合負荷130(図3A~3B)がプラズマ処理中に形成される。図3A~図3Bはそれぞれ、処理チャンバ100内に見られる構成要素を使用して実施することが可能なパルス電圧及びRFバイアス方式の簡略化した電気回路140の例である。処理チャンバ100は、1つ以上の実施形態に従って、本明細書で提案される1つ以上のバイアス方式を実行するよう構成されている。一実施形態において、処理チャンバは、反応性イオンエッチング(RIE)プラズマチャンバといった、プラズマ処理チャンバである。幾つかの他の実施形態において、処理チャンバは、プラズマ強化堆積チャンバ、例えば、プラズマ化学気相堆積(PECVD:plasma-enhanced chemical vapor deposition)チャンバ、プラズマ物理的気相堆積(PEPVD:plasma enhanced physical vapor deposition)チャンバ、又はプラズマ原子層堆積(PEALD:plasma-enhanced atomic layer deposition)チャンバである。幾つかの他の実施形態において、処理チャンバは、プラズマ処理チャンバ、又はプラズマベースのイオン注入チャンバ、例えばプラズマドーピング(PLAD:plasma doping)チャンバである。幾つかの実施形態において、プラズマ源が、容量結合プラズマ(CCP:capacitively coupled plasma)源であり、これは、処理空間内に配置された、基板支持アセンブリ136に面する電極(例えば、チャンバリッド123)を含む。図1に示すように、基板支持アセンブリ136に対向して配置されたチャンバリッド123といった、対向する電極は、接地に電気的に接続されている。しかしながら、他の代替的な実施形態において、対向する電極は、RF生成器に電気的に接続される。さらに別の実施形態において、処理チャンバが、代替的又は追加的に、高周波(RF)電源に電気的に接続された誘導結合プラズマ(ICP:inductively coupled plasma)源を含みうる。
処理チャンバ100はまた、チャンバ本体113を含み、チャンバ本体113は、チャンバリッド123と、1つ以上の側壁122と、チャンバベース124と、を含み、これらが処理空間129を画定する。1つ以上の側壁122及びチャンバベース124は、一般に、処理チャンバ100の構成要素のための構造的支持を形成するよう寸法決定され成形された材料を含み、プラズマ101が処理中に処理チャンバ100の処理空間129内で維持される真空環境内で生成される間に上記構成要素に加えられる圧力及び付加エネルギーに耐えるよう構成されている。一例において、1つ以上の側壁122及びチャンバベース124が、アルミニウム、アルミニウム合金、又はステンレス鋼といった金属から形成される。チャンバリッド123を貫通して配置されたガス注入口128が、それと流体的に連通したプロセスガス源119から処理空間129へと、1つ以上のプロセスガスを供給するために使用される。1つ以上の側壁122のうちの1つの側壁122における開口部(図示せず)を介して、基板103が処理空間129内へとロードされ、及び処理空間129から取り出され、上記開口部は、基板103のプラズマ処理中には、スリットバルブ(図示せず)でシールされる。ここで、基板103は、リフトピンシステム(図示せず)を使用して、ESC基板支持体105の基板収容面105aへと移送され、及び当該基板収容面105aから移送される。
幾つかの実施形態において、RF生成アセンブリ160が、基板支持アセンブリ136内の、ESC基板支持体105の近傍に配置された支持ベース107にRF電力を供給するよう構成される。支持ベース107に伝達されるRF電力は、処理空間129内に配されたプロセスガスを使用して形成された処理プラズマ101を点火して維持するよう構成されている。幾つかの実施形態において、支持ベース107は、RF生成アセンブリ160内に配置されたRF整合回路161及び第1のフィルタアセンブリ162を介して、RF生成器118に電気的に接続されたRF電極である。幾つかの実施形態において、プラズマ生成アセンブリ160及びRF生成器118は、処理空間129内に配されたプロセスガスと、RF生成器118によって支持ベース107に提供されたRF電力によって生成された電場(field)と、を使用して、処理プラズマ101を点火し維持するために使用される。処理空間129は、真空出口120を介して、1つ以上の専用真空ポンプに流体連結しており、1つ以上の専用真空ポンプは、処理空間129を準大気圧に維持し、そこからプロセスガス及び他のガスを排出する。処理空間129内に配置された基板支持アセンブリ136は、支持シャフト138上に配置されており、支持シャフト138は接地されており、チャンバベース124を貫通して延びている。しかしながら、幾つかの実施形態において、RF生成アセンブリ160は、支持ベース107に対して基板支持体105内に配置されたバイアス電極104にRF電力を供給するよう構成されている。
基板支持アセンブリ136は、先に簡単に記載したように、一般に、基板支持体105(例えば、ESC基板支持体)と、支持ベース107と、を含む。幾つかの実施形態において、基板支持アセンブリ136は、以下でさらに述べるように、絶縁プレート111及び接地プレート112を追加的に含みうる。基板支持体105は、支持ベース107に熱的に結合されており、支持ベース107上に配置されている。幾つかの実施形態において、支持ベース107は、基板の処理中に、基板支持体105と、基板支持体105上に配置された基板103と、の温度を調節するよう構成されている。幾つかの実施形態において、支持ベース107の内部には1つ以上の冷却チャネル(図示せず)が配置されており、1つ以上の冷却チャネルは、電気抵抗が比較的高い冷媒(refrigerant)源又は冷却水源といった冷却源と流体連結しており、当該冷却源と流体的に連通している。幾つかの実施形態において、基板支持体105が、ヒータを含み、例えば、基板支持体の誘電材料内に埋め込まれた抵抗性加熱素子を含む。ここで、支持ベース107は、耐食性金属、例えばアルミニウム、アルミニウム合金、又はステンレス鋼といった、耐食性熱伝導性材料で形成され、接着剤を用いて又は機械的手段によって基板支持体に結合されている。
支持ベース107は、絶縁プレート111によってチャンバベース124から電気的に絶縁されており、接地プレート112は、絶縁プレート111とチャンバベース124との間に介在している。幾つかの実施形態において、処理チャンバ100は、腐食性のプロセスガス若しくはプラズマ、洗浄ガス若しくはプラズマ、又はこれらの副産物との接触からの、ESC基板支持体105及び/又は支持ベース107の腐食を防止するために、基板支持アセンブリ136の一部を少なくとも部分的に周回する石英管110、又はカラーをさらに含む。典型的に、石英管110、絶縁プレート111、及び接地プレート112は、ライナー108によって取り囲まれている。ここで、ESC基板支持体105の基板収容面とほぼ同一平面上にあるプラズマスクリーン109が、ライナー108と1つ以上の側壁122との間の空間内でプラズマが形成されるのを防止する。
基板支持体105は典型的に、バルク焼結セラミック材料など、耐腐食性の金属酸化物又は金属窒化物材料などといった、誘電材料で形成され、例えば、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化チタン(TiO)、窒化チタン(TiN)、酸化イットリウム(Y)、これらの混合物、又はこれらの組み合わせから形成される。本明細書の実施形態において、基板支持体105は、その誘電材料に埋め込まれたバイアス電極104をさらに含む。一構成において、バイアス電極104は、本明細書に記載の1つ以上のパルス電圧バイアス方式を使用して、処理プラズマ101に対して、本明細書ではESC基板支持体とも呼ばれる基板支持体105の基板収容面105aに基板103を固定(チャック)するために使用されるチャッキング極である。典型的に、バイアス電極104は、1つ以上の金属メッシュ、金属箔、金属プレート、又はこれらの組み合わせといった、1つ以上の導電性部品で形成される。幾つかの実施形態において、バイアス電極104が、バイアス補償モジュール116に電気的に結合されており、このバイアス補償モジュール116は、同軸伝送線106(例えば、同軸ケーブル)といった電気導体を使用して、約-5000Vと約5000Vの間の静的DC電圧といったチャッキング電圧を、バイアス電極104に提供する。以下でさらに述べるように、高電圧モジュール116は、バイアス補償回路素子116A(図3A~図3B)、DC電源155、及び阻止キャパシタ153を含む。本明細書では阻止キャパシタ153とも呼ばれるバイアス補償モジュール阻止キャパシタは、パルス電圧波形生成器(PVWG:pulsed-voltage waveform generator)150の出力とバイアス電極104の間に配置されている。
バイアス電極104は、基板支持体105の誘電材料の層によって、基板支持体105の基板収容面105Aから、ひいては基板103から間隔を置かれている。クーロン力ESC又はジョンセン・ラーベック(Johnsen-Rahbek)力ESCといった、処理中に基板103を保持するために基板支持体105内で利用する静電チャック方法の種類に従って、プラズマ101へのバイアス電極104の電気的結合をモデル化するために使用される有効回路素子が異なってくる。一般に、平行板のような構造が、バイアス電極104と、典型的には約5nFと約50nFの間の実効容量CEを有しうる誘電材料の層と、によって形成される。典型的に、誘電材料(例えば、窒化アルミニウム(AlN)、酸化アルミニウム(Al)など)の層は、厚さが約0.1mm~約1mmの間であり、例えば約0.1mmと約0.5mmの間、例えば約0.3mmである。ここで、バイアス電極104は、支持シャフト138内に配置された伝送線106といった外部導体を使用して、PVWG150の出力に電気的に接続されている。幾つかの実施形態において、誘電材料及び層の厚さは、誘電材料の層のチャック容量CESCが約5nFと約50nFの間、例えば約7nFと約10nFの間となるように、選択されうる。
ジョンセン・ラーベック力ESCのより複雑なモデルでは、回路モデルは、図示のように、ESC誘電体チャック容量CESCと、ESC誘電体抵抗RCERと、ギャップ容量Cabtと、基板容量Csubと、基板抵抗Rsubと、の組み合わせを含む。ギャップ容量Cabtは、一般に、基板支持体105上に配置された基板の上及び下の、ガスを含む空間を考慮する。ギャップ容量Cabtは、チャック容量CESCと同じ範囲内の容量を持つことが予期される。
幾つかの用途において、基板103は典型的に、半導体材料及び/又は誘電材料の薄い層から作製されるため、基板103は、電気的には、バイアス電極104と基板収容面105aとの間に配置されたESC誘電体層の一部であると見做すことができる。従って、幾つかの用途では、チャック容量CESCが、ESCと基板の直列容量を合わせたもの(即ち、基板容量Csub)で近似される。しかしながら、クーロン力チャックの場合は、基板容量Csubが典型的に非常に大きい(10nFより大きい((>10nF)))又は基板が導電性(無限容量)でありうるため、直列容量は主に容量CESCによって決定される。この場合は、実効容量Cは実質的にチャック容量CESCと等しい。「ジョンセン・ラーベック力ESC」の場合、誘電材料は、例えば、誘電率(ε)が約9のドープされた窒化アルミニウム(AlN)でありうるため、ESC誘電体層が完全な絶縁体ではなく、ある程度の導電性を有するという意味で「リーキー(leaky)」である。しかしながら、ジョンセン・ラーベック力ESCの実効容量は、クーロン力チャックと近いべきである。一例において、ジョンセン・ラーベック力ESC内の誘電体層の体積抵抗率は、約1012Ω-cm(Ω-cm)未満であり、又は約1010Ω-cm未満であり、又は、10Ω-cmと1012Ω-cmの間の範囲内ですらある。
基板支持アセンブリ136は、エッジリング114の下に配置されておりバイアス電極104を取り囲んでいるエッジ制御電極115をさらに含んでおり、これにより、エッジ制御電極115は、バイアスが加えられたときには、基板103に対するその位置に起因して、基板103のエッジにおける又は当該エッジより外側の生成されたプラズマ101の一部に影響を与え又は当該一部を変更することが可能である。エッジ制御電極115には、バイアス電極104にバイアスを加えるために使用するPVWG150とは異なるPVWG150を使用して、バイアスを加えることができる。一構成において、第1のPV源アセンブリ196の第1のPV波形生成器150が、バイアス電極104にバイアスを加えるよう構成され、第2のPV源アセンブリ197の第2のPV波形生成器150が、エッジ制御電極115にバイアスを加えるよう構成されている。一実施形態において、エッジ制御電極115は、図1に示すように、基板支持体105の領域内に配置されている。一般に、円形の基板を処理するよう構成された処理チャンバ100の場合、エッジ制御電極115は、図1に示すように、環状の形状をしており、導電性材料から作製され、バイアス電極104の少なくとも一部を囲むよう構成されている。幾つかの実施形態において、図1に示すように、エッジ制御電極115が、基板支持体105の表面105Aから(即ち、Z方向に)、バイアス電極104と似た距離で配置された導電性のメッシュ、フォイル(箔)又はプレートを含む。代替的に、幾つかの他の実施形態において、エッジ制御電極115は、バイアス電極104及び/又は基板支持体105の少なくとも一部分を取り囲む石英管110(図示せず)の領域上又は当該領域内に配置された導電性のメッシュ、フォイル又はプレートを含む。幾つかの他の実施形態において、エッジ制御電極115は、基板支持体105に隣接して配置されたエッジリング114内に配置され又は当該エッジリング114に結合される。本構成では、エッジリング114は、半導体材料又は誘電材料(例えば、AlN、Al等)から形成される。
図1を参照すると、支持ベース107は、誘電材料の一部分によって、バイアス電極104から間隔が空けられている。幾つかの構成における誘電材料の上記一部分は、基板支持体105を形成するために使用される誘電材料であり、基板支持体105の裏側からバイアス電極104まで延在している。基板支持体105の誘電材料の上記一部分は、図3A及び図3Bに概略的に示すように、ESC容量CEと直列に接続された支持ベース容量CCLを有する。幾つかの実施形態において、支持ベース107とバイアス電極104との間に配置された誘電材料の上記一部分の厚さが、バイアス電極104と基板103との間に配置された誘電材料の厚さよりも大きく、ここで、誘電材料同士は同じ材料であり及び/又は基板支持体105の一部を形成する。一例において、支持ベース107とバイアス電極104との間に配置された基板支持体105の誘電材料(例えば、Al又はAlN)の上記一部分は厚さが1mmより大きく、例えば、厚さが約1.5mmと約20mmの間である。
一般に、処理チャンバ100の処理空間129内で形成される圧力が低いと、結果的に、そこに配置されたハードウェア部品の表面間、例えば、基板支持体105の誘電材料と、基板支持体105の基板収容面上に配置された基板103と、の間の熱伝導が悪くなり、基板103を加熱又は冷却する際の基板支持体の効果が下がる。従って、幾つかのプロセスにおいて、熱伝導性の不活性伝熱ガス、典型的にはヘリウムが、基板103の非デバイス側表面と、基板支持体105の基板収容面105Aと、の間に配置された空間(図示せず)内に導入され、これらの間の熱伝達を改善する。伝熱ガス源(図示せず)から供給される伝熱ガスが、支持ベース107を貫通して配置されさらに基板支持体105を貫通して配置されたガス連通路(図示せず)を介して、裏面側の空間へと流れる。
処理チャンバ100は、本明細書では処理チャンバコントローラとも称されるコントローラ126をさらに含む。本明細書のコントローラ126は、中央処理ユニット(CPU)133、メモリ134、及び支援回路135を含む。コントローラ126は、本明細書に記載の基板バイアス方法を含む、基板103を処理するために使用されるプロセスシーケンスを制御するために使用される。CPU133は、処理チャンバ、及び処理チャンバと関係するサブプロセッサを制御するための工業用の設定で使用のために構成された汎用コンピュータプロセッサである。一般的には不揮発性メモリである本明細書に記載のメモリ134は、ランダムアクセスメモリ、読み出し専用メモリ、フロッピー若しくはハードディスクドライブ、又は、ローカル若しくはリモートの他の適切な形態のデジタルストレージを含む。支援回路135は、従来CPU133に接続されており、キャッシュ、クロック回路、入力/出力サブシステム、電源等、及びこれらの組み合わせを含む。CPU133内のプロセッサに命令するためのソフトウェア命令(プログラム)及びデータが、コード化されてメモリ134に格納されうる。コントローラ126内のCPU133によって可読なソフトウェアプログラム(又は、コンピュータ命令)が、処理チャンバ100内の構成要素によってどのタスクが実施可能であるかを決定する。好ましくは、コントローラ126内のCPU133によって可読なプログラムはコードを含み、コードは、プロセッサ(CPU133)によって実行されると、本明細書に記載の電極バイアス方式の監視及び実行に関するタスクを実行する。プログラムは命令を含み、命令は、処理チャンバ100内の様々なハードウェア及び電気的構成要素を制御して、本明細書に記載の電極バイアス方式を実行するために使用される様々なプロセスタスク及び様々なプロセスシーケンスを実行するために使用される。
処理中に、第1のPV源アセンブリ196及び第2のPV源アセンブリ197のPV波形生成器150内のPV生成器314が、処理チャンバ100と共に配置された負荷(例えば、複合負荷130)上で、パルス電圧波形を確立する。本明細書で提供される開示に関して限定する意図はなく、考察を簡略化にするために、エッジ制御電極115にバイアスを加えるために使用される第2のPV源アセンブリ197内の構成要素は、図3A~3Bでは概略的に示されていない。PV波形生成器150のそれぞれからのPV波形の伝達の全体的な制御は、コントローラ126から供給される信号を使用して制御される。一実施形態において、図3Aに示すように、PV波形生成器150Aは、自身の内部スイッチS1を所定のレートで繰り返し開閉することによって、所定の長さの規則的に繰り返される期間の間、(即ち、接地に対する)その出力で所定の実質的に一定の正電圧を維持するよう構成されている。代替的に、一実施形態において、図3Bに示すように、PV波形生成器150Bは、自身の内部スイッチS1を所定のレートで繰り返し開閉することによって、所定の長さの規則的に繰り返される期間の間、(即ち、接地に対する)その出力で所定の実質的に一定の負電圧を維持する。図3A~図3Bでは、PV波形生成器150A、150Bは、バイアス電極104において所望のパルス電圧波形を確立する際の役割を理解するために重要な構成要素の最小限の組み合わせに減らされている。各PV波形生成器150は、図3A~図3Bに概略的に示すように、出力350にPV波形を提供するよう構成されたPV生成器314(例えば、DC電源)及び1つ以上の電気的構成要素を含み、当該電気的構成要素は、例えば、高繰返しスイッチ、キャパシタ(図示せず)、インダクタ(図示せず)、フライバックダイオード(図示せず)、パワートランジスタ(図示せず)及び/又は抵抗(図示せず)である。ナノ秒パルス生成器として構成可能な実際のPV波形生成器150は、任意の数の内部構成要素を含むことができ、図3A~図3Bに示すよりも複雑な電気回路に基づきうる。図3A~図3Bの概略図はそれぞれ、動作の基本的な原則と、処理空間内のプラズマとの相互作用と、バイアス電極104における入力パルス電圧波形といったパルス電圧波形を確立する際の役割と、を説明するのに必要な範囲内で、PV波形生成器150の構成要素とその電気回路の機能的に同等の表現のみ提供する。図3A~図3Bに示す概略図から推測できるように、スイッチS1が開位置(オフ)から閉位置(オン)に動いたときには、PV波形生成器150の出力を、実質的に一定の出力電圧を生成するそのPV生成器314に接続する。PV波形生成器150は、定電圧源としてではなく、主に電荷注入器(電流源)として使用され、従って、スイッチが閉(On)位置のままであるときにも時間的に出力電圧が変化しうることから、出力電圧の安定性に厳しい要件を課す必要はない。さらに、幾つかの構成において、PV生成器314は、一方向にしか電流を流さない(例えば、出力はキャパシタを充電できるが、放電することできない)という点で、基本的にソース(sourcing)供給部であるが、シンク(sinking)供給部ではない。加えて、スイッチS1が開放(Off)位置のままであるときには、PV波形生成器150の出力の電圧(V)は、PV生成器314によっては制御されず、代わりに、自身の内部構成要素と他の回路要素とのの相互作用によって決定される。
電流帰還出力段314Aは、一端が接地に接続され、他端がPV波形生成器150の出力の接続点(即ち、生成器結合アセンブリ(図示せず)の1の側)に接続されている。電流帰還出力段314Aは、以下の要素構成要素を含むことができ、即ち、抵抗器、直列に接続された抵抗器及びインダクタ、スイッチS2、及び/又は、接地に向かう正の電流の流れを可能とする、並列キャパシタを含むより複雑な電気要素の組合せを含みうる。
PV伝送線157(図1)の一部を形成する伝送線131は、PV波形生成器150の出力350を第2のフィルタアセンブリ151に電気的に接続する。以下の考察では、主に、PV波形生成器150をバイアス電極104に結合するために使用する第1のPV源アセンブリ196のPV伝送線157について述べるが、PV波形生成器150をエッジ制御電極115に結合する第2のPV源アセンブリ197のPV伝送線158は、同じ又は同様の構成要素を含むことになる。従って、一般的には、PV波形生成器150の出力350が終点となり、ここでは、PVパルス生成器314の出力が、内部の電気導体を介して、出力350及び電流帰還型出力段314Aに接続される。伝送線131は、PV波形生成器150の出力350のところに位置する生成器結合アセンブリ181を、第2のフィルタアセンブリ151に接続する。PV伝送線157、158の様々な部分内の電気導体は、以下を含むことができ、即ち、(a)同軸伝送線(例えば、同軸線106)であって、剛性の同軸伝送線と直列に接続されたフレキシブルな同軸ケーブルを含みうる同軸伝送線、(b)絶縁高電圧耐コロナフックアップワイヤ、(c)裸線、(d)金属棒、(e)電気コネクタ、又は(f)上記(a)~(e)の電気要素の任意の組合せを含む。支持シャフト138内のPV伝送線157の部分といった、PV伝送線157の外部導体部分(例えば、第1の電気導体)、及びバイアス電極104は、接地に対してある程度の結合した浮遊容量Cstray(図3A~図3B)を有することになる。図には示されないが、PV伝送線158の外部導体部分(例えば、第2の電気導体)及びエッジ制御電極115も、接地に対してある程度の結合した浮遊容量Cstrayを有することになる。PV波形生成器150の内部電気導体が、外部電気導体と同じ基本的要素を含みうる。大抵の実用的な用途においては、伝送線131は、線インダクタンス159を含むことになり、この線インダクタンス159は、PV波形生成器150の内部構成要素(即ち、生成器出力結合アセンブリ181の左側(図3A~図3B))によって生成される部分、及び/又は、PV波形生成器150を第2のフィルタアセンブリ151に接続する外部の線/ケーブル(即ち、生成器出力結合アセンブリ181の右側)によって生成される部分を含みうる。
図1に戻って参照すると、処理チャンバ100は、接地されたチャンバリッド123を含む。従来のプラズマ処理チャンバの設計とはおおよそ異なっている本構成では、RF電力が代わりに基板支持体を介して伝達される。従って、RF生成器118を支持ベース107に結合することで、機能的にはカソードアセンブリの一部であるESCの本体全体が、上部電極を接地させることを可能とし、電流帰還領域を最大化することを可能にする。RF電力伝達及びPV波形伝達を利用するプラズマプロセスの場合、プラズマ処理チャンバ内の接地される表面積、ひいては電流帰還面積を最大化することで、PV波形生成器150の出力によって生成されるPV波形サイクルのESC充電/シース崩壊フェーズの間のプラズマ電位ジャンプを最小に抑えられるが、これについては、以下でさらに述べる。このように、本明細書で提供される装置及び方法は、チャンバ壁への電力損失を最小に抑え、プラズマ処理効率を向上させる。本明細書に記載のRF電力及びPVパルス波形の伝達方法は、プラズマ特性及びラジカル生成に影響を与え当該プラズマ特性及びラジカル生成の制御の改善を可能とするため、特定のプロセス利点も提供する。しかしながら、先に述べたように、ESCセラミック層を介する支持ベース107とバイアス電極104との間、及びRF伝送線167とPV伝送線157との間には強い容量結合が存在し、従って、両方のタイプの電力が基板支持アセンブリ136(即ち、カソードアセンブリ)を介して伝達されたときには、各生成器が他方を通る電流を誘導し、結果的に、電力が、意図される(プラズマ)負荷から遠ざけられるとともに、両方の生成器への損傷が生じる可能性がある。
本明細書に開示される他の1つ以上の実施形態と共に使用することが可能な他の代替的なチャンバリッド123の構成において、チャンバリッド123(即ち、対向する電極)は、1つ以上の側壁122から電気的に絶縁されており、かつ、プラズマ生成アセンブリ160を介してRF生成器118に電気的に結合されている。本構成において、チャンバリッド123は、処理空間129内の処理プラズマ101を点火して維持するためにRF生成器118によって駆動されうる。一例において、RF生成器118は、約300kHzより大きなRF周波数、例えば約300kHzと約60MHzの間の周波数のRF信号、又は、約2MHzから約40MHzまでの範囲内の周波数のRF信号さえも、チャンバリッド123に提供するよう構成されている。
プラズマ処理バイアス方式及びプロセス
図2は、図1に示す処理チャンバを用いて使用することが可能なバイアス方式の簡略化した概略図である。図2に示すように、RF生成器118及びPV波形生成器150は、それぞれRF波形及びパルス電圧波形を、処理チャンバ100のチャンバ本体113内に配置された1つ以上の電極に伝達するよう構成されている。一実施形態において、RF生成器118及びPV波形生成器150は、RF波形及びパルス電圧波形を、基板支持アセンブリ136内に配置された1つ以上の電極に同時に伝達するよう構成されている。非限定的な一例において、先に述べたように、RF生成器118及びPV波形生成器150は、RF波形及びパルス電圧波形を、支持ベース107及びバイアス電極104にそれぞれ伝達するよう構成されており、支持ベース107及びバイアス電極104は両方とも、基板支持アセンブリ136内に配置されている。他の例において、RF生成器118、第1のPV波形生成器150、及び第2のPV波形生成器150は、RF波形、第1のパルス電圧波形、及び第2のパルス電圧波形を、それぞれ支持ベース107、バイアス電極104、及びエッジ制御電極115に供給するよう構成されており、これらは全て、基板支持アセンブリ136内に配置されている。
図2に示すように、RF生成器118は、正弦波RF波形601(図6A~図6G)を含むRF信号を、RF整合回路161及び第1のフィルタアセンブリ162を含むプラズマ生成アセンブリ160を介して伝達することによって、チャンバ本体113内に配置された1つ以上の電極に、正弦波RF波形を提供するよう構成されている。加えて、PV波形生成器150のそれぞれは、第2のフィルタアセンブリ151を介してバイアス電極104においてPV波形401(図4A、図5A)、441(図5B)、又は431(図5C)を確立することによって、典型的に一連の電圧パルス(例えば、ナノ秒電圧パルス)を含むPV波形を、チャンバ本体113内に配置された1つ以上の電極に提供するよう構成されている。バイアス補償モジュール116内の構成要素が、任意選択的に、各PV波形生成器150と第2のフィルタアセンブリ151との間に配置されうる。
先に簡単に述べたように、図3A~3Bはそれぞれ、本明細書で提案されるパルス電圧及びRFバイアス方式の機能的に等価な簡略化した電気回路140の例であり、処理空間内のプラズマの図も含んでいる。図3Aは、第1のPV源アセンブリ196内のPV波形生成器150を利用するパルス電圧及びRFバイアス方式の簡略化した電気回路140を示しており、第1のPV源アセンブリ196は、バイアス電極104でPV波形を確立するプロセスの一部分の間に、PV波形431(図4C)といった正電圧を提供するよう構成されている。図3Bは、第1のPV源アセンブリ196内のPV波形生成器150を利用するパルス電圧及びRFバイアス方式の簡略化した電気回路140を示しており、第1のPV源アセンブリ196は、バイアス電極104でPV波形を確立するプロセスの一部分の間に、PV波形401(図4A)といった負電圧を提供するよう構成されている。これらの回路は、処理チャンバ100内での第1のPV源アセンブリ196のパルス電圧波形生成器150とRF生成器118との相互作用の簡略化したモデルを示しており、処理チャンバ100の稼働中に使用される基本的な要素を概ね示している。本開示では、明確さのために以下の定義を使用する。即ち、(1)基準が指定されない限り、全ての電位はグランド(ground)を参照する。(2)(基板又はバイアス電極のような)任意の物理点での電圧も同様に、グランド(ゼロ電位点)に対する上記物理点の電位として定義される。(3)カソードシースは、プラズマに対して負の基板電位に対応する電子反発性イオン加速シースであることが暗示されている。(4)シース電圧(「シース電圧降下」と称することもある)Vshは、プラズマと、(例えば、基板又はチャンバ壁の)隣接する表面と、の間の電位差の絶対値として定義される。(5)基板電位は、プラズマに面する基板表面の電位である。
図3A~図3Bに示される複合負荷130は、処理プラズマ101を3つの直列要素として表す標準電気プラズマモデルとして示されている。第1の要素は、基板103の近傍の電子反発カソードシースである(「プラズマシース(plasma sheath)」又は単に「シース(sheath)」と称することもある)。カソードシースは、図3A~図3Bでは、従来の3つの部分の回路素子によって表されており、この3つの部分の回路素子は以下を含む。即ち、(a)開放時にはシース崩壊を表すダイオードDSH、(b)シースの存在下で基板へと流れるイオン流を表す電流源I、及び(c)イオンの加速及びエッチングが起こるバイアスサイクルの主要部分(即ち、PV波形のイオン流フェーズ)の間のシースを表すキャパシタCSH(例えば、~100-300pF)。第2の要素はバルクプラズマであり、単一の抵抗Rplasma(例えば、抵抗器146=~5-10オーム)によって表される。第3の要素は、チャンバ壁において形成される電子反発性の壁シースである。壁シースも同様に、図3では3つの回路要素によって表される。3つの回路要素は以下を含む。即ち、(a)ダイオードDwall、(b)壁へのイオン流を表す電流源Iiwall、(c)主に、PV波形のESC再充電フェーズ(本テキストで後述)の間の壁シースを表すキャパシタCwall(例えば、~5-10nF)。接地された金属壁の内面は、誘電材料の薄い層でコーティングされていると見做すこともでき、図3では、大きなキャパシタCcoat(例えば、~300-1000nF)によって表される。
図3A~図3Bに示すように、RF生成器118は、生成されたRF電力を、第1のフィルタアセンブリ162、RF整合回路161、線インダクタンスLLine、支持ベース容量CCL、及び実効容量CEを通じて供給することによって、RF信号を支持ベース107に、ひいては複合負荷130に提供するよう構成されている。一実施形態において、RF整合回路161は、直列インダクタンス要素LSERと、コントローラ126からの入力によって制御されうる調整可能な直列容量要素CSER及び調整可能なシャント容量要素CShuntと、を含む。幾つかの実施形態において、RF整合回路161は、代替的に、例えば、L型回路、π(pi)型回路、又はトランスマッチ回路といった他の回路要素構成を使用して形成されうる。先に述べたように、RF整合回路161は、一般に、RF生成器118からのRF信号の伝達によって発生する反射された電力を最小に抑え、かつその電力伝達効率を最大化するために、見かけ上の負荷を50Ωに調整するよう構成されている。幾つかの実施形態において、RF整合回路161がオプションであり、この場合、基板のプラズマ処理中に、他のRF信号整合技術(例えば、可変周波数チューニング)を使用して、複合負荷130に非効率的にRF電力を供給するのを回避することができる。
第1のフィルタアセンブリ162は、PV波形生成器150の出力によって生成された電流がRF伝送線167を通って流れてRF生成器118に損傷を与えることを実質的に防止するよう構成された1つ以上の電気素子を含む。第1のフィルタアセンブリ162は、PV波形生成器150内のPVパルス生成器314から生成されたPV信号に対して、高インピーダンス(例えば、高Z)として作用し、従ってRF生成器118への電流の流れを妨げる。一実施形態において、第1のフィルタアセンブリ162は、RF整合回路161とRF生成器118との間に配置された阻止キャパシタCBCを含む。本構成において、RF整合素子161は、見かけ上の負荷をRF生成器118に同調させるため、阻止キャパシタCBCの静電容量を補償するよう構成されている。一例において、PV波形生成器150から供給されたナノ秒PV波形(例えば、パルス周期10~100ns)が、RF生成器118に損傷を与えるのを防止するために、第1のフィルタアセンブリ162が、38~40pFのキャパシタを含む。他の例において、第1のフィルタアセンブリ162は、38pF未満の静電容量を有する阻止キャパシタCBCを含む。
幾つかの実施形態において、図1~図3Bに示すように、PV波形生成器150のそれぞれは、高電圧モジュール116の阻止キャパシタ153、及び第2のフィルタアセンブリ151、高電圧線インダクタンスLHV、及び実効容量Cを介して、生成されたパルス電圧波形を供給することによって、バイアス電極104、ひいては複合負荷130にパルス電圧波形信号を供給するよう構成されている。この場合、システムは任意選択的に、ESC基板支持体の基板収容面に対して基板をチャックするため、例えば「電気的クランプする(electrically clamping)」ために使用されるバイアス補償モジュール116を含む。基板をチャックすることで、基板収容面と基板の非デバイス側の表面との間の間隙にヘリウムガス(He)を充填することが可能となり、このことは、上記2つ(基板収容面と基板の非デバイス側の表面の)間の熱接触を良好にするため、かつESC基板支持体の温度を調節することで基板の温度制御を可能とするために行われる。バイアス補償モジュール116によって生成されたDCチャッキング電圧を、バイアス電極104において、PV波形生成器150によって生成されたパルス電圧と組み合わせると、DCチャッキング電圧に等しいパルス電圧波形の追加の電圧オフセットが得られる。追加の電圧オフセットは、図4A~図4Bに示すオフセットΔVに加算し又は当該オフセットΔVから減算することができる。バイアス補償モジュール116が、PV波形生成器150のPVパルス生成器314の動作に対して与える影響は、適切な大きさの阻止キャパシタ153及び阻止抵抗154を選択することで、無視できるようにすることができる。阻止抵抗154は、バイアス補償モジュール116を伝送線131内の或るポイントへと接続する構成要素内に配置された抵抗器を概略的に示している。バイアス補償モジュールのDC電圧のみを遮断しつつ、そのことがパルスバイアス生成器のパルス電圧出力に対する如何なる負荷にもならないように、阻止キャパシタ153の値が選択される。一例において、阻止キャパシタCBCの容量は約38pFであり、阻止キャパシタ153の容量は約40nFである。本阻止抵抗154は、典型的に、それを通る電流を効率良く最小に抑えるのに十分な大きさに設定される。例えば、抵抗(≧1MOhm)が、PV波形生成器150からバイアス補償モジュール116内へと流れる400kHzの電流を無視できるようにするために使用される。一例において、阻止抵抗が、約500kOhmより大きな抵抗値を有する。この結果、平均的な誘導電流は0.5~1mA程度となり、バイアス補償モジュール電源の典型的な制限値である約5mA程度のDC電流よりもはるかに小さくなる。
第2のフィルタアセンブリ151は、RF生成器118の出力によって生成された電流がPV伝送線157を通って流れてPV波形生成器150のPVパルス生成器314に損傷を与えることを防止するよう構成された1つ以上の電気的要素を含む。先に述べたように、PV伝送線157は、同軸伝送線106及び伝送線131を含むアセンブリである。一実施形態において、第2のフィルタアセンブリ151は、容量CFCを有するフィルタキャパシタ151Aと、インダクタンスLFLを有するフィルタインダクタ151Bと、を含み、フィルタキャパシタ151Aとフィルタインダクタ151Bとは、並列に接続されており、PVパルス生成器314とバイアス電極104との間の伝送線157上に配置されている。幾つかの構成において、第2のフィルタアセンブリ151が、バイアス補償モジュール116の阻止キャパシタ153と、バイアス電極104の間に配置される。第2のフィルタアセンブリ151は、PF生成器118から生成されたPF信号に対して、高インピーダンス(例えば、高Z)として作用し、従ってPVパルス生成器314への電流の流れを妨げる。一般に、第2のフィルタアセンブリ151は、RF信号、及び関連するあらゆる高調波が、PVパルス生成器314への経路を作るのを阻止するよう構成されている。幾つかの実施形態において、RF生成器によって生成されたRF信号は、400kHzより高いRF周波数、例えば、RF周波数≧1MHz、又は≧2MHz、又は≧13.56MHz、又は≧40MHzなどを伝達するよう構成されている。一例において、40MHzの周波数でRF生成器118から提供されたRF電力が、PVパルス生成器314に損傷を与えるのを防止するために、第2のフィルタアセンブリ151は、約51pFの静電容量を有するフィルタキャパシタ151Aと、約311nHのインダクタンスを有するフィルタインダクタ151Bと、を含む。
パルス波形の例
先に述べたように、本開示の実施形態は、新規な基板バイアス方法であって、処理中にほぼ一定のシース電圧を維持することを可能とし、従って、基板の表面に所望のIEDFを形成しつつ、1つ以上のRF源アセンブリを使用してプラズマ処理チャンバの処理空間内に形成されたプラズマの局面を個別に制御する能力も提供する基板バイアス方法を提供する。幾つかの実施形態において、本明細書に開示された新規な基板バイアス装置及び方法を使用することで、シングルピーク(単一エネルギー(mono-energetic))のIEDFを、処理中に基板の表面において形成することができる。他の実施形態において、本明細書に開示された新規な基板バイアス装置及び方法のうちの1つ以上を使用することで、2ピーク(バイエネルギー(bi-energetic))のIEDFが、処理中に基板の表面において形成される。
図4A~図4Cに関連してさらに以下で述べるように、プラズマ処理中にほぼ一定のシース電圧を維持することを可能とする新規な基板バイアス方法は、プラズマ処理チャンバ内で行われるプラズマプロセス中の、基板上で行われるプラズマ処理シーケンスの間に、一連のパルス及び/又はパルスのバーストを伝達することを含む。本明細書で提供される開示の実施形態は、それぞれが複数の様々な位相(phase)を含む所望のPV波形を有するパルスを伝達することを含む。さらに後述するように、各PV波形は、PV波形生成器150から提供される電圧信号、又は場合によっては定電流信号の伝達によって制御される複数の位相のうちの少なくとも1つの位相を含む。おおまかに、考察のために、PV波形の各パルスは、2つの主要領域に区分けすることができ、2つの主要領域は、図4A~図4Cに示すように、第1の領域405と、第2の領域406と、を含む。おおまかに、各PV波形は、振幅(Vout)、オフセット(例えば、ΔV)、パルス周期(T)、パルス繰り返し周波数(f=1/T)を含む。
幾つかの実施形態において、PV波形は、第1のPV源アセンブリ196のPV波形生成器150及び第2のPV源アセンブリ197のPV波形生成器150を使用して、バイアス電極104及びエッジ制御電極115において別々に確立される。図4Aは、パルス電圧波形の負パルスバイアス方式タイプを示しており、ここでは、PV波形生成器150が、バイアス電極104又はエッジ制御電極115においてPV波形を確立するために、多相の負パルス波形401の連なり550の生成を制御するよう構成されている。幾つかの実施形態において、多相の負パルス波形401は、各サイクル内の波形が、第1の期間の間に発生する第1の部分と、第2の期間の間に発生する第2の部分と、を有するように、一連の繰り返しサイクルを含む。多相の負のパルス波形401はまた、第1の期間の少なくとも一部分の間のみ存在する正電圧を含み、パルス電圧波形は、第2の期間の少なくとも一部分の間、実質的に一定である。PV波形生成器150の出力は、第2の期間の少なくとも一部分の間、負電圧電源に接続される。
図4A及び図4Dを参照すると、一例において、基板PV波形425は、確立されたPV波形がPV波形生成器150によってバイアス電極104又はエッジ制御電極115において形成されたことに因り、基板において確立される一連のPV波形である。基板PV波形425は、処理中に基板の表面において確立され、例示される基板PV波形425の点420と点421との間に延びるシース崩壊及びESC再充電フェーズ(phase、段階)450(又は、考察を分かり易くするため、シース崩壊フェーズ450)と、点421と点422との間に延びるシース形成フェーズ451と、点422と、最初に戻って、次に連続して確立されるパルス電圧波形の開始点420と、の間に延びるイオン流フェーズ452と、を含む。プラズマ電位曲線は、1つ以上のPV波形生成器150を使用してバイアス電極104及び/又はエッジ制御電極115において確立された負パルス波形401が伝達される間の、局所的なプラズマ電位を例示している。
本例では、処理チャンバ100内での処理中に、PV波形生成器150が、確立された多相の負パルス波形401の位相のうちの2相の間に、負の方向に向くPV波形の部分及び/又は負電圧レベルで維持される部分(例えば、イオン流フェーズ)といった負電圧を供給し、当該負電圧の伝達を制御するときには、多相の負パルス波形401(図4A)が形成される。例えば、負パルス波形401の上記負電圧を含む部分は、類推すると、基板PV波形425のシース形成フェーズ451及びイオン流フェーズ452に関係するであろう。この場合、多相の負パルス波形401について、PV波形生成器150からの負電圧の伝達は、第2のフェーズ406の間に起こり、この第2のフェーズ406は、点411(即ち、多相の負パルス波形401のピーク)から延び、又は点411と、点413と一致する基板PV波形のシース崩壊フェーズ450の開始と、間に延びている。幾つかの実施形態において、確立された多相の負パルス波形401のうち、点412と点413の間にある部分と一致するイオン流フェーズ452の間に、PV波形生成器150は、一定の負電圧(例えば、VOUT)を提供するよう構成されている。例えば、イオン流フェーズ452の間に、イオン流(I)が基板表面上に正の電荷を堆積させることに起因して、基板表面での電圧は、点422と点420の間の線の正の傾きに見られるように、時間の経過とともに増大する。基板表面での経時的な電圧上昇は、シース電圧を低下させ、結果としてイオンエネルギーの拡散をもたらす。従って、シース電圧の低下及びイオンエネルギーの拡散の影響を最小に抑えるために、少なくともPV波形の周波数(1/TPD、但し、TPDはPV波形周期(図5A))を制御し、設定することが望ましい。
プラズマ処理中に、バイアス電極104に提供されるPV波形を伝達し、当該PV波形を制御することで、ほぼ単一エネルギーのIEDFといった、望ましいイオンエネルギー分布関数(IEDF)を形成することができる。PV波形の生成、及びPV波形の特性(例えば、ピークツーピーク電圧、デューティサイクル、周波数等)の制御によって、プラズマイオン密度、及び生成されたイオンエネルギーの正確な制御が可能となり、さらに、エッチングされたフィーチャの底部に見られる導電性材料(例えば、W)表面上への、フッ素化炭素(C)ベースのポリマーの堆積がより制御可能となる。導電性材料の表面上にポリマー堆積を形成することで、導電性材料へのドライエッチング化学物質のエッチング選択性が、介在するエッチングされる誘電材料に対して向上することになる。
図4Bは、整形パルスバイアス方式タイプのPV波形を示しており、ここでは、PV波形生成器150が、バイアス電極104及び/又はエッジ制御電極115において確立される多相の整形パルス波形441の連なり551の生成を制御するよう構成されている。幾つかの実施形態において、多相の整形パルス波形441は、PV波形生成器150によって形成され、PV波形生成器150は、1つ以上の内部スイッチ及びDC電源を使用して、電圧パルスの1つ以上の位相(例えば、第1の領域405)の間に正電圧を供給し、かつ、電圧パルスの1つ以上の位相(例えば、第2の領域406)の間に負電圧を供給するよう構成されている。
幾つかの実施形態において、図4Cに示すように、PV波形生成器150は、バイアス電極104及びエッジ制御電極115に、多相の正パルス波形431の連なり552を提供するよう構成されている。正パルス波形431における各正パルスは、複数のフェーズを含むことができ、例えば、シース崩壊フェーズ、ESC再充電フェーズ、シース形成フェーズ、及びイオン流フェーズを含むことができる。本例では、第1の領域405が大まかに、シース崩壊フェーズ及びESC再充電フェーズを含む。第2の領域406が大まかに、シース形成フェーズ及びイオン流フェーズを含む。幾つかの実施形態において、多相の正パルス波形431が一連の繰り返しサイクルを含み、これにより、各サイクル内の波形が、第1の期間の間に発生する第1の部分と、第2の期間の間に発生する第2の部分と、を有する。多相の正パルス波形431はまた、第1の期間の少なくとも一部分の間にのみ存在する正電圧を含み、多相の正パルス波形431は、第2の期間の少なくとも一部分の間、実質的に一定である。PV波形生成器150の出力は、第1の期間の少なくとも一部分の間、正電圧電源に接続される。
図4A、図4B及び図4Cにそれぞれ示された様々なパルス電圧波形401、441及び431は、バイアス補償モジュール116の入力に提供されるパルス電圧波形を表しており、従って、バイアス電極104及びエッジ制御電極115において確立されるパルス電圧波形と異なりうる。各PV波形で見られるDCオフセットΔVは、PV波形を確立するために使用されるPV波形生成器150の構成の様々な特性に依存する。
幾つかの実施形態において、パルス電圧波形401、441、及び/又は431のうちの少なくとも1つ以上の種類の一連のバーストが、バイアス電極104及び/又はエッジ制御電極115において確立され、かつ基板表面において確立される。一例において、各バースト内の複数のパルスが、バイアス電極104及び/又はエッジ制御電極115において確立される一連の負パルス波形401を含む。一例において、パルス電圧波形のバーストのそれぞれは、一貫したパルス電圧形状を有する波形(例えば、各PV波形401の一部分の間、一定の大きさの電圧が提供される)と、経時的に1のバーストから他のバーストに変化しうるバースト伝達長TONと、同じく経時的に長さが変化しうるバースト休止長Toffと、を有するパルスを含む。バースト休止長TOFFは、バースト伝達長TON時間の間に提供されるPV波形の伝達を或る期間停止することで、形成される。バーストのデューティサイクルは、バースト中で複数のパルスが伝達される時間の長さ(即ち、バースト伝達長TON)を、バースト期間の持続時間(即ち、TBD=TON+TOFF)で割った比率であるが、一定であってよく又は経時的に変化してよい。他の処理方法では、複数のパルスが、負パルス波形401、整形パルス波形441、若しくは正パルス波形431、又はこれらの組み合わせを含みうることが分かるであろう。
二酸化ケイ素のエッチングの例示的な選択性
前述したように、3次元NANDデバイスの製造に含まれるプラズマエッチングプロセスは、ますます困難になってきている。特に、3D NAND技術における階段状コンタクトのエッチングは、NANDスタックの底部のセルへのアクセスを提供し、これにより、外部の周辺回路からセル制御ゲートへのアクセスを可能とするワード線の部分を形成するための、NANDスタック内に埋め込まれた導電性材料層(例えば、タングステン含有層)の形成を可能とする。
図5は、本明細書に記載の実施形態に係る、基板上で実施される階段状コンタクトのエッチングの結果を示している。一実施形態によれば、基板500は、マスク層505と、多層スタック501と、を含み、多層スタック501は、複数の導電層520と、当該導電層520の間に配置された複数の介在する誘電材料層と、を含む。複数の介在する誘電材料層と、導電層520の一部に隣接して配置された誘電材料とは、本明細書ではまとめて記載され、誘電材料510と総称される。マスク層505は、リソグラフィ及びマスクエッチングプロセスを使用して、顧客の仕様に基づいて形成されるプリエッチングパターンを含む。パターニングされたマスク層505が、(図6Aに示す)プラズマエッチングプロセス中に形成されるトレンチ515といった、フィーチャ(feature)の形成を案内する。多層スタック501は、複数の導電層520を含んでおり、本明細書に記載のプラズマエッチングプロセス中に形成される各トレンチ515が多層スタック501内の異なる深さ(Z方向)に位置する各導電層520に達するように、導電層520同士が互い違いになった配置で形成されている。図5に示すように、プラズマエッチングプロセス中に形成された各トレンチ515は、マスク層505において形成されたパターンに因り様々な深さを有しており、異なる導電層520に接触する。プラズマエッチングプロセス中に形成された各トレンチ515はまた、対応する導電層520を貫通していない。
幾つかの実施形態において、多層スタック501の誘電材料510内に配置された導電層520は、タングステン、白金、チタン、ルテニウム、ケイ素、モリブデン、コバルト及びハフニウムで構成されうる。
図6A~図6Cはそれぞれ、本明細書に記載の特定の実施形態に係る、基板の一部内の階段状コンタクト構造においてトレンチ515を形成するために使用されるプラズマエッチングプロセスの様々な段階の間の、多層スタック501の一部を示している。図6Aは、トレンチ515を形成するために使用されるプラズマエッチングプロセスの前の、パターニングされたマスク層505が形成された基板を示しており、考察のためにここでは時間Tと称する。マスク層505のプリエッチングによって、その後のプラズマエッチングプロセス中に誘電体層510内でエッチングを行うことが意図された箇所が画定される。
図6Bは、プラズマプロセスにより基板500の導電層520に接触したときの、プラズマエッチングプロセス中の基板500を示している。本例では、図6Bに示すように、プラズマエッチングプロセスによって、マスク層505に形成されたパターンに因り、誘電体層510内に2つのトレンチが形成されている。プラズマエッチングプロセスの間、プラズマ処理チャンバ内で形成されたプラズマ(例えば、図7のプラズマ530)が、パターニングされたマスク層505を介して誘電材料510と相互作用し、一定時間後に、誘電材料510内にトレンチ515を形成する。エッチングプロセス中に使用されるマスク層505の組成及びプラズマ化学物質の組成は、処理中には最小限のエッチングが行われ、プラズマがマスク層505をエッチングせずに、パターニングされたマスク層505を介して露出した誘電材料510のみエッチングするように、選択されている。各トレンチ515は、プラズマエッチングプロセス中の、考察のためにここでは時間Tと称するこの時点では、同じ深さを有している。幾つかの実施形態において、プラズマエッチングプロセスが、先に記載したように機能する。
図6Cは、プラズマエッチングプロセスの完了時の基板500を示しており、考察のためにここでは時間Tと称する。プラズマエッチングプロセスは、パターニングされたマスク層505によって画定された、トレンチ515内の誘電材料510へのエッチングを継続する。導電層520の組成、及びエッチングプロセスを実施するためのプラズマ化学物質の特定の組成に対する必要性のため、導電層520は、当該導電層520が(図6Cに示す)時間T1に最初に露出した後にプラズマエッチングプロセスが継続する間、何らかの望ましくないエッチングを受けることになる。導電層520へのエッチングレートは、誘電材料510へのエッチングレートよりもはるかに小さい。これに対応して、プラズマエッチングプロセスは、プラズマ530が多層スタック501内の1つ以上の導電層520又は基板500のベース層525に到達するまでは、誘電材料510をエッチングして誘電材料510内にトレンチを形成する。プラズマ530が、1つ以上の導電層520又は基板500のベース層525に到達すると、エッチングレートが下がるが、誘電材料510を通るエッチングレートは、他の導電層又は基板500のベース層525に到達するまでは同じままである。
先に述べたように、プラズマエッチングプロセスは、プラズマ530が1つ以上の導電層520に到達するまでは、誘電材料510をエッチングして誘電材料510内にトレンチを形成する。プラズマ530が、誘電材料510を通ってエッチングし導電層520に到達すると、プラズマエッチングプロセス中に望ましいドライエッチング化学物質が使用されたことに起因して、エッチングレートが下がり、又は、誘電材料510に対する、導電層520内の材料へのエッチング化学物質の組成の選択性に因り、エッチングレートが抑えられる。以下でさらに述べるように、ドライエッチング化学物質、即ち、プロセスガスは、フッ化炭素含有ガス(例えば、C)、及び追加の非フッ化炭素含有プロセスガスを含みうる。導電層520の表面で形成される界面は、ドライエッチング化学物質を、エッチングされたフィーチャの底部で見られる導電層材料に曝露することにより形成されたポリマー材料で構成されうる。例えば、1つ以上の導電層520がタングステン(W)から作製されるときには、プラズマエッチングプロセスは、タングステン材料の表面上にポリマー材料を形成し、従って、さもなければ露出する表面を保護する。幾つかの例において、形成された界面は、プラズマラジカルエッチングに対するより良好な保護のために、1つ以上の導電層520の表面上に堆積されられたフッ化炭素ベースのポリマーである。上記界面は、ドライエッチングプラズマプロセスが進むにつれて、基板内の様々な深さに配置された1つ以上の導電層520のそれぞれで形成されうる。1つ以上の導電層上での1つ以上の界面の形成が、エッチングの選択性に役立つ。即ち、プラズマエッチングプロセスは、誘電材料510を選択的にエッチングすることを含み、1つ以上の導電層520のエッチングされた表面に界面を形成することで1つ以上の導電層520へのエッチングを回避する。幾つかの例において、1つ以上の導電層520上での界面の形成によって、基板のエッチング選択性が促進され、これにより、プラズマ530(図7に図示)は、基板500の誘電材料510を選択的にエッチングし、1つ以上の導電層520又は他の下にある材料層をエッチングしない。
図7は、一実施例に係るプラズマエッチングプロセスのエッチング選択性の向上を示している。PV波形のESC再充電フェーズ(例えば、PVパルス内の正のジャンプの部分(図4D))の間、プラズマ530のシースの厚みが減少し、基板500には、プラズマラジカルエッチング、即ち、より等方的である傾向のイオンに対して主にラジカルによって引き起こされるエッチングが施される。プラズマラジカルエッチング中に、フッ化炭素ラジカルが、基板500のトレンチ515内に堆積させられ、従って、プラズマラジカルが、有利に、1つ以上の導電層520の表面上にポリマー堆積を形成するために使用される。一旦ESC再充電フェーズが終了すると、プラズマ530のシースが形成され、イオン流フェーズ(図4D)の間、基板500には、プラズマイオンエッチング、即ち、より異方的である傾向のラジカルに対して主にイオンによって引き起こされるエッチングが施される。
図8は、一実施形態に係る、プラズマエッチングプロセス中のシースの厚さのグラフを示す曲線801を含む。図4Dに示したPV波形401が時間の関数として変化するにつれて、シースの厚さが変化する。具体的には、ESC再充電フェーズ450の間シースの厚さは減少し、基板には、プラズマラジカルエッチング、即ち、より等方的である傾向のイオンに対して主にラジカルによって引き起こされるエッチングが施されうる。一旦ESC再充電フェーズ450が終了すると、シース形成フェーズ451の間にシースが形成され、イオン流フェーズ452の間に、基板には、プラズマイオンエッチング、即ち、より異方的である傾向のラジカルに対して主にイオンによって引き起こされるエッチングが施される。イオン流フェーズ452の間、シースの厚さは、イオン流フェーズ452の間のウエハ電圧の上昇に合わせて、減少しうる。
図9は、本開示の特定の実施形態に従った、プラズマ処理チャンバ内の基板を処理するための例示的な工程900を示すフロー図である。工程900は例えば、(図1のプラズマ処理チャンバ100といった)プラズマ処理チャンバ内で実行されうる。
工程900は、工程905において、パターニングされたマスク層及び第1の誘電材料がその上に形成された基板を、プラズマ処理チャンバの処理領域内に配置された基板支持アセンブリの基板支持面上に配置することによって、開始されうる。工程905は、おおまかに、工程910~920で実行される工程を含み、これらの工程は、任意の順序で実行することが可能であるが、概して、工程905が実行される時間の大部分において同時に実行される。
工程910において、プラズマエッチングプロセスは、プラズマ処理チャンバの処理領域内にドライエッチ化学物質を伝達することを含む。ドライエッチング化学物質は、第1のフッ化炭素含有ガス(例えば、C、CなどのC)と、第1のプロセスガスと、を含みうる。プラズマ処理チャンバの処理領域内にドライエッチ化学物質を伝達することは、限定するものではないが第1の流量のN2ガス、第2の流量のクリプトン(Kr)ガス、第3の流量のCガス、第4の流量のCガス、及び第5の流量のOガスから選択された2つ以上のガスを伝達することを含む。プラズマエッチングプロセスはまた、チャンバ圧力、基板支持体温度、ルーフ温度、支持ヘリウム(He)圧力を制御することを含む。Nの第1の流量は、5sccmと1000sccmの間の範囲でありうる。Krの第2の流量は、5sccmと1000sccmの間の範囲でありうる。Cの第3の流量は、5sccmと1000sccmの間の範囲でありうる。Cの第4流量は、5sccmと1000sccmの間の範囲でありうる。Oの第5の流量は、5sccmと1000sccmの間の範囲でありうる。プラズマエッチングプロセスはまた、チャンバ圧力を、1mTorrと500mTorrの間の範囲内に制御することを含む。プラズマエッチングプロセスはまた、基板支持体温度を、-80℃と500℃の間の範囲内に制御することを含む。プラズマエッチングプロセスはまた、ルーフ温度を、10℃と500℃の間の範囲内に制御することを含む。プラズマエッチングプロセスはまた、He圧力を、0~100Torrの間の範囲内に制御することを含む。一例において、プラズマエッチングプロセスは、Cガス流量に対して、約4のCガス流量の比率、O2ガス流量に対して、約2のCガス流量の比率、Nガス流量に対して、約1.1のCガス流量の比率、及びKrガス流量に対して、約0.7のCガス流量の比率にすることで形成された組成を有するプロセスガスを、約1mTorrと約40mTorrの間のチャンバ圧力で伝達することを含む。
工程915において、プラズマエッチングプロセスは、高周波生成器を利用して、プラズマ処理チャンバ内に配置された第1の電極へと高周波信号を伝達して、処理領域内でプラズマを形成することを含む。プラズマ処理チャンバ内に配置された第1の電極へと高周波信号を伝達して、プラズマを形成することは、ソース電力及び周波数を含むがこれらに限定されないパラメータを含む。RF源の電力は、400kHzより大きい(>400kHz)周波数、例えば、2MHz、又は13.56MHz、又は40MHz、又は60MHzで、500Wと5000Wの間の範囲でありうる。
工程920において、プラズマエッチングプロセスは、第1のパルス電圧波形生成器を使用して、基板支持アセンブリ内のバイアス電極において、第1のパルス電圧波形を確立することを含む。第1のパルス電圧波形は、一連の繰り返しパルス波形サイクルを含みうる。各パルス波形サイクルは、第1の期間の間に発生する第1の部分(図8の810)及び、第1の期間よりも大きい第2の期間の間に発生する第2の部分(図8の811)を含む。各パルス波形サイクルはまた、ピークツーピーク電圧を含む。第1のパルス電圧波形生成器から出力されるパルス電圧波形は、第2の期間の少なくとも一部分の間、実質的に一定である。各パルス波形サイクルの第1の期間は、シース崩壊フェーズ、ESC再充電フェーズ、及びシース形成フェーズを含みうる。各パルス波形サイクルの第2の期間は、イオン流フェーズを含みうる。幾つかの例において、プラズマエッチングプロセスは、第1の期間の間にはプラズマラジカルエッチングを含み、第2の期間の間にはプラズマイオンエッチングを含む。プラズマは、各パルス波形の第2の期間の間に、プラズマイオンエッチングを介して誘電材料を通ってエッチングし、プラズマは、各パルス波形の第1の期間の間に、プラズマラジカルエッチングを介して1つ以上の導電層をエッチングする。
プラズマ処理チャンバ内に配置されたバイアス電極104にパルス電圧波形を伝達することは、PVTバイアス電力、デューティサイクル、パルス周波数、ピークツーピーク電圧、及び流量比の制御(FRC:flow ratio control)を含むが、これらに限定されないパラメータを有するパルス電圧波形を伝達することを含む。バイアス電力は、500Wと500,000Wの間の範囲でありうる。デューティサイクルは、正パルス波形431が生成される間に正の出力電圧を提供するよう構成されたPV波形生成器150の場合は、0~100%の間、例えば約1と99%の間、若しくは5~20%の間であってよく、又は、負パルス波形401が生成される間負の出力電圧を提供するよう構成されたPV波形生成器150の場合は、50~98%の間であってよい。パルス周波数は、1~1000kHzの間であってよく、例えば、約10kHzと約500kHzの間、又は、約50kHzと約400kHzの間、さらには、約50kHz約と200kHzの間であってよい。FRCは、全てのFRCチャンネルについて、0~100%の範囲でありうる。一連のPV波形の範囲内の、PV波形生成器150によって生成された各PV波形のピークツーピーク電圧は、0.5kVと20kVの間であり、例えば、約2kVと約20kVの間、又は約5kVと約9kVの間、又は約5kVと約8kVの間である。より高いピークツーピーク電圧によって、フィーチャのエッチングレートが上がり、さらには、驚くべきことに、工程910で記載したドライエッチング化学物質、及び、本明細書に開示した、例えば工程915及び920で開示した他の処理パラメータを使用して、タングステンをエッチングするための選択性が改善されることが分かっている。
エッチングプロセスが、図5~図6Cに関して上述したプロセスのように、多層スタックの1つ以上の層を通して実行されうるように、工程900は、所望の期間の間に、又はプラズマ処理チャンバ内で所望の終点が感知されるまでに、完了しうる。幾つかの実施形態において、コントローラ126内の1つ以上のソフトウェアアルゴリズムを使用して、工程900において実行されるプロセスを監視、制御及び/又は実行する。
上記の記載は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び更なる実施形態を考案することができ、本開示の範囲は、以下の特許請求の範囲によって規定される。

Claims (20)

  1. プラズマ処理チャンバ内で基板を処理する方法であって、
    前記プラズマ処理チャンバの処理領域内に配置された基板支持アセンブリの基板支持面上に配置された基板上に形成された第1の誘電材料をエッチングすることを含み、前記第1の誘電材料をエッチングすることが、
    前記プラズマ処理チャンバの前記処理領域に、第1のフッ化炭素含有ガス及び第1のプロセスガスを含むプロセスガスを伝達すること、
    高周波生成器を利用して、前記プラズマ処理チャンバ内に配置された第1の電極へと高周波信号を伝達して、前記処理領域内でプラズマを生成すること、及び、
    第1のパルス電圧波形生成器を利用して、前記基板支持アセンブリ内に配置されたバイアス電極で第1のパルス電圧波形を確立することであって、前記第1のパルス電圧波形が一連の繰り返しパルス波形サイクルを含み、
    各パルス波形サイクルが、
    第1の期間の間に発生する第1の部分、及び、前記第1の期間よりも大きい第2の期間の間に発生する第2の部分と、
    ピークツーピーク電圧と、を含み、
    前記パルス電圧波形が前記第2の期間の少なくとも一部分の間実質的に一定である、
    第1のパルス電圧波形を確立すること
    を含む、方法。
  2. 前記第1の期間が、約200nsと約400nsの間である、請求項1に記載の方法。
  3. 前記第1の期間が、前記一連の繰り返しサイクルのうちの1サイクルの約20%未満である、請求項1に記載の方法。
  4. 各パルス波形サイクル内の前記パルス電圧波形は、約5kVと約20kVの間のピークツーピーク電圧を有する、請求項3に記載の方法。
  5. 前記プロセスガスが、第2のフッ化炭素含有ガス及び第2のプロセスガスを含む、請求項1に記載の方法。
  6. 前記第1のフッ化炭素含有ガスが、C又はCの少なくとも1つを含み、前記第1のプロセスガスが、N、Kr、及びOの少なくとも1つを含む、請求項1に記載の方法。
  7. 1つ以上の導電層を含む前記基板上にマスクを配置することと、
    前記プラズマ処理チャンバ内に、前記マスク及び前記1つ以上の導電層を含む前記基板を供給することと、
    複数のパラメータに基づいて、前記第1の誘電材料をエッチングすることと、
    を更に含む、請求項1に記載の方法。
  8. 前記1つ以上の導電層が、タングステン、白金、チタン、ルテニウム、及びケイ素のうちの少なくとも1つを含む、請求項7に記載の方法。
  9. 前記1つ以上の導電層が、モリブデン、コバルト、及びハフニウムのうちの少なくとも1つを含む、請求項7に記載の方法。
  10. 前記第1の誘電材料をエッチングすることが、
    前記第1の誘電材料を通る第1のトレンチをエッチングすることであって、前記1つ以上の導電層のうちの第1の導電層まで延在する第1のトレンチをエッチングすることと、
    前記第1のトレンチの深さを超えた、前記第1の誘電材料を通る第2のトレンチをエッチングすることであって、前記1つ以上の導電層のうちの第2の導電層まで延在する第2のトレンチをエッチングすることと、
    を含む、請求項7に記載の方法。
  11. 前記第1の誘電材料をエッチングすることが、
    前記第2のトレンチの深さを超えた、前記第1の誘電材料を通る第3のトレンチをエッチングすることであって、最終的に前記基板のベース層に到達する第3のトレンチをエッチングすることを含む、請求項10に記載の方法。
  12. 前記第1のトレンチが前記第1の導電層を貫通せず、前記第2のトレンチが前記第2の導電層を貫通しない、請求項10に記載の方法。
  13. 前記誘電体を通る前記第1のトレンチをエッチングすることが、前記第1のトレンチの前記深さと一致する深さまで、前記第2のトレンチをエッチングすることを含む、請求項10に記載の方法。
  14. 前記第1の誘電材料をエッチングすることが、前記第1の誘電材料を通る1つ以上のトレンチをエッチングすることを含み、前記1つ以上のトレンチのそれぞれの深さが異なっており、前記1つ以上のトレンチのそれぞれが、前記1つ以上の導電層の異なる導電層に接触する、請求項1に記載の方法。
  15. 前記1つ以上のトレンチのそれぞれが、前記1つ以上の導電層のいずれも貫通しない、請求項14に記載の方法。
  16. 前記第1の誘電材料をエッチングすることが、1つ以上のトレンチと、前記1つ以上の導電層のうちの導電層と、の間に界面を形成することを含む、請求項14に記載の方法。
  17. 前記1つ以上の導電層のそれぞれの末端が、互いにずれて階段部を形成する、請求項16に記載の方法。
  18. 前記プラズマ処理チャンバの前記処理領域へと前記プロセスガスを伝達することが、1mTorrと500mTorrの間のチャンバ圧力を形成し、前記プロセスガスを伝達することが、第1の流量で前記第1のフッ化炭素含有ガスを流し、第2の流量で前記第1のプロセスガスを流すことを含み、
    配置された前記バイアス電極で確立された前記パルス電圧波形が、1%と99%の間のデューティサイクルを含む、請求項1に記載の方法。
  19. 前記第1のフッ化炭素含有ガスが、C又はCの少なくとも1つを含み、前記第1のプロセスガスが、N、Kr、及びOの少なくとも1つを含む、請求項18に記載の方法。
  20. 前記一連の繰り返しパルス波形サイクルが、約300と約500kHzの間のパルス周波数で提供され、
    前記第1の誘電体をエッチングすることが、前記基板支持面の温度を-80℃と500℃の間の範囲内で維持することをさらに含む、請求項19に記載の方法。
JP2023534966A 2021-04-16 2022-03-18 パルスプラズマを使用してエッチング選択性を向上させる方法 Pending JP2024506231A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202163175759P 2021-04-16 2021-04-16
US63/175,759 2021-04-16
US17/244,873 US11495470B1 (en) 2021-04-16 2021-04-29 Method of enhancing etching selectivity using a pulsed plasma
US17/244,873 2021-04-29
PCT/US2022/020957 WO2022220992A1 (en) 2021-04-16 2022-03-18 Method of enhancing etching selectivity using a pulsed plasma

Publications (1)

Publication Number Publication Date
JP2024506231A true JP2024506231A (ja) 2024-02-13

Family

ID=83602560

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023534966A Pending JP2024506231A (ja) 2021-04-16 2022-03-18 パルスプラズマを使用してエッチング選択性を向上させる方法

Country Status (6)

Country Link
US (1) US11495470B1 (ja)
JP (1) JP2024506231A (ja)
KR (1) KR20230026484A (ja)
CN (1) CN116261768A (ja)
TW (1) TW202307909A (ja)
WO (1) WO2022220992A1 (ja)

Family Cites Families (568)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ja) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
WO1999019527A2 (en) 1997-10-15 1999-04-22 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
EP1119033A4 (en) 1998-09-18 2004-11-17 Tokyo Electron Ltd PLASMA PROCESSING
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
JP2003506826A (ja) 1999-08-02 2003-02-18 アドバンスド エナジー インダストリーズ, インコーポレイテッド イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DK1253216T3 (da) 2001-04-27 2004-03-22 Europ Economic Community Fremgangsmåde og apparat til sekventiel plasmabehandling
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
CN100355033C (zh) 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US7633319B2 (en) 2003-11-28 2009-12-15 Advantest Corporation Digital QP detecting apparatus, spectrum analyzer having the same, and digital QP detecting method
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
US7821767B2 (en) 2004-11-04 2010-10-26 Ulvac, Inc. Electrostatic chuck device
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
JP4704088B2 (ja) 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP3910210B2 (ja) 2005-05-13 2007-04-25 松下電器産業株式会社 誘電体バリア放電ランプ点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CN101405924B (zh) 2006-01-23 2012-07-11 奥德拉国际销售公司 用于受限电源的功率供应设备以及使用功率供应设备的音频放大器
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
JP5259618B2 (ja) 2006-12-12 2013-08-07 オーツェー・エリコン・バルザース・アーゲー 高出力インパルス・マグネトロン・スパッタリング(hipims)におけるパルシング及びアーク抑制
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
ATE493749T1 (de) 2007-03-08 2011-01-15 Huettinger Elektronik Gmbh Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
DE112007003667A5 (de) 2007-07-23 2010-07-01 Hüttinger Elektronik GmbH & Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
CN102654481A (zh) 2007-11-26 2012-09-05 东京毅力科创株式会社 微细结构体检测装置以及微细结构体检测方法
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
US20110298376A1 (en) 2009-01-13 2011-12-08 River Bell Co. Apparatus And Method For Producing Plasma
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP4932942B2 (ja) 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP3556396B1 (en) 2010-08-31 2022-04-20 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
WO2012122064A1 (en) 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8979842B2 (en) 2011-06-10 2015-03-17 Medtronic Advanced Energy Llc Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI568319B (zh) 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
KR102046193B1 (ko) 2012-02-01 2019-11-18 도쿄엘렉트론가부시키가이샤 플라스마 에칭 방법 및 플라스마 에칭 장치
JPWO2013118660A1 (ja) 2012-02-09 2015-05-11 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置
TWI576890B (zh) 2012-02-20 2017-04-01 Tokyo Electron Ltd Power supply system, plasma processing device and plasma processing method
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
WO2013146920A1 (ja) 2012-03-30 2013-10-03 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
MX2020001174A (es) 2012-08-15 2021-08-12 Lockheed Martin Energy Llc Hexacianuros de hierro de alta solubilidad.
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9396960B2 (en) 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
WO2014132099A1 (en) 2013-02-28 2014-09-04 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
WO2015009864A1 (en) 2013-07-17 2015-01-22 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (dms) processes
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP2017504955A (ja) 2013-11-06 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Dcバイアス変調による、粒子発生抑制装置
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
TWI590329B (zh) 2014-03-02 2017-07-01 東京威力科創股份有限公司 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6586424B2 (ja) 2014-03-24 2019-10-02 エーイーエス グローバル ホールディングス, プライベート リミテッド 高周波発生器ソースインピーダンスの制御のためのシステムおよび方法
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
CN106206447A (zh) 2015-05-05 2016-12-07 中芯国际集成电路制造(上海)有限公司 3d nand器件的形成方法
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
EP3384510B1 (en) 2015-11-30 2021-09-15 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
CN108369921B (zh) 2015-12-07 2023-12-12 应用材料公司 使用静电夹盘夹持及解夹持基板的方法及装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
KR102489215B1 (ko) 2016-09-06 2023-01-16 도쿄엘렉트론가부시키가이샤 유사 원자층 에칭 방법
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP6697372B2 (ja) * 2016-11-21 2020-05-20 キオクシア株式会社 ドライエッチング方法及び半導体装置の製造方法
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3761762B1 (en) 2016-12-30 2022-04-13 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US20180218905A1 (en) 2017-02-02 2018-08-02 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
EP3813259B1 (en) 2017-03-31 2022-10-26 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
JP7261179B2 (ja) 2017-05-30 2023-04-19 タイタン・アドバンスト・エナジー・ソリューションズ・インコーポレイテッド バッテリの寿命評価および容量の回復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
CN110998820B (zh) 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
WO2019067451A1 (en) 2017-09-26 2019-04-04 Advanced Energy Industries, Inc. SYSTEM AND METHOD FOR IGNITION OF PLASMA
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
WO2019088204A1 (ja) 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
US10991554B2 (en) 2017-11-16 2021-04-27 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
JP7289313B2 (ja) 2017-11-17 2023-06-09 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御
KR20200100641A (ko) * 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
CN111434039A (zh) * 2017-12-07 2020-07-17 朗姆研究公司 用于半导体rf等离子体处理的脉冲内的rf脉冲
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
JP7408570B2 (ja) 2018-05-03 2024-01-05 アプライド マテリアルズ インコーポレイテッド ペデスタル用のrf接地構成
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
KR20210011388A (ko) 2018-06-18 2021-02-01 도쿄엘렉트론가부시키가이샤 제작 장비의 특성에 대한 간섭이 완화된 실시간 감지
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20200027618A (ko) 2018-09-04 2020-03-13 삼성전자주식회사 3차원 반도체 메모리 소자 및 그 제조 방법
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10797058B2 (en) * 2018-09-28 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
US10447174B1 (en) 2018-11-14 2019-10-15 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
TW202308306A (zh) 2019-01-08 2023-02-16 美商鷹港科技股份有限公司 產生高壓脈波之方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
KR20220027141A (ko) 2019-07-02 2022-03-07 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 rf 절연
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
CN114762251A (zh) 2019-09-25 2022-07-15 鹰港科技有限公司 具有能量恢复的非线性传输线高电压脉冲锐化
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
CN111512439B (zh) 2020-03-19 2021-08-31 长江存储科技有限责任公司 用于形成在三维存储器件中的接触结构的方法

Also Published As

Publication number Publication date
US20220336222A1 (en) 2022-10-20
KR20230026484A (ko) 2023-02-24
TW202307909A (zh) 2023-02-16
CN116261768A (zh) 2023-06-13
WO2022220992A1 (en) 2022-10-20
US11495470B1 (en) 2022-11-08

Similar Documents

Publication Publication Date Title
US11776789B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
US9490107B2 (en) Plasma apparatus and method of fabricating semiconductor device using the same
US11075088B2 (en) Method of plasma etching and method of fabricating semiconductor device using the same
US20160064194A1 (en) Semiconductor fabricating apparatus and method of fabricating semiconductor device using the same
JP2009010376A (ja) 分離プラズマ制御を利用したハイブリッドエッチングチャンバ
WO2000001007A1 (fr) Procede de traitement au plasma
KR102586592B1 (ko) 고온 rf 가열기 페디스털들
JP2023544472A (ja) 電圧パルスの時間領域多重化
JP2023542780A (ja) プラズマ処理用途のためのパルス電圧源
TW202306442A (zh) 用於在電漿處理腔室中減少特徵充電的方法及設備
TW202301913A (zh) 離子電流補償的設備及方法
TW202249540A (zh) 脈衝dc電漿腔室中的電漿均勻性控制
TW202312218A (zh) 具有離子能量控制的電漿激發
TW202341223A (zh) 在電漿處理期間用於傳送複數個波形訊號之設備與方法
JP2024506231A (ja) パルスプラズマを使用してエッチング選択性を向上させる方法
KR20190003093A (ko) 반도체 제조 장치, 이의 동작 방법
JP2023540581A (ja) 堆積及びエッチングのための半導体処理チャンバ
KR20220034048A (ko) 플라즈마 공정을 위한 장비 및 방법
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
TW202335188A (zh) 不具有階梯結構的記憶體裝置及其形成方法
TW202312640A (zh) 用於對電漿中的離子能量分佈進行數位控制的方法和裝置
CN116631861A (zh) 等离子体处理方法以及等离子体处理系统

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230807