TW202341223A - 在電漿處理期間用於傳送複數個波形訊號之設備與方法 - Google Patents
在電漿處理期間用於傳送複數個波形訊號之設備與方法 Download PDFInfo
- Publication number
- TW202341223A TW202341223A TW111144515A TW111144515A TW202341223A TW 202341223 A TW202341223 A TW 202341223A TW 111144515 A TW111144515 A TW 111144515A TW 111144515 A TW111144515 A TW 111144515A TW 202341223 A TW202341223 A TW 202341223A
- Authority
- TW
- Taiwan
- Prior art keywords
- waveform
- phase
- pulsed
- pulse
- pulse voltage
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 148
- 238000000034 method Methods 0.000 title claims abstract description 57
- 239000000758 substrate Substances 0.000 claims description 86
- 230000001360 synchronised effect Effects 0.000 claims description 13
- 238000009832 plasma treatment Methods 0.000 claims description 5
- 239000004065 semiconductor Substances 0.000 abstract description 4
- 238000004519 manufacturing process Methods 0.000 abstract description 3
- 150000002500 ions Chemical class 0.000 description 46
- 230000005540 biological transmission Effects 0.000 description 24
- 230000008569 process Effects 0.000 description 23
- 239000007789 gas Substances 0.000 description 13
- 238000001020 plasma etching Methods 0.000 description 10
- 230000000694 effects Effects 0.000 description 9
- 230000008859 change Effects 0.000 description 8
- 239000003989 dielectric material Substances 0.000 description 6
- 238000010586 diagram Methods 0.000 description 5
- 230000003993 interaction Effects 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 238000003860 storage Methods 0.000 description 5
- 239000004020 conductor Substances 0.000 description 4
- 238000001816 cooling Methods 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 238000002716 delivery method Methods 0.000 description 3
- 238000003672 processing method Methods 0.000 description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- 229910000838 Al alloy Inorganic materials 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 239000011888 foil Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910001256 stainless steel alloy Inorganic materials 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- IWBUYGUPYWKAMK-UHFFFAOYSA-N [AlH3].[N] Chemical compound [AlH3].[N] IWBUYGUPYWKAMK-UHFFFAOYSA-N 0.000 description 1
- 238000000231 atomic layer deposition Methods 0.000 description 1
- 230000003190 augmentative effect Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000005315 distribution function Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000007710 freezing Methods 0.000 description 1
- 230000008014 freezing Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium(II) oxide Chemical compound [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
- H01J37/32165—Plural frequencies
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32128—Radio frequency generated discharge using particular waveforms, e.g. polarised waves
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32146—Amplitude modulation, includes pulsing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32577—Electrical connecting means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
- H01J37/32706—Polarising the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3341—Reactive etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3343—Problems associated with etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
- H01J37/32183—Matching circuits
Abstract
本揭示案的實施例大體而言係關於半導體元件製造製程中使用的系統。更具體而言,本文提供的實施例大體而言包含用於將RF偏壓訊號及脈衝電壓波形同步並且控制其向電漿處理腔室中的一或多個電極的傳送的裝置及方法。本揭示案的實施例包含將脈衝射頻(RF)波形與脈衝電壓(PV)波形同步的方法及裝置,其使得脈衝RF波形在PV波形的第一階段期間為開,而在第二階段期間為關。PV波形的第一階段包含鞘崩潰階段。PV波形的第二階段包含離子電流階段。
Description
本揭示案的實施例大體而言係關於用於半導體元件製造的系統及方法。更具體而言,本文提供的實施例大體而言包含用於將射頻(RF)脈衝波形與脈衝電壓(PV)波形同步至處理腔室中的一或多個電極的系統及方法。
可靠地製造深寬比高的特徵是下一代半導體元件之關鍵技術挑戰中之一者。形成深寬比高的特徵的一種方法使用電漿輔助蝕刻製程(例如反應離子蝕刻(RIE)電漿製程)在基板的材料層(例如介電層)中形成深寬比高的開口。在典型的RIE電漿製程中,處理腔室中形成電漿,並使電漿的離子向基板的表面加速移動,以在設置在基板表面上形成的遮罩層下方的材料層中形成開口。
典型的反應離子蝕刻(RIE)電漿處理腔室包含向功率電極提供RF電壓的射頻(RF)偏壓產生器。在電容耦合氣體放電中,用耦接至功率電極的射頻(RF)產生器產生電漿,該功率電極位於靜電卡盤(ESC)組件中或位於處理腔室的另一部分中。通常,RF匹配網路(「RF匹配」)調諧RF產生器提供的RF波形,以對50Q的視在負載傳送RF功率,從而最小化反射功率並最大化功率輸送效率。如果負載的阻抗與源(例如RF產生器)的阻抗未適當匹配,則前向傳送的RF波形的一部分可沿同一傳輸線以相反方向反射回。
若干電漿製程亦利用DC電壓脈衝方案對設置於正受到處理的基板上方的電漿鞘加以控制。在操作期間,DC電壓脈衝使所產生的電漿鞘在包括厚電漿鞘的狀態與不存在電漿鞘的狀態之間切換。通常,DC脈衝技術經配置以傳送頻率大於50 kHz(例如大於400 kHz)的電壓脈衝。由DC脈衝電壓波形的傳送導致的電漿鞘的切換使得電漿負載隨時間變化而有不同的阻抗值。吾人發現電漿處理期間同時提供的RF波形與DC脈衝電壓波形之間的相互作用可導致不同的電漿處理結果,這大部分源於RF功率輸送系統的RF匹配部分無法調整RF匹配點,以考慮到電漿負載阻抗值隨時間的快速變化。習知的阻抗匹配部件及匹配過程無法跟上電漿負載阻抗大小的快速變化,由此使得匹配找到不合需要的匹配點,由於(1)RF訊號的相互調變失真(IMD)以及(2)被驅動RF頻率的諧波中出現不合需要的高反射RF頻率,該等匹配點通常導致產生不同量的RF頻率,該等RF頻率實際傳送至電漿負載。由RF與DC脈衝電壓波形之間的相互作用產生的相互調變失真使得至少RF訊號的振幅隨時間變化。RF與DC脈衝電壓波形之間的相互作用或相互調變使其他不合需要的波形分量在並非處於相互作用訊號的諧波頻率(亦即整數倍數)的頻率下形成,例如RF或DC脈衝波形中之任一者。功率輸送系統中IMD部件的產生將使傳送至電漿負載的實際前向RF功率降低。至少由於處理腔室功率輸送配置的不可避免差異以及功率輸送部件的差異,因此快速變化的電漿負載阻抗值導致單一電漿處理腔室中的、單一處理系統上具有相似配置的處理腔室中的以及半導體製造場地中不同電漿處理系統中之具有相似配置的電漿處理腔室中的電漿處理結果的不合需要差異。此外,由於相同或不同的處理腔室中的電漿處理期間可產生的較寬頻率範圍,因此大多數功率輸送系統亦不易考慮到所產生的IMD部件,並由此將導致電漿處理期間實際傳送至電漿負載的功率出現非預期的變化。
因此,本技術需要至少能夠解決上述此等問題的電漿處理元件及偏壓方法。
本揭示案大體而言係關於電漿處理的方法,包括對設置於基板支撐件中的電極施加電壓波形,該電壓波形具有第一階段及第二階段,其中第一階段包含鞘崩潰階段,且第二階段包含離子電流階段。方法進一步包括:對反應物種施加脈衝射頻(RF)波形,以在處理腔室的處理區域中產生電漿;以及使脈衝RF波形與電壓波形同步,使得在階段中之一者期間而不在另一者期間提供脈衝RF波形。在一個實施例中,在第二階段期間而不在第一階段期間提供脈衝RF波形。在另一實施例中,在第一階段期間而不在第二階段期間提供脈衝RF波形。
本揭示案大體而言係關於電漿處理的方法,包括對設置於基板支撐件中的電極施加電壓波形,該電壓波形具有第一階段及第二階段,其中第一階段包含鞘崩潰階段,且第二階段包含離子電流階段。方法進一步包括:對反應物種施加脈衝射頻(RF)波形,以在處理腔室的處理區域中產生電漿;以及使脈衝RF波形與電壓波形同步,使得在一個階段期間而不在另一階段期間提供脈衝RF波形。
本揭示案進一步包含:電漿處理系統,其中包含耦接至第一電極的PV波形產生器;RF波形產生器,其耦接至電漿處理系統的第二電極,其中RF波形產生器經配置以在處理區域內產生電漿;阻抗匹配電路;及控制器,其具有經配置以執行電腦可讀指令的處理器,該等電腦可讀指令使系統施加由PV波形產生器產生的PV波形,施加由RF波形產生器產生的RF波形,並將PV波形與RF波形同步。
本揭示案的實施例提供電漿處理方法,包括:對設置於基板支撐件中的一或多個電極施加脈衝電壓波形,該電壓波形具有第一階段及第二階段;對一或多個電極施加脈衝射頻(RF)波形,以在處理腔室的處理區域中產生電漿;及將脈衝RF波形與脈衝電壓波形的每一脈衝同步,使得僅在脈衝電壓波形的每一脈衝的第二階段的至少一部分期間提供脈衝射頻(RF)波形的RF波形。
本揭示案的實施例提供電漿處理方法,包括:對設置於基板支撐件中的一或多個電極施加脈衝電壓波形,該電壓波形具有第一階段及第二階段;對一或多個電極施加脈衝射頻(RF)波形,以在處理腔室的處理區域中產生電漿;及將脈衝RF波形與脈衝電壓波形的每一脈衝同步,使得僅在脈衝電壓波形的每一脈衝的第一階段的至少一部分期間提供脈衝射頻(RF)波形的RF波形。
本揭示案的實施例提供電漿處理系統,包含:脈衝電壓波形產生器,其耦接至第一電極;射頻波形產生器,其耦接至第二電極,其中射頻波形產生器經配置以在電漿處理系統的處理容積內產生電漿;阻抗匹配電路,其耦接於射頻波形產生器與第二電極之間;及控制器。控制器具有處理器,該處理器經配置以執行儲存於記憶體中的電腦可讀指令,指令使系統:藉由使用脈衝電壓波形產生器對第一電極施加脈衝電壓波形,該脈衝電壓波形包含一系列電壓脈衝,其中每一者包括第一階段及第二階段;藉由使用射頻波形產生器對第二電極施加脈衝射頻波形,以在處理腔室的處理區域中產生電漿;及使脈衝RF波形與脈衝電壓波形的每一脈衝同步,使得僅在脈衝電壓波形的每一脈衝的第二階段的至少一部分期間提供脈衝射頻(RF)波形的RF波形。
本揭示案的實施例大體而言係關於半導體元件製造製程中使用的系統。更具體而言,本文提供的實施例大體而言包含用於將RF偏壓訊號及脈衝電壓波形同步並且控制其向電漿處理腔室中的一或多個電極的傳送的裝置及方法。
第1圖是經配置以執行本文闡述之電漿處理方法中之一或多者的電漿處理腔室組件10的截面圖。在一些實施例中,電漿處理腔室組件10經配置以用於電漿輔助蝕刻製程,例如反應離子蝕刻(RIE)電漿處理。電漿處理腔室組件10亦可用於其他電漿輔助製程,例如電漿增強沉積製程,如電漿增強化學氣相沉積(PECVD)製程、電漿增強物理氣相沉積(PEPVD)製程、電漿增強原子層沉積(PEALD)製程、電漿處理、基於電漿的離子植入處理或電漿摻雜處理(PLAD)。在一種配置中,如第1圖所示,電漿處理腔室組件10經配置以形成電容耦合電漿(CPP)。然而,在一些實施例中,可替代地用設置於電漿處理腔室組件10的處理區域上方的電感耦合源產生電漿。在此配置中,可在電漿處理腔室組件10的陶瓷蓋(真空邊界)上放置線圈。
電漿處理腔室組件10包含處理腔室100、基板支撐組件136、氣體輸送系統182、DC功率系統183、RF功率系統189及系統控制器126。處理腔室100包含腔室體113,其中包含腔室蓋123、一或多個側壁122及腔室底124。腔室蓋123、一或多個側壁122及腔室底124共同界定處理容積129。一或多個側壁122及腔室底124大體而言含有材料(例如鋁、鋁合金或不鏽鋼合金),可調整該等材料的大小及形狀以形成處理腔室100的元件的結構支撐,且經配置以在處理期間處理腔室100的處理容積129維持的真空環境中產生電漿101時承受對該等材料施加的壓力及附加能量。經由側壁122中之一者中的開口(未圖示)將基板103裝載至處理容積129中以及自處理容積129移除基板103。在基板103的電漿處理期間,用狹縫閥(未圖示)密封開口。耦接至處理腔室100的處理容積129的氣體輸送系統182包含處理氣體源119及設置於腔室蓋123中的氣體入口128。氣體入口128經配置以自複數個處理氣體源119向處理容積129輸送一或多種處理氣體。
處理容積100進一步包含設置於處理容積129中的上電極(例如腔室蓋123)及下電極(例如基板支撐組件136)。將上電極與下電極彼此相對放置。如第1圖所見,在一個實施例中,射頻(RF)源(例如RF功率系統189)電耦接至下電極。RF源經配置以傳送RF訊號,以點燃並維持上電極與下電極之間的電漿(例如電漿101)。在一些替代配置中,RF源(例如RF功率系統189)亦可電耦接至第1圖所示的上電極。
基板支撐組件136包括基板支撐件105、基板支撐基座107、絕緣板111、接地板112、複數個升舉銷186、一或多個基板電位感測組件184及偏壓電極104。升舉銷186中之每一者設置於基板支撐組件136中形成的穿孔185中,且係用於促進將基板103傳送至基板支撐件105的基板接收表面105A以及自基板支撐件105的基板接收表面105A傳送基板103。基板支撐件105由介電材料形成。介電材料可包括整體燒結的陶瓷材料、抗腐蝕金屬氧化物(例如氧化鋁(Al
2O
3)、氧化鈦(TiO)、氧化釔(Y
2O
3))、金屬氮化物材料(例如氮化鋁(AlN)、氮化鈦(TiN))、其混合物或其組合。
基板支撐基座107由導電材料(例如鋁、鋁合金或不鏽鋼合金)形成。用絕緣板111以及插入於絕緣板111與腔室底124之間的接地板112使基板支撐基座107與腔室底124電絕緣。在一些實施例中,基板支撐基座107經配置以在基板處理期間調節基板支撐件105及設置於基板支撐件105上的基板103的溫度。在一些實施例中,基板支撐基座107中設置有一或多個冷卻通道(未圖示),該等冷卻通道流體地耦接至冷卻源(未圖示)(例如冷凍源或具有相對高的電阻的基板源)或與其流體連通。在其他實施例中,基板支撐件105包含加熱器(未圖示),用以加熱基板支撐件105及位於基板支撐件105上的基板103。
將偏壓電極104嵌入於基板支撐件105的介電材料中。通常,偏壓電極104由一或多個導電零件形成。導電零件通常包括網、箔、板或其組合。此處,偏壓電極104用作夾持極(亦即靜電夾持電極),其用於將基板103固定(例如靜電夾持)至基板支撐件105的基板接收表面105A。大體而言,偏壓電極104及設置於偏壓電極104與基板接收表面105A之間的介電材料層形成平行板狀結構。介電材料通常可具有約5 nF至約50 nF的有效電容C
E。通常,介電材料層(例如氮化鋁(AlN)、氧化鋁(Al
2O
3)等)具有約0.03 mm至約5 mm,例如約0.1 mm至約3 mm、約0.1 mm至約1 mm或約0.1 mm至約0.5 mm的厚度。將偏壓電極104電耦接至箝位網路,箝位網路對其提供夾持電壓。箝位網路包括DC電壓供應器173(例如高電壓DC供應器),該DC電壓供應器173耦接至設置於DC電壓供應器173與偏壓電極104之間的濾波器組件178的濾波器178A。在一個實例中,濾波器178A是低通濾波器,其經配置以在電漿處理期間阻止由處理腔室100中的其他偏壓部件提供的RF頻率及脈衝電壓(PV)波形訊號到達DC電壓供應器173。在一個配置中,靜態DC電壓在約-5000V與約5000V之間,且用導電體(例如同軸輸電線160)傳送該靜態DC電壓。在一些實施例中,偏壓電極104亦可利用下文進一步詳細描述的脈衝電壓偏壓方案中之一或多者使基板103相對於電漿101偏壓。
在一些配置中,基板支撐組件136進一步包含邊緣控制電極115。邊緣控制電極115由一或多個導電零件形成。導電零件通常包括網、箔、板或其組合。邊緣控制電極115位於邊緣環114下方,且包圍偏壓電極104及/或距偏壓電極104的中心有一距離。大體而言,對於經配置以處理圓形基板的處理腔室100,邊緣控制電極115為環形,由導電材料製成,並且經配置以包圍偏壓電極104的至少一部分。在一個配置中,當在平面圖中觀察基板支撐組件136的基板支撐表面時,邊緣控制電極115包圍或外接偏壓電極104,且偏壓電極104不與邊緣控制電極115直接電接觸。如第1圖所見,邊緣控制電極115位於基板支撐件105的區域內,藉由使用脈衝電壓(PV)波形產生器175使邊緣控制電極115偏壓。在一個配置中,如第1圖所示,藉由對自PV波形產生器175提供至偏壓電極104的訊號加以分割使邊緣控制電極115偏壓。在另一配置中,使邊緣控制電極115耦接至PV波形產生器175(第1圖未展示)並且藉由使用PV波形產生器175使邊緣控制電極115偏壓,該PV波形產生器不同於用於使電極104有偏壓的PV波形產生器175。在此配置中,PV波形產生器175提供的電壓波形訊號可得到單獨調整,且由此存在不同的波形特性,同時藉由使用自系統控制器126或RF或PV波形產生器中之一者提供的同步訊號受到同步,從而允許在PV波形產生器175中之每一者提供的同步PV波形脈衝中的同一階段期間提供RF波形。
DC功率系統183包含DC電壓供應器173、PV波形產生器175及電流源177。RF功率系統189包含射頻(RF)波形產生器173、阻抗匹配電路172及RF濾波器174。在一個實例中,如第1圖所示,輸電線163將RF波形產生器171的輸出電連接至阻抗匹配電路172、RF濾波器174及基板支撐基座107。如前文所提及,在電漿處理期間,DC電壓供應器173提供恆定的夾持電壓,而RF波形產生器171向處理區域傳送RF訊號,且PV波形產生器175在偏壓電極104處形成PV波形。對RF偏壓訊號施加足夠量的RF功率,本文中此亦稱為RF波形,將其提供至電極(例如基板支撐基座107),從而使處理腔室100的處理容積129中形成電漿101。在一個配置中,RF波形的頻率範圍在約1 MHz與約200 MHz之間,例如2 MHz與40MHz之間。
在一些實施例中,DC功率系統183進一步包含濾波器組件178,該濾波器組件178使DC功率系統183中容納的部件中之一或多者電絕緣。輸電線160將DC電壓供應器173的輸出電連接至濾波器組件178。輸電線161將PV波形產生器175的輸出電連接至濾波器組件178。輸電線162將電流源177的輸出連接至濾波器組件178。在一些實施例中,藉由使用設置於輸電線162中的開關(未圖示)將電流源177選擇性耦接至偏壓電極104,從而使電流源177在由PV波形產生器175產生的電壓波形中之一或多個階段(例如離子電流階段)期間向偏壓電極104傳送所要的電流。如第1圖所見,濾波器組件178包含多個單獨的濾波部件(亦即離散濾波器178A至178C),該等濾波部件中之每一者經由輸電線164電耦接至輸出節點。在替代配置中,濾波器組件178包含一個共用濾波器,該共用濾波器經由輸電線164電耦接至輸出節點。輸電線160至164包含導電體,其中包含同軸電纜的組合,例如與剛性同軸電纜串聯連接的撓性同軸電纜、絕緣高壓抗電暈佈線用電線、裸線、金屬棒、電連接器或上述的組合。
系統控制器126(本文亦稱為處理腔室控制器)包含中央處理單元(CPU)133、記憶體134及支援電路135。用系統控制器126控制用於處理基板103的製程序列。CPU是通用電腦處理器,其經配置以在工業設定中用於控制處理腔室及與其相關的子處理器。本文描述的記憶體134大體為非揮發性記憶體,可包括隨機存取記憶體、唯讀記憶體、硬碟驅動器或其他合適形式的本端或遠端的數位儲存器。支援電路135習知耦接至CPU 133,包含快取記憶體、時鐘電路、輸入/輸出子系統、電源、類似者及其組合。可將軟體指令(程式)及資料編碼並儲存於記憶體134中,以向CPU 133中的處理器發出指令。系統控制器126中的CPU 133可讀取的軟體程式(或電腦指令)決定電漿處理腔室組件10中的部件可執行哪些任務。
通常,系統控制器126中的CPU 133可讀取的程式包括代碼,在由CPU 133執行時,代碼執行與本文描述的電漿處理方案相關的任務。程式包含指令,該等指令用於控制電漿處理腔室組件10中的各個硬體及電子元件,以執行用於實施本文描述的方法的各個製程任務及各個製程序列。在一個實施例中,程式包含指令,該等指令用於執行下文結合第9圖及第10圖描述之操作中之一或多者。
第2圖圖示根據一或多個實施例的由於對處理腔室的偏壓電極104傳送脈衝電壓波形而在設置於處理腔室之基板支撐組件136之基板接收表面105A上之基板103處形成的兩個單獨的電壓波形。波形225是電漿處理期間在基板103處形成的非補償脈衝電壓(PV)波形的實例。波形230是使用電流源177的PV波形循環的「離子電流階段」部分期間藉由對處理腔室的偏壓電極104施加負斜率波形在基板103處形成的補償脈衝電壓(PV)波形的實例。可藉由在PV波形產生器175產生的脈衝電壓波形的離子電流階段期間施加負電壓斜坡替代地形成補償脈衝電壓(PV)波形。
在第2圖中,波形225及230包括兩個主要階段:離子電流階段及鞘崩潰階段。在電漿處理期間,可在基板103處交替地及/或單獨地形成波形225及230的兩個階段(離子電流階段及鞘崩潰階段)。在離子電流階段開始時,由於PV波形產生器175向偏壓電極104提供的PV波形的負向部分(例如離子電流部分)的傳送,基板103處形成電壓降,從而在基板103上方形成高電壓鞘。高電壓鞘使得在離子電流階段期間電漿產生的正離子向偏壓基板加速,且由此在RIE製程中控制電漿處理期間基板表面上發生的蝕刻製程的量及特性。在一些實施例中,希望離子電流階段大體而言包含脈衝電壓波形區域,該區域在基板處處達成在整個階段穩定或有微小變化的電壓,如第2圖的波形230所示。吾人將注意到離子電流階段期間基板處形成的電壓有顯著變化,如波形225的正斜率所示,此顯著變化將造成離子能量分佈(IED)出現不合需要的變化,並由此導致RIE製程期間基板中形成的蝕刻特徵具有不合需要的特性。
第3A圖是沿傳輸線(例如第1圖中的傳輸線163或166)量測的前向RF電壓波形331及相互調變失真(IMD)產生的RF電壓波形326的圖301。在一個實例中,由於RF功率系統189在約40.68 MHz的頻率下提供的RF電壓波形326及DC功率系統183提供的脈衝電壓波形(第3B圖圖示此兩者)的傳送及其之間形成的相互作用,在40 MHz +/- 400 kHz的頻率下在RF功率系統189中的節點作出量測,由此產生圖301。圖301包含完全由RF功率系統189中的部件提供的RF偏壓波形與DC功率系統183的PV波形產生器提供的脈衝電壓波形的傳送之間的相互作用形成的前向RF電壓波形331的包線370以及IMD產生的RF電壓波形326的包線376。
在處理期間,經由傳輸線(例如傳輸線165(第1圖))對處理腔室100的處理容積129中的負載(例如氣體)施加前向RF電壓波形331。如果負載的阻抗與源(例如RF訊號產生器)的阻抗未適當匹配,則波形的一部分可沿同一傳輸線以相反方向反射回。因此,為防止波形的大部分沿傳輸線反射回,需要藉由調整具有阻抗匹配電路172的部件中之一或多者及/或調整由RF波形產生器171提供的RF偏壓波形的頻率尋找匹配阻抗,從而在電漿處理期間將負載的阻抗與源匹配。為適當地匹配阻抗,在RF功率系統189中的節點,在受驅動RF頻率下量測前向RF電壓及反射RF電壓。用習知的RF功率輸送系統,使用習知的RF功率輸送方法作出的量測允許前向RF電壓波形331的包線370以及不在受驅動RF頻率之諧波頻率中之一者的IMD產生的RF電壓波形326的包線376形成。如第3A圖所示,IMD產生的RF電壓波形326的包線376的量值隨時間不合需要地變化,且自接近前向RF電壓波形331的包線370的量值的點變化至接近零RF功率的IMD產生的RF電壓波形326的包線376的量值。
第3B圖圖示傳送至偏壓電極104(第1圖)的脈衝電壓波形321及傳送至邊緣控制電極115(第1圖)的脈衝電壓波形322的實例,二者進一步包括施加在脈衝電壓波形321及322上施加的更高頻率的RF電壓波形326(例如40 MHz的RF訊號)。如上文結合第2圖所討論,脈衝電壓波形包括兩個主要階段:離子電流階段及鞘崩潰階段。在電漿處理期間,基板103處形成脈衝電壓波形的兩個階段(離子電流階段及鞘崩潰階段)。如上文所指出,在RIE製程中,蝕刻製程主要在脈衝電壓波形的第二階段(例如離子電流階段)期間發生。脈衝電壓波形的兩個階段期間出現的如第3A圖所見的IMD產生的RF功率分量的變化可導致基板與基板之間及/或腔室與腔室之間存在製程結果變化,並且可對腔室內的硬體部件及RF功率系統189造成損壞。
第4圖是圖示兩個不同的習知的電漿處理腔室中所見的寬頻反射百分比的圖。寬頻反射百分比用於量測由於電漿處理系統中的IMD及諧波反射的相對於RF源提供的前向RF功率量的反射功率量(亦即不提供至負載的功率量)。曲線405圖示反射RF功率的百分比,其為施加至第一處理腔室460中之電極之脈衝電壓波形的量值的函數。曲線410圖示反射RF功率的百分比,其為施加至第二處理腔室470中之電極之脈衝電壓波形的量值的函數,其中第一及第二處理腔室具有基本上相似的配置,且藉由在每一處理腔室中執行相同的電漿處理配方產生了繪圖結果。如圖表400所示,藉由使用習知的RF功率輸送系統(其使用習知的RF功率輸送方法),傳送至負載的RF功率的百分比將在腔室與腔室之間有變化,並尤其是在高脈衝波形電壓下。如第4圖所見,隨著脈衝電壓波形電壓的電壓位準增加,由於IMD而損失的能量的百分比增大,對此藉由在脈衝電壓波形的離子電流階段期間基板處形成的電壓量值加以決定。曲線405及410在約4 kV與8 kV之間增加到最大百分比。在此實例中,在包含約1500 W的RF功率的輸送、9 mTorr的腔室壓力、1000 Hz的脈衝電壓重複頻率以及59%的DC功率工作週期的電漿製程中,第一處理腔室460中的RF功率輸送部件中所見的由於IMD損失的能量百分比自約2 kV增大,在約4.5 kV達到峰值,並隨後在大於4.5 kV的電壓下開始減小。替代而言,當第二處理腔室470中運行同一製程配方時,第二處理腔室470中形成的反射RF功率的百分比在約2 kV至約6 kV之間增大,並隨後在約7 kV時減小。在此實例中,在較理想的更高脈衝波形電壓位準下,第一處理腔室460與第二處理腔室470之間的反射RF的差可為約百分之8。
第5A圖圖示施加至設置於處理腔室中之電極之脈衝RF波形501。脈衝RF波形501包括兩個階段:RF功率輸送階段512,其中在第一時間段(T
ON)內向處理腔室中的電極提供RF功率;及RF功率「關閉」階段517,其中在第二時間段(T
OFF)內不向該電極提供RF功率。第5B圖圖示根據一個實施例的由於輸送第5A圖所示的脈衝RF偏壓訊號以及脈衝電壓波形512及522而組合的脈衝電壓波形及脈衝RF偏壓訊號的圖。如關於第3B圖中的脈衝電壓波形321及322而類似地討論,在一個實例中,向處理腔室中的一或多個電極(例如分別向偏壓電極104及邊緣控制電極115)提供脈衝電壓波形521及522。
如第5A圖及第5B圖所示,使脈衝RF波形501與脈衝電壓波形521及522中之至少一者的輸送同步,並且脈衝RF波形501與離子電流階段期間的脈衝電壓波形的至少一部分重疊。如圖所示,RF功率輸送階段512且因此阻抗匹配電路172用於輸送由RF波形產生器171提供的RF功率的唯一時間是在所提供的脈衝電壓波形的穩定部分期間。在穩定及從RIE蝕刻角度而言的大部分蝕刻發生的關鍵階段期間,RF功率的輸送將防止阻抗匹配電路172試圖尋找匹配點,在匹配點處,負載的阻抗在脈衝電壓波形傳送的不同階段之間及期間快速變化。吾人認為在向處理腔室100中的電極提供RF功率時,藉由在離子電流階段開啟RF波形的脈衝化並且在鞘崩潰階段關閉其脈衝化,將藉由限制阻抗匹配電路172中所見的阻抗變化量而提高阻抗匹配電路的效能。因此,如果RF功率無脈衝化,且如第3B圖所示保持為恆定的功率,則量測的反射RF功率在第3A圖所示的鞘崩潰階段327與離子電流階段之間的量值有所變化。因此,在本文提供的揭示案的一個實施例中,脈衝RF波形501包含RF訊號,在脈衝電壓波形的離子電流階段的大部分中提供該RF訊號。在一些實施例中,RF功率輸送階段512的持續時間經配置以在離子電流階段的整個時間長度中持續。在一個配置中,藉由使用系統控制器126使RF功率輸送階段512期間提供的RF功率同步,使得在離子電流階段開始332與離子電流階段終點333之間延伸的脈衝電壓波形區中提供RF功率。在一個配置中,PV波形產生器與RF電源具有主從關係。其中主設備將提供時序訊號(例如方波TLL訊號),其觸發從設備,以在所要的時間傳送波形。舉例而言,PV波形產生器是主設備,而RF電源是從設備,從而在PV波形的所要部分期間傳送RF波形。舉例而言,RF電源是主設備,而PV波形產生器是從設備,從而在RF波形的所要部分期間傳送PV波形訊號。
在一些實施例中,僅在鞘崩潰階段期間傳送RF波形的情況下,離子能量分佈函數(IEDF)將比在離子電流階段期間傳送RF波形的情況窄,因為在形成鞘時不發生由於RF波形傳送而提供至電漿中的離子的能量加寬效應,這是電漿產生的離子向基板表面加速的階段。使用此RF波形傳送方法可更精確地控制波形脈衝的離子電流階段期間的離子能量。
在替代配置中,使脈衝RF波形501與脈衝電壓波形521及522中之至少一者的輸送同步,並且脈衝RF波形501與鞘崩潰階段期間的脈衝電壓波形的至少一部分重疊。在向處理腔室100中的電極提供RF功率時,藉由在鞘崩潰階段開啟RF波形的脈衝化並且在離子電流階段關閉其脈衝化,將藉由限制阻抗匹配電路172中所見的阻抗變化量而提高阻抗匹配電路的效能。因此,在本文提供的揭示案的一個實施例中,脈衝RF波形501包含RF訊號,在脈衝電壓波形的鞘崩潰階段的大部分中提供該RF訊號。
在一些實施例中,如第5B圖所示,RF功率輸送階段512的持續時間經配置以僅包含離子電流階段的持續時間的一部分。在此情況下,用系統控制器126使RF功率輸送階段512同步,使得在離子電流階段開始332後經過第一時延(T
DELAY)後傳送RF功率,並且使RF功率停止,從而在到達離子電流階段終點333之前可經過第二時延(T
PD)(或後RF功率輸送時延),且PV波形產生器開始產生下一脈衝電壓波形。第一時延(T
DELAY)可在脈衝電壓波形的離子電流階段的總長度的約1%與約20%之間變化,並且可有助於減少RF波形的傳送期間由於自鞘崩潰階段過渡至離子電流階段期間脈衝電壓波形發生自然變化(亦即「振鈴」)的IMD產生的反射功率的變化。第二時延(T
PD)可在脈衝電壓波形的離子電流階段的總長度的約0%與約10%之間變化,並且可有助於減少由於自離子電流階段開始過渡至鞘崩潰階段的變化的IMD產生的反射功率的任何變化。在一個實例中,第二時延(T
PD)為脈衝電壓波形的離子電流階段的總長度的0.1%至10%。在一些實施例中,離子電流階段佔脈衝電壓波形的總週期(T
TP)的約30%至約95%,並且通常大於脈衝電壓波形的總週期的約80%。脈衝電壓波形可包含在大於或等於100 kHz(例如大於約200 kHz或大於400 kHz或100 kHz與500 kHz之間)的重複頻率下傳送的一系列電壓脈衝。在一個實例中,脈衝電壓波形的總週期(T
TP)為約2.5微妙(µs)。無論在鞘崩潰階段還是離子電流階段期間提供RF波形,均可使反射回產生器的IMD功率大大減小(例如減小五倍以上),從而在對產生器內的輸出放大器部件施加壓力之前使產生器達到更高的傳送功率。
第6圖是圖示使電漿處理腔室(例如第1圖的處理腔室100)中的PV波形及RF波形同步的方法的圖。方法600包括藉由對電極施加PV波形處理基板,對電極施加脈衝RF波形以及使脈衝RF波形與PV波形同步的方法。
在活動602,方法600包括向電極(例如偏壓電極104)施加PV波形。PV波形可包含一系列電壓脈衝,其中一系列脈衝中之每一脈衝包含第一階段及第二階段。第一階段包含鞘崩潰階段,且第二階段包含處理期間基板處形成的離子電流階段。如第5B圖所指出及上文所討論,鞘崩潰階段包含在基板處量測到的大電容,而離子電流階段包含在基板處量測到的小電容。
在活動604,方法600包括在處理腔室的處理容積內產生電漿。在一些實施例中,脈衝RF波形用於將流入處理腔室100的處理容積129的一或多個氣體物種點燃,以藉由向處理腔室100內的一或多個電極傳送RF訊號在設置於基板支撐件的支撐表面上的基板上方形成電漿。在一些情況下,RF波形501包含頻率在1 MHz與60 MHz之間的RF訊號。在一個實例中,RF波形501包含頻率為40 MHz的RF訊號。活動602及604可基本上同時完成,或以任何所要的次序完成。
在活動606,方法600包括使脈衝RF波形與PV波形同步。如上文所討論,使脈衝RF波形脈衝化,使得脈衝RF波形的RF功率輸送階段512與PV波形的至少一個階段同步。在一個實施例中,為執行使脈衝RF波形及脈衝電壓波形同步的任務,系統控制器126向RF波形產生器171及PV波形產生器175傳送一或多個控制訊號,使得此等部件產生的波形中之每一者的傳送的時序可同步,例如上文結合第5A圖至第5B圖所類似地描述的同步。替代而言,在另一實施例中,RF波形產生器171用作主裝置,且由此向PV波形產生器175發送控制訊號,使得此等部件產生的波形中之每一者的傳送的時序可為同步的。在另一實施例中,PV波形產生器175用作主裝置,且由此向RF波形產生器171發送控制訊號,使得可同步此等部件產生的波形中之每一者的傳送的時序。
可使方法600中進行的活動執行一段時間,從而對設置於電漿處理腔室中的基板執行所要的電漿製程。
雖然上文涉及本揭示案的實施例,但可在不脫離基本範疇的情況下設計本揭示案的其他及另外的實施例,下文的請求項決定其範疇。
10:電漿處理腔室組件
100:處理腔室
101:電漿
103:基板
104:偏壓電極
105:基板支撐件
105A:基板接收表面
107:基板支撐基座
111:絕緣板
112:接地板
113:腔室體
114:邊緣環
115:邊緣控制電極
119:處理氣體源
122:側壁
123:腔室蓋
124:腔室底
126:系統控制器
128:氣體入口
129:處理容積
133:中央處理單元
134:記憶體
135:支援電路
136:基板支撐組件
160:輸電線
161:輸電線
162:輸電線
163:輸電線
164:輸電線
165:傳輸線
166:傳輸線
171:RF波形產生器
172:阻抗匹配電路
173:DC電壓供應器
174:RF濾波器
175:PV波形產生器
177:電流源
178:濾波器組件
178A:濾波器
178B:濾波器
178C:濾波器
182:氣體輸送系統
183:DC功率系統
185:穿孔
186:升舉銷
189:RF功率系統
225:波形
230:波形
301:圖
326:RF電壓波形
327:鞘崩潰階段
331:前向RF電壓波形
332:離子電流階段開始
333:離子電流階段終點
370:包線
376:包線
400:圖表
405:曲線
410:曲線
460:第一處理腔室
470:第二處理腔室
501:脈衝RF波形
512:RF功率輸送階段
517:RF功率「關閉」階段
521:脈衝電壓波形
522:脈衝電壓波形
600:方法
602:活動
604:活動
606:活動
T
DELAY:第一時延
T
OFF:第二時間段
T
ON:第一時間段
T
PD:第二時延
T
TP:總週期
為了詳細地理解本揭示案的上述特徵的方式,可參考實施例更特定地描述上文簡要概述的本揭示案,附圖說明實施例中的一些。然而,應指出,附圖僅圖示例示性實施例,並且因此不應認為其限制本揭示案的範疇,可涵蓋其他等效的實施例。
第1圖是根據一或多個實施例的經配置以實踐本文闡述之方法之處理系統的截面示意圖。
第2圖圖示根據一或多個實施例的由於對處理腔室內的一或多個電極傳送脈衝電壓波形而在設置於處理腔室之基板支撐組件上之基板處形成的兩個單獨的電壓波形。
第3A圖是根據一個實施例的沿耦接至處理腔室之一或多個電極之傳輸線量測的前向RF偏壓訊號及相互調變失真(IMD)訊號的圖。
第3B圖圖示根據一個實施例的經由耦接至處理腔室之一或多個電極之傳輸線輸送的脈衝電壓波形上施加的RF偏壓訊號。
第4圖是圖示根據一個實施例的兩個不同的習知的電漿處理腔室中所見的寬頻反射百分比的圖。
第5A圖圖示根據一個實施例的施加至處理腔室中之電極之脈衝RF偏壓訊號。
第5B圖圖示根據一個實施例的藉由將第5A圖所示之脈衝RF偏壓訊號以及脈衝電壓波形傳送至處理腔室的一或多個電極而形成的組合的脈衝電壓波形及脈衝RF偏壓訊號。
第6圖是圖示根據一個實施例之將電漿處理系統中之PV波形及RF偏壓波形同步的方法的流程圖。
為便於理解,在可能的情況下已使用相同的元件符號來標明圖中共同的相同要素。設想一個實施例的要素及特徵可在無進一步敘述的情況下有益地存在於其他實施例中。
國內寄存資訊(請依寄存機構、日期、號碼序列註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼序列註記)
無
331:前向RF電壓波形
332:離子電流階段開始
521:脈衝電壓波形
522:脈衝電壓波形
TDELAY:第一時延
TOFF:第二時間段
TON:第一時間段
TPD:第二時延
TTP:總週期
Claims (20)
- 一種電漿處理方法,包含: 對設置於一基板支撐件中的一或多個電極施加一脈衝電壓波形,該脈衝電壓波形包含一系列電壓脈衝,其中每一者包含一第一階段及一第二階段; 對該一或多個電極施加一脈衝射頻(RF)波形,以在一處理腔室的一處理區域中產生一電漿;及 使該脈衝RF波形與該脈衝電壓波形中之每一波形同步,使得僅在該脈衝電壓波形的每一波形的該第二階段的至少一部分期間提供該脈衝射頻(RF)波形的一RF波形。
- 如請求項1所述之方法,其中該第一階段包含一鞘崩潰階段,且該第二階段包含一離子電流階段。
- 如請求項1所述之方法,其中在大於或等於100 kHz的一頻率下傳送該系列電壓脈衝。
- 如請求項3所述之方法,其中該脈衝RF波形包含在等於該系列電壓脈衝的該頻率的一頻率下傳送的一系列RF脈衝。
- 如請求項1所述之方法,其中對一或多個電極施加該脈衝電壓波形之步驟進一步包括: 對設置於該基板支撐件中的一第一電極施加一第一脈衝電壓波形; 對設置於該基板支撐件中的一第二電極施加一第二脈衝電壓波形,其中該第一及第二脈衝電壓波形中各自具有一第一階段及一第二階段;及 使分別對該第一及第二電極施加該第一及第二脈衝電壓波形的該第一階段及第二階段同步, 其中該使該脈衝RF波形與該等脈衝電壓波形中之每一脈衝同步之步驟進一步包括:使該脈衝RF波形與該第一脈衝電壓波形中之每一脈衝同步及使該脈衝RF波形與該第二脈衝電壓波形中之每一脈衝同步。
- 如請求項5所述之方法,其中該第二電極包圍該第一電極。
- 如請求項1所述之方法,其中在經過一第一時延之後提供該脈衝RF波形的該RF波形,其中該第一時延開始於該脈衝電壓波形中之每一波形的該第一階段的一終點。
- 如請求項7所述之方法,其中該第一時延的一長度是該脈衝電壓波形的該第二階段的總長度的1%至20%。
- 如請求項1所述之方法,其中在經過一第二時延之後開始該脈衝電壓波形中之每一脈衝的第一階段,其中該第二時延的起點開始於該第二階段的該至少一部分期間提供該脈衝射頻(RF)波形的該RF波形的週期的一終點。
- 如請求項9所述之方法,其中該第二時延的一長度是該脈衝電壓波形的該第二階段的該總長度的0.1%至10%。
- 如請求項1所述之方法,其中該第二階段包含一鞘崩潰階段,且該第一階段包含一離子電流階段。
- 一種電漿處理系統,其包含: 一脈衝電壓波形產生器,其耦接至一第一電極; 一射頻波形產生器,其耦接至一第二電極,其中該射頻波形產生器經配置以在該電漿處理系統的一處理容積內產生一電漿; 一阻抗匹配電路,其耦接於該射頻波形產生器與該第二電極之間;及 一控制器,其具有經配置以執行儲存於記憶體中的使該系統執行以下的電腦可讀指令的一處理器: 藉由使用該脈衝電壓波形產生器對該第一電極施加一脈衝電壓波形,該脈衝電壓波形包含各自包含一第一階段及一第二階段的一系列電壓脈衝; 藉由使用該射頻波形產生器對該第二電極施加一脈衝射頻波形,以在一處理腔室的一處理區域中產生一電漿;及 使該脈衝RF波形與該脈衝電壓波形中之每一波形同步,使得僅在該脈衝電壓波形的每一波形的該第二階段的至少一部分期間提供該脈衝射頻(RF)波形的一RF波形。
- 如請求項12所述之電漿處理系統,其中該脈衝電壓波形的該第一階段包含一鞘崩潰階段,且該脈衝電壓波形的該第二階段包含一離子電流階段。
- 如請求項12所述之電漿處理系統,其中在大於或等於100 kHz的一頻率下傳送該系列電壓脈衝。
- 如請求項14所述之電漿處理系統,其中該脈衝RF波形包含在等於該系列電壓脈衝的該頻率的一頻率下傳送的一系列RF脈衝。
- 如請求項12所述之電漿處理系統,其中在一基板支撐件中設置該第一及第二電極。
- 如請求項16所述之電漿處理系統,其中該第二電極包圍該第一電極。
- 如請求項12所述之電漿處理系統,其中在經過一第一時延之後施加該脈衝RF波形的該RF波形,其中該第一時延開始於該脈衝電壓波形中之每一脈衝的該第一階段的一終點。
- 如請求項18所述之電漿處理系統,其中該第一時延的一長度是該脈衝電壓波形的該第二階段的總長度的1%至20%。
- 如請求項12所述之電漿處理系統,其中該脈衝電壓波形的該第二階段包含一鞘崩潰階段,且該脈衝電壓波形的該第一階段包含一離子電流階段。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163287433P | 2021-12-08 | 2021-12-08 | |
US63/287,433 | 2021-12-08 | ||
US17/884,423 | 2022-08-09 | ||
US17/884,423 US11694876B2 (en) | 2021-12-08 | 2022-08-09 | Apparatus and method for delivering a plurality of waveform signals during plasma processing |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202341223A true TW202341223A (zh) | 2023-10-16 |
Family
ID=86608022
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111144515A TW202341223A (zh) | 2021-12-08 | 2022-11-22 | 在電漿處理期間用於傳送複數個波形訊號之設備與方法 |
Country Status (3)
Country | Link |
---|---|
US (2) | US11694876B2 (zh) |
TW (1) | TW202341223A (zh) |
WO (1) | WO2023107205A1 (zh) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11798790B2 (en) * | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
Family Cites Families (508)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5018244A (zh) | 1973-06-13 | 1975-02-26 | ||
US4070589A (en) | 1976-10-29 | 1978-01-24 | The Singer Company | High speed-high voltage switching with low power consumption |
US4340462A (en) | 1981-02-13 | 1982-07-20 | Lam Research Corporation | Adjustable electrode plasma processing chamber |
US4504895A (en) | 1982-11-03 | 1985-03-12 | General Electric Company | Regulated dc-dc converter using a resonating transformer |
US4464223A (en) | 1983-10-03 | 1984-08-07 | Tegal Corp. | Plasma reactor apparatus and method |
US4585516A (en) | 1985-03-04 | 1986-04-29 | Tegal Corporation | Variable duty cycle, multiple frequency, plasma reactor |
US4683529A (en) | 1986-11-12 | 1987-07-28 | Zytec Corporation | Switching power supply with automatic power factor correction |
KR970003885B1 (ko) | 1987-12-25 | 1997-03-22 | 도오교오 에레구토론 가부시끼 가이샤 | 에칭 방법 및 그 장치 |
WO1991009150A1 (en) | 1989-12-15 | 1991-06-27 | Canon Kabushiki Kaisha | Method of and device for plasma treatment |
US4992919A (en) | 1989-12-29 | 1991-02-12 | Lee Chu Quon | Parallel resonant converter with zero voltage switching |
US5099697A (en) | 1990-04-02 | 1992-03-31 | Agar Corporation Ltd. | Two and three-phase flow measurement |
US5140510A (en) | 1991-03-04 | 1992-08-18 | Motorola, Inc. | Constant frequency power converter |
US5418707A (en) | 1992-04-13 | 1995-05-23 | The United States Of America As Represented By The United States Department Of Energy | High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs |
US5286297A (en) | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
KR100324792B1 (ko) | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
US5662770A (en) | 1993-04-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks |
JP2748213B2 (ja) | 1993-05-24 | 1998-05-06 | 日本レーザ電子株式会社 | プラズマ製膜装置 |
US5449410A (en) | 1993-07-28 | 1995-09-12 | Applied Materials, Inc. | Plasma processing apparatus |
KR100302167B1 (ko) | 1993-11-05 | 2001-11-22 | 히가시 데쓰로 | 플라즈마처리장치및플라즈마처리방법 |
US5451846A (en) | 1993-12-14 | 1995-09-19 | Aeg Automation Systems Corporation | Low current compensation control for thyristor armature power supply |
TW299559B (zh) | 1994-04-20 | 1997-03-01 | Tokyo Electron Co Ltd | |
US5651865A (en) | 1994-06-17 | 1997-07-29 | Eni | Preferential sputtering of insulators from conductive targets |
US20010000032A1 (en) | 1994-07-07 | 2001-03-15 | Smith John W. | Microelectronic element bonding with deformation of leads in rows |
US5554959A (en) | 1994-10-25 | 1996-09-10 | Vac-Com, Inc. | Linear power amplifier with a pulse density modulated switching power supply |
US5716534A (en) | 1994-12-05 | 1998-02-10 | Tokyo Electron Limited | Plasma processing method and plasma etching method |
US6133557A (en) | 1995-01-31 | 2000-10-17 | Kyocera Corporation | Wafer holding member |
JP3778299B2 (ja) | 1995-02-07 | 2006-05-24 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
US6085740A (en) | 1996-02-21 | 2000-07-11 | Aerogen, Inc. | Liquid dispensing apparatus and methods |
US5597438A (en) | 1995-09-14 | 1997-01-28 | Siemens Aktiengesellschaft | Etch chamber having three independently controlled electrodes |
US6253704B1 (en) | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
JPH09129612A (ja) | 1995-10-26 | 1997-05-16 | Tokyo Electron Ltd | エッチングガス及びエッチング方法 |
IT1289479B1 (it) | 1996-01-26 | 1998-10-15 | Schlafhorst & Co W | Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata |
US6252354B1 (en) | 1996-11-04 | 2001-06-26 | Applied Materials, Inc. | RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control |
US5770023A (en) | 1996-02-12 | 1998-06-23 | Eni A Division Of Astec America, Inc. | Etch process employing asymmetric bipolar pulsed DC |
US6055150A (en) | 1996-05-02 | 2000-04-25 | Applied Materials, Inc. | Multi-electrode electrostatic chuck having fuses in hollow cavities |
US5948704A (en) | 1996-06-05 | 1999-09-07 | Lam Research Corporation | High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
JP3220383B2 (ja) | 1996-07-23 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置及びその方法 |
JP3122618B2 (ja) | 1996-08-23 | 2001-01-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6214162B1 (en) | 1996-09-27 | 2001-04-10 | Tokyo Electron Limited | Plasma processing apparatus |
US5882424A (en) | 1997-01-21 | 1999-03-16 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field |
US5830330A (en) | 1997-05-22 | 1998-11-03 | Tokyo Electron Limited | Method and apparatus for low pressure sputtering |
JP3599564B2 (ja) | 1998-06-25 | 2004-12-08 | 東京エレクトロン株式会社 | イオン流形成方法及び装置 |
US6051114A (en) | 1997-06-23 | 2000-04-18 | Applied Materials, Inc. | Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition |
US5933314A (en) | 1997-06-27 | 1999-08-03 | Lam Research Corp. | Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks |
US6187685B1 (en) | 1997-08-01 | 2001-02-13 | Surface Technology Systems Limited | Method and apparatus for etching a substrate |
US6351683B1 (en) | 1997-09-17 | 2002-02-26 | Tokyo Electron Limited | System and method for monitoring and controlling gas plasma processes |
US5994878A (en) | 1997-09-30 | 1999-11-30 | Chartec Laboratories A/S | Method and apparatus for charging a rechargeable battery |
US20030103906A1 (en) | 1997-10-14 | 2003-06-05 | Smithkline Beecham Corporation | Metered dose inhaler having internal surfaces coated with fluorocarbon polymer |
EP1038042A1 (en) | 1997-10-15 | 2000-09-27 | Tokyo Electron Limited | Apparatus and method for utilizing a plasma density gradient to produce a flow of particles |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6043607A (en) | 1997-12-16 | 2000-03-28 | Applied Materials, Inc. | Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform |
US20010000337A1 (en) | 1998-01-16 | 2001-04-19 | Mizuno Corporation | Metal golf club head |
US6198616B1 (en) | 1998-04-03 | 2001-03-06 | Applied Materials, Inc. | Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system |
US6038849A (en) | 1998-07-07 | 2000-03-21 | Michael Nakhamkin | Method of operating a combustion turbine power plant using supplemental compressed air |
US6126778A (en) | 1998-07-22 | 2000-10-03 | Micron Technology, Inc. | Beat frequency modulation for plasma generation |
US6355992B1 (en) | 1998-08-11 | 2002-03-12 | Utron Inc. | High voltage pulse generator |
KR100443471B1 (ko) | 1998-09-18 | 2004-08-11 | 동경 엘렉트론 주식회사 | 플라즈마 처리 방법 |
US6125025A (en) | 1998-09-30 | 2000-09-26 | Lam Research Corporation | Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors |
US6117279A (en) | 1998-11-12 | 2000-09-12 | Tokyo Electron Limited | Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition |
US6849154B2 (en) | 1998-11-27 | 2005-02-01 | Tokyo Electron Limited | Plasma etching apparatus |
JP2000173982A (ja) | 1998-12-01 | 2000-06-23 | Matsushita Electric Ind Co Ltd | プラズマ処理装置およびプラズマ処理方法 |
JP3357313B2 (ja) | 1999-03-11 | 2002-12-16 | 住友特殊金属株式会社 | 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法 |
JP2000269196A (ja) | 1999-03-19 | 2000-09-29 | Toshiba Corp | プラズマ処理方法及びプラズマ処理装置 |
US6849193B2 (en) | 1999-03-25 | 2005-02-01 | Hoiman Hung | Highly selective process for etching oxide over nitride using hexafluorobutadiene |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6367413B1 (en) | 1999-06-15 | 2002-04-09 | Tokyo Electron Limited | Apparatus for monitoring substrate biasing during plasma processing of a substrate |
CN1241316C (zh) | 1999-07-13 | 2006-02-08 | 东京电子株式会社 | 产生感性耦合的等离子的射频电源 |
EP1282909A1 (en) | 1999-08-02 | 2003-02-12 | Advanced Energy Industries, Inc. | Enhanced electron emissive surfaces for a thin film deposition system using ion sources |
DE19937859C2 (de) | 1999-08-13 | 2003-06-18 | Huettinger Elektronik Gmbh | Elektrische Versorgungseinheit für Plasmaanlagen |
DE60041341D1 (de) | 1999-08-17 | 2009-02-26 | Tokyo Electron Ltd | Gepulstes plasmabehandlungsverfahren und vorrichtung |
US6818103B1 (en) | 1999-10-15 | 2004-11-16 | Advanced Energy Industries, Inc. | Method and apparatus for substrate biasing in multiple electrode sputtering systems |
JP4021601B2 (ja) | 1999-10-29 | 2007-12-12 | 株式会社東芝 | スパッタ装置および成膜方法 |
US6201208B1 (en) | 1999-11-04 | 2001-03-13 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma processing with control of ion energy distribution at the substrates |
WO2001052302A1 (en) | 2000-01-10 | 2001-07-19 | Tokyo Electron Limited | Segmented electrode assembly and method for plasma processing |
TW507256B (en) | 2000-03-13 | 2002-10-21 | Mitsubishi Heavy Ind Ltd | Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus |
JP4454781B2 (ja) | 2000-04-18 | 2010-04-21 | 東京エレクトロン株式会社 | プラズマ処理装置 |
GB2364030A (en) | 2000-06-29 | 2002-01-16 | Gibbs Tech Ltd | Vehicle suspension powered when towed |
JP4559595B2 (ja) | 2000-07-17 | 2010-10-06 | 東京エレクトロン株式会社 | 被処理体の載置装置及びプラズマ処理装置 |
JP4590031B2 (ja) | 2000-07-26 | 2010-12-01 | 東京エレクトロン株式会社 | 被処理体の載置機構 |
US6483731B1 (en) | 2000-07-31 | 2002-11-19 | Vanner, Inc. | Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section |
US7183177B2 (en) | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US6485572B1 (en) | 2000-08-28 | 2002-11-26 | Micron Technology, Inc. | Use of pulsed grounding source in a plasma reactor |
WO2002077599A2 (en) | 2000-11-06 | 2002-10-03 | The Johns Hopkins University | Rapid prototype wind tunnel model and method of making same |
JP3897582B2 (ja) | 2000-12-12 | 2007-03-28 | キヤノン株式会社 | 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置 |
US6794141B2 (en) | 2000-12-22 | 2004-09-21 | Arcturus Bioscience, Inc. | Nucleic acid amplification |
WO2002054835A2 (en) | 2001-01-08 | 2002-07-11 | Tokyo Electron Limited | Addition of power at selected harmonics of plasma processor drive frequency |
JPWO2002059954A1 (ja) | 2001-01-25 | 2004-10-14 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US6777037B2 (en) | 2001-02-21 | 2004-08-17 | Hitachi, Ltd. | Plasma processing method and apparatus |
US6741446B2 (en) | 2001-03-30 | 2004-05-25 | Lam Research Corporation | Vacuum plasma processor and method of operating same |
US7146260B2 (en) | 2001-04-24 | 2006-12-05 | Medius, Inc. | Method and apparatus for dynamic configuration of multiprocessor system |
PT1253216E (pt) | 2001-04-27 | 2004-04-30 | Europ Economic Community | Metodo e aparelhagem para tratamento sequencial por plasma |
JP4819244B2 (ja) | 2001-05-15 | 2011-11-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20030000260A1 (en) | 2001-06-25 | 2003-01-02 | Roba Giacomo Stefano | Device for manufacturing a preform for optical fibres through chemical deposition |
JP4945033B2 (ja) | 2001-06-27 | 2012-06-06 | 日立プラズマディスプレイ株式会社 | プラズマディスプレイ装置 |
US6685001B2 (en) | 2001-06-29 | 2004-02-03 | Inventio Ag | Escalator or moving walkway with overhead support |
US6533413B2 (en) | 2001-06-29 | 2003-03-18 | Jeffrey Wright | Neck leash retaining device for eye wear |
CN1393992A (zh) | 2001-07-02 | 2003-01-29 | 朗迅科技公司 | 包含反馈回路的延迟补偿电路 |
DE10136259A1 (de) | 2001-07-25 | 2003-02-20 | Oce Printing Systems Gmbh | Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte |
TWI282658B (en) | 2001-10-23 | 2007-06-11 | Delta Electronics Inc | A parallel connection system of DC/AC voltage converter |
KR100775175B1 (ko) | 2001-10-31 | 2007-11-12 | 동경 엘렉트론 주식회사 | 높은 어스펙트비의 반도체장치 에칭방법 |
AU2003205175A1 (en) | 2002-01-15 | 2003-07-30 | Cardinal Ig Company | Method and apparatus for handling fragile bars |
US6768621B2 (en) | 2002-01-18 | 2004-07-27 | Solectria Corporation | Contactor feedback and precharge/discharge circuit |
DE10211609B4 (de) | 2002-03-12 | 2009-01-08 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last |
US7307275B2 (en) | 2002-04-04 | 2007-12-11 | D-Wave Systems Inc. | Encoding and error suppression for superconducting quantum computers |
US20040000409A1 (en) | 2002-06-26 | 2004-01-01 | Abbott Eugene A. | Method and apparatus for containing wildfires |
JP3925639B2 (ja) | 2002-06-27 | 2007-06-06 | ブラザー工業株式会社 | インクジェット記録ヘッド |
US6758681B2 (en) | 2002-07-01 | 2004-07-06 | Morgan T. Johnson, Jr. | Electrical cable interconnections for reduced impedance mismatches |
US6808607B2 (en) | 2002-09-25 | 2004-10-26 | Advanced Energy Industries, Inc. | High peak power plasma pulsed supply with arc handling |
DE10250229B4 (de) | 2002-10-29 | 2004-08-05 | Hüttinger Elektronik GmbH & Co. KG | Leistungsregelung für Hochfrequenzverstärker |
US6896775B2 (en) | 2002-10-29 | 2005-05-24 | Zond, Inc. | High-power pulsed magnetically enhanced plasma processing |
US7021229B2 (en) | 2002-11-26 | 2006-04-04 | Joe Mayers Excavating, Llc | Sectional barge and methods of use |
DE50212581D1 (de) | 2002-12-10 | 2008-09-11 | Siemens Ag | Gasturbine |
US7206189B2 (en) | 2002-12-20 | 2007-04-17 | Advanced Energy Technology Inc. | Composite electrode and current collectors and processes for making the same |
DE10306347A1 (de) | 2003-02-15 | 2004-08-26 | Hüttinger Elektronik GmbH & Co. KG | Leistungszufuhrregeleinheit |
DE10312549B3 (de) | 2003-03-21 | 2004-08-26 | Hüttinger Elektronik Gmbh + Co. Kg | Gasentladungsprozess-Spannungsversorgungseinheit |
US7126808B2 (en) | 2003-04-01 | 2006-10-24 | Varian Semiconductor Equipment Associates, Inc. | Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping |
JP4031732B2 (ja) | 2003-05-26 | 2008-01-09 | 京セラ株式会社 | 静電チャック |
US7053529B2 (en) | 2003-07-01 | 2006-05-30 | Texzec, Inc. | Torsional acoustic wave sensor |
US6997347B2 (en) | 2003-07-02 | 2006-02-14 | Industrial Scientific Corporation | Apparatus and method for generating calibration gas |
US20050001521A1 (en) | 2003-07-02 | 2005-01-06 | Illingworth Mckinnon Rebecca | Computer cover |
US20050000925A1 (en) | 2003-07-03 | 2005-01-06 | Michael Mueller | Stacker |
DE502004010915D1 (de) | 2003-07-11 | 2010-04-29 | Merck Patent Gmbh | Flüssigkristallines medium mit monofluor-terphenylverbindungen |
DE10336881B4 (de) | 2003-08-11 | 2008-05-15 | Hüttinger Elektronik GmbH & Co. KG | Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung |
JP4418193B2 (ja) | 2003-08-22 | 2010-02-17 | 東京エレクトロン株式会社 | パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置 |
DE10341717A1 (de) | 2003-09-10 | 2005-05-25 | Applied Films Gmbh & Co. Kg | Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden |
US7115185B1 (en) | 2003-09-16 | 2006-10-03 | Advanced Energy Industries, Inc. | Pulsed excitation of inductively coupled plasma sources |
US8204055B2 (en) | 2003-10-07 | 2012-06-19 | Thomson Licensing | Multicast over unicast in a network |
JP4377761B2 (ja) | 2004-07-01 | 2009-12-02 | 株式会社日立ハイテクノロジーズ | 液体クロマトグラフ装置 |
US7633319B2 (en) | 2003-11-28 | 2009-12-15 | Advantest Corporation | Digital QP detecting apparatus, spectrum analyzer having the same, and digital QP detecting method |
US7645341B2 (en) | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
US7042801B1 (en) | 2004-02-04 | 2006-05-09 | Seismoelectric Soundings, Inc. | System for geophysical prospecting using induce electrokinetic effect |
US7700474B2 (en) | 2006-04-07 | 2010-04-20 | Tokyo Electron Limited | Barrier deposition using ionized physical vapor deposition (iPVD) |
US6972524B1 (en) | 2004-03-24 | 2005-12-06 | Lam Research Corporation | Plasma processing system control |
DE102004024805B4 (de) | 2004-05-17 | 2015-11-12 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung |
JP4401867B2 (ja) | 2004-05-20 | 2010-01-20 | 株式会社沖データ | 画像形成装置 |
FR2871922A1 (fr) | 2004-06-17 | 2005-12-23 | St Microelectronics Sa | Cellule de memoire vive a encombrement et complexite reduits |
US7740704B2 (en) | 2004-06-25 | 2010-06-22 | Tokyo Electron Limited | High rate atomic layer deposition apparatus and method of using |
US20060001398A1 (en) | 2004-06-30 | 2006-01-05 | Nguyen Don J | Fuel cell-based charger for computer system |
US7188896B2 (en) | 2004-06-30 | 2007-03-13 | General Motors Corporation | Headphone structure and storage therefor |
JP4186884B2 (ja) | 2004-06-30 | 2008-11-26 | ブラザー工業株式会社 | インクジェットプリンタヘッド |
DE102004044797B4 (de) | 2004-09-16 | 2008-02-07 | Hüttinger Elektronik GmbH & Co. KG | Anregungsanordnung für Induktionsöfen |
US7601246B2 (en) | 2004-09-29 | 2009-10-13 | Lam Research Corporation | Methods of sputtering a protective coating on a semiconductor substrate |
KR20070072571A (ko) | 2004-11-04 | 2007-07-04 | 가부시키가이샤 알박 | 정전 척 장치 |
US7888333B2 (en) | 2005-02-04 | 2011-02-15 | Cp Kelco U.S., Inc. | Targeted gene deletions for polysaccharide slime formers |
EP1691481B1 (de) | 2005-02-12 | 2014-04-02 | TRUMPF Hüttinger GmbH + Co. KG | Amplitudenmodulator |
JP4302170B2 (ja) | 2005-03-04 | 2009-07-22 | 富士通株式会社 | パケット中継装置 |
ATE344973T1 (de) | 2005-03-10 | 2006-11-15 | Huettinger Elektronik Gmbh | Vakuumplasmagenerator |
US7535688B2 (en) | 2005-03-25 | 2009-05-19 | Tokyo Electron Limited | Method for electrically discharging substrate, substrate processing apparatus and program |
EP1708239B1 (de) | 2005-03-30 | 2011-03-02 | HÜTTINGER Elektronik GmbH + Co. KG | Vakuumplasmagenerator |
US20070001137A1 (en) | 2005-04-18 | 2007-01-04 | Saint-Gobain Perfomance Plastics Corporation | Precision metering valve |
US7305311B2 (en) | 2005-04-22 | 2007-12-04 | Advanced Energy Industries, Inc. | Arc detection and handling in radio frequency power applications |
KR100810320B1 (ko) | 2005-06-16 | 2008-03-04 | 삼성전자주식회사 | 디지털 방송 재생기가 방송 중에 제공되는 전화번호를이용하여 발신하는 방법 및 그 디지털 방송 시스템 |
US7593270B2 (en) | 2005-06-30 | 2009-09-22 | Seiko Epson Corporation | Integrated circuit device and electronic instrument |
US7288757B2 (en) | 2005-09-01 | 2007-10-30 | Micron Technology, Inc. | Microelectronic imaging devices and associated methods for attaching transmissive elements |
JP4418424B2 (ja) | 2005-11-21 | 2010-02-17 | 日本リライアンス株式会社 | 交流電源装置およびその装置におけるアーク抑制方法 |
JP4827081B2 (ja) | 2005-12-28 | 2011-11-30 | 東京エレクトロン株式会社 | プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体 |
US7708813B2 (en) | 2005-12-29 | 2010-05-04 | Environmental Management Confederation, Inc. | Filter media for active field polarized media air cleaner |
WO2007100760A2 (en) | 2006-02-27 | 2007-09-07 | The Penn State Research Foundation | Detecting quadrupole resonance signals using high temperature superconducting resonators |
JP4597894B2 (ja) | 2006-03-31 | 2010-12-15 | 東京エレクトロン株式会社 | 基板載置台および基板処理装置 |
US7588667B2 (en) | 2006-04-07 | 2009-09-15 | Tokyo Electron Limited | Depositing rhuthenium films using ionized physical vapor deposition (IPVD) |
US7692936B2 (en) | 2006-05-05 | 2010-04-06 | Huettinger Elektronik Gmbh + Co. Kg | Medium frequency power generator |
JP4887913B2 (ja) | 2006-06-02 | 2012-02-29 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
US7306223B1 (en) | 2006-06-28 | 2007-12-11 | James Ting | Marble game machine |
JP2008006633A (ja) | 2006-06-28 | 2008-01-17 | Komori Corp | 印刷機のインキツボキー位置調整方法および装置 |
US7642997B2 (en) | 2006-06-28 | 2010-01-05 | Eastman Kodak Company | Active matrix display compensation |
US7714873B2 (en) | 2006-06-29 | 2010-05-11 | Microsoft Corporation | Strategies for compressing textures |
US20080000125A1 (en) | 2006-06-29 | 2008-01-03 | Wen-Kan Chang | Photo Frame Structure |
US7349213B2 (en) | 2006-06-29 | 2008-03-25 | International Business Machines Corporation | Coolant control unit, and cooled electronics system and method employing the same |
KR101287208B1 (ko) | 2006-06-30 | 2013-07-16 | 전북대학교산학협력단 | 액정표시장치 |
WO2008063245A2 (en) | 2006-07-11 | 2008-05-29 | Thomas Jefferson University | Cruciferae-based plant system for the generation and production of recombinant proteins and vaccines |
US8083961B2 (en) | 2006-07-31 | 2011-12-27 | Tokyo Electron Limited | Method and system for controlling the uniformity of a ballistic electron beam by RF modulation |
US7934765B2 (en) | 2006-09-08 | 2011-05-03 | Dr. Ing. H.C. F. Porsche Aktiengesellschaft | Top for a convertible |
EP1912266A1 (en) | 2006-10-10 | 2008-04-16 | STMicroelectronics S.r.l. | Method of forming phase change memory devices in a pulsed DC deposition chamber |
JP5171010B2 (ja) | 2006-10-27 | 2013-03-27 | 東京エレクトロン株式会社 | 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム |
DE102006052061B4 (de) | 2006-11-04 | 2009-04-23 | Hüttinger Elektronik Gmbh + Co. Kg | Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren |
DE102006052060B4 (de) | 2006-11-04 | 2009-11-26 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Anordnung zur Anregung einer Gaslaseranordnung |
JP4864661B2 (ja) | 2006-11-22 | 2012-02-01 | 東京エレクトロン株式会社 | 太陽電池の製造方法及び太陽電池の製造装置 |
US7795817B2 (en) | 2006-11-24 | 2010-09-14 | Huettinger Elektronik Gmbh + Co. Kg | Controlled plasma power supply |
KR101312292B1 (ko) | 2006-12-11 | 2013-09-27 | 엘아이지에이디피 주식회사 | 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법 |
US8422193B2 (en) | 2006-12-19 | 2013-04-16 | Axcelis Technologies, Inc. | Annulus clamping and backside gas cooled electrostatic chuck |
JP5252613B2 (ja) | 2006-12-25 | 2013-07-31 | 国立大学法人東北大学 | イオン注入装置およびイオン注入方法 |
US7718538B2 (en) | 2007-02-21 | 2010-05-18 | Applied Materials, Inc. | Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates |
US8217299B2 (en) | 2007-02-22 | 2012-07-10 | Advanced Energy Industries, Inc. | Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch |
DE102007009070A1 (de) | 2007-02-23 | 2008-08-28 | OCé PRINTING SYSTEMS GMBH | Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer |
EP1978542B1 (de) | 2007-03-08 | 2010-12-29 | HÜTTINGER Elektronik GmbH + Co. KG | Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses |
EP1968188B1 (de) | 2007-03-09 | 2012-08-08 | HÜTTINGER Elektronik GmbH + Co. KG | Klasse-D Verstärkeranordnung |
US8055203B2 (en) | 2007-03-14 | 2011-11-08 | Mks Instruments, Inc. | Multipoint voltage and current probe system |
EP1995434A1 (en) | 2007-05-25 | 2008-11-26 | Magneti Marelli Powertrain S.p.A. | Control method in the case of a fault in an internal combustion engine fitted with a servo-assisted butterfly valve |
JP5018244B2 (ja) | 2007-05-30 | 2012-09-05 | 住友大阪セメント株式会社 | 静電チャック |
US7810567B2 (en) | 2007-06-27 | 2010-10-12 | Schlumberger Technology Corporation | Methods of producing flow-through passages in casing, and methods of using such casing |
US7758764B2 (en) | 2007-06-28 | 2010-07-20 | Lam Research Corporation | Methods and apparatus for substrate processing |
US20090002952A1 (en) | 2007-06-28 | 2009-01-01 | Ralph Mesmer | Interference mitigation |
US20090004836A1 (en) | 2007-06-29 | 2009-01-01 | Varian Semiconductor Equipment Associates, Inc. | Plasma doping with enhanced charge neutralization |
US7937864B2 (en) | 2007-06-29 | 2011-05-10 | Suresh Mahajan | Device for coordinating illumination of an image and sound associated with use of the image |
US8140292B2 (en) | 2007-09-18 | 2012-03-20 | Wisconsin Alumni Research Foundation | Method and system for controlling a voltage waveform |
US8133359B2 (en) | 2007-11-16 | 2012-03-13 | Advanced Energy Industries, Inc. | Methods and apparatus for sputtering deposition using direct current |
KR20100095560A (ko) | 2007-11-26 | 2010-08-31 | 도쿄엘렉트론가부시키가이샤 | 미소 구조체 검사 장치 및 미소 구조체 검사 방법 |
US8044350B2 (en) | 2007-11-29 | 2011-10-25 | Washington University | Miniaturized ultrafine particle sizer and monitor |
HRP20211382T1 (hr) | 2007-12-14 | 2021-12-24 | Edwards Lifesciences Corporation | Okvir za pričvršćivanje listića za protetski zalistak |
JP5224837B2 (ja) | 2008-02-01 | 2013-07-03 | 株式会社東芝 | 基板のプラズマ処理装置及びプラズマ処理方法 |
DE102008012089B4 (de) | 2008-02-29 | 2015-06-11 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens |
US7977256B2 (en) | 2008-03-06 | 2011-07-12 | Tokyo Electron Limited | Method for removing a pore-generating material from an uncured low-k dielectric film |
GB2458473A (en) | 2008-03-17 | 2009-09-23 | Imuthes Ltd | 3'-O-allyl- and 3'-O-carboxymethyl- 2'-aminosaccharide derivatives, & amides thereof with peptides, as adjuvants |
US20090236214A1 (en) | 2008-03-20 | 2009-09-24 | Karthik Janakiraman | Tunable ground planes in plasma chambers |
US8391025B2 (en) | 2008-05-02 | 2013-03-05 | Advanced Energy Industries, Inc. | Preemptive protection for a power convertor |
KR101506264B1 (ko) | 2008-06-13 | 2015-03-30 | 삼성전자주식회사 | 발광 소자, 발광 장치 및 상기 발광 소자의 제조 방법 |
US10780955B2 (en) | 2008-06-20 | 2020-09-22 | Seaone Holdings, Llc | Comprehensive system for the storage and transportation of natural gas in a light hydrocarbon liquid medium |
JP5429772B2 (ja) | 2008-06-30 | 2014-02-26 | 株式会社アルバック | 電源装置 |
US8460567B2 (en) | 2008-07-01 | 2013-06-11 | Tokyo Electron Limited | Method and system for etching a MEM device |
US8133291B2 (en) | 2008-07-03 | 2012-03-13 | Hobart Brothers Company | Air filtration for aircraft ground support equipment |
US20100001934A1 (en) | 2008-07-04 | 2010-01-07 | Hon-Yuan Leo | Display Panel and Multi-Branch Pixel Structure Thereof |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US8895942B2 (en) | 2008-09-16 | 2014-11-25 | Tokyo Electron Limited | Dielectric treatment module using scanning IR radiation source |
JP5295833B2 (ja) | 2008-09-24 | 2013-09-18 | 株式会社東芝 | 基板処理装置および基板処理方法 |
US8313664B2 (en) | 2008-11-21 | 2012-11-20 | Applied Materials, Inc. | Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber |
KR101510775B1 (ko) | 2008-11-24 | 2015-04-10 | 삼성전자주식회사 | 동기식 펄스 플라즈마 에칭 장비 |
JP5295748B2 (ja) | 2008-12-18 | 2013-09-18 | 東京エレクトロン株式会社 | 構成部品の洗浄方法及び記憶媒体 |
US7825719B2 (en) | 2008-12-29 | 2010-11-02 | Advanced Energy Industries, Inc. | System and method for wideband phase-adjustable common excitation |
US8137345B2 (en) | 2009-01-05 | 2012-03-20 | Peak Surgical, Inc. | Electrosurgical devices for tonsillectomy and adenoidectomy |
JP5221403B2 (ja) | 2009-01-26 | 2013-06-26 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置および記憶媒体 |
US9254168B2 (en) | 2009-02-02 | 2016-02-09 | Medtronic Advanced Energy Llc | Electro-thermotherapy of tissue using penetrating microelectrode array |
US8383001B2 (en) | 2009-02-20 | 2013-02-26 | Tokyo Electron Limited | Plasma etching method, plasma etching apparatus and storage medium |
DE102009001355B4 (de) | 2009-03-05 | 2015-01-22 | TRUMPF Hüttinger GmbH + Co. KG | Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung |
WO2010102351A1 (en) | 2009-03-13 | 2010-09-16 | Thf Innovation Pty Ltd | Quick release garment |
US8313612B2 (en) | 2009-03-24 | 2012-11-20 | Lam Research Corporation | Method and apparatus for reduction of voltage potential spike during dechucking |
US8382999B2 (en) | 2009-03-26 | 2013-02-26 | Applied Materials, Inc. | Pulsed plasma high aspect ratio dielectric process |
JP5395491B2 (ja) | 2009-03-31 | 2014-01-22 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
US8525432B2 (en) | 2009-04-09 | 2013-09-03 | Usai, Llc. | System and method for controlling an output illumination level of a lighting system |
CN101872733B (zh) | 2009-04-24 | 2012-06-27 | 中微半导体设备(上海)有限公司 | 感测和移除被加工半导体工艺件的残余电荷的系统和方法 |
JP5227245B2 (ja) | 2009-04-28 | 2013-07-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9767988B2 (en) | 2010-08-29 | 2017-09-19 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
US8716984B2 (en) | 2009-06-29 | 2014-05-06 | Advanced Energy Industries, Inc. | Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load |
TWI407626B (zh) | 2009-07-02 | 2013-09-01 | Univ Nat Taiwan | 序列旋轉饋入電路及其設計方法 |
JP4831215B2 (ja) | 2009-07-06 | 2011-12-07 | ブラザー工業株式会社 | 画像データ処理装置及び液体吐出装置 |
US20110009999A1 (en) * | 2009-07-13 | 2011-01-13 | Applied Materials, Inc. | Plasma reactor with rf generator and automatic impedance match with minimum reflected power-seeking control |
JP5496568B2 (ja) | 2009-08-04 | 2014-05-21 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
PL2416629T3 (pl) | 2009-08-07 | 2021-11-02 | Kyosan Electric Mfg. Co., Ltd. | Sposób sterowania impulsowego mocą o wysokiej częstotliwości oraz urządzenie w postaci zasilacza impulsowego o wysokiej częstotliwości |
US8419959B2 (en) | 2009-09-18 | 2013-04-16 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US9766277B2 (en) | 2009-09-25 | 2017-09-19 | Belkin International, Inc. | Self-calibrating contactless power consumption sensing |
JP5960384B2 (ja) | 2009-10-26 | 2016-08-02 | 新光電気工業株式会社 | 静電チャック用基板及び静電チャック |
KR101757922B1 (ko) | 2009-10-27 | 2017-07-14 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
JP5461148B2 (ja) * | 2009-11-05 | 2014-04-02 | 株式会社日立ハイテクノロジーズ | プラズマエッチング方法及び装置 |
US8512988B2 (en) | 2009-11-10 | 2013-08-20 | Massachusetts Institute Of Technology | Microbial engineering for the production of chemical and pharmaceutical products from the isoprenoid pathway |
US8284580B2 (en) | 2009-12-10 | 2012-10-09 | Emerson Electric Co. | Power supply discontinuous input voltage extender |
DE102009054987A1 (de) | 2009-12-18 | 2011-06-22 | HÜTTINGER Elektronik GmbH + Co. KG, 79111 | Verfahren zur Erzeugung von Wechselstromleistung |
US20110177694A1 (en) | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
US8342105B2 (en) | 2010-01-25 | 2013-01-01 | Freightcar America, Inc. | Open top hopper railcar with lading shedding top chord and corner cap and integrated door operating controls with manual override |
US9373521B2 (en) | 2010-02-24 | 2016-06-21 | Tokyo Electron Limited | Etching processing method |
JP5632626B2 (ja) | 2010-03-04 | 2014-11-26 | 東京エレクトロン株式会社 | 自動整合装置及びプラズマ処理装置 |
US9592090B2 (en) | 2010-03-11 | 2017-03-14 | Medtronic Advanced Energy Llc | Bipolar electrosurgical cutter with position insensitive return electrode contact |
CN102792197B (zh) | 2010-03-18 | 2015-07-29 | 皇家飞利浦电子股份有限公司 | 光混合模块和包括这种光混合模块的灯具 |
WO2011127252A2 (en) | 2010-04-07 | 2011-10-13 | Proteus Biomedical, Inc. | Miniature ingestible device |
JP5660804B2 (ja) | 2010-04-30 | 2015-01-28 | 東京エレクトロン株式会社 | カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置 |
US8361906B2 (en) | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
JP2013534970A (ja) | 2010-06-11 | 2013-09-09 | 東京エレクトロン株式会社 | 化学気相成長を制御するための装置及び方法 |
JP5558224B2 (ja) | 2010-06-23 | 2014-07-23 | 東京エレクトロン株式会社 | 基板処理方法 |
US8336776B2 (en) | 2010-06-30 | 2012-12-25 | Trijicon, Inc. | Aiming system for weapon |
US20120000883A1 (en) | 2010-06-30 | 2012-01-05 | Khaled Adi | Container with internal lift |
US20120000813A1 (en) | 2010-07-01 | 2012-01-05 | Robert Soto | System and Method for Casualty Treatment and Evacuation in Response to an Emergency Situation |
DE102010031568B4 (de) | 2010-07-20 | 2014-12-11 | TRUMPF Hüttinger GmbH + Co. KG | Arclöschanordnung und Verfahren zum Löschen von Arcs |
US9728429B2 (en) | 2010-07-27 | 2017-08-08 | Lam Research Corporation | Parasitic plasma prevention in plasma processing chambers |
US8828883B2 (en) | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
JP5820661B2 (ja) | 2010-09-14 | 2015-11-24 | 東京エレクトロン株式会社 | マイクロ波照射装置 |
US9161835B2 (en) | 2010-09-30 | 2015-10-20 | BioStable Science & Engineering, Inc. | Non-axisymmetric aortic valve devices |
DE102010048809A1 (de) | 2010-10-20 | 2012-04-26 | Hüttinger Elektronik Gmbh + Co. Kg | Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung |
DE102010048810A1 (de) | 2010-10-20 | 2012-04-26 | Hüttinger Elektronik Gmbh + Co. Kg | System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse |
US9123762B2 (en) | 2010-10-22 | 2015-09-01 | Applied Materials, Inc. | Substrate support with symmetrical feed structure |
EP2463890A1 (en) | 2010-12-08 | 2012-06-13 | Applied Materials, Inc. | Generating plasmas in pulsed power systems |
EE05753B1 (et) | 2010-12-14 | 2015-07-15 | Shanghai Huachang Environmental Protection Co., Ltd. | Meetod ja seade põlevkivi utmissüsteemi õli-vesi-sette retsirkuleerimiseks |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8809199B2 (en) | 2011-02-12 | 2014-08-19 | Tokyo Electron Limited | Method of etching features in silicon nitride films |
US8884525B2 (en) | 2011-03-22 | 2014-11-11 | Advanced Energy Industries, Inc. | Remote plasma source generating a disc-shaped plasma |
JP5765528B2 (ja) | 2011-03-25 | 2015-08-19 | 日立工機株式会社 | マフラー及びエンジン作業機 |
CN104176334B (zh) | 2011-04-28 | 2016-03-16 | 株式会社汤山制作所 | 药剂检查装置 |
US9263241B2 (en) | 2011-05-10 | 2016-02-16 | Advanced Energy Industries, Inc. | Current threshold response mode for arc management |
US8979842B2 (en) | 2011-06-10 | 2015-03-17 | Medtronic Advanced Energy Llc | Wire electrode devices for tonsillectomy and adenoidectomy |
EP2541584B1 (en) | 2011-06-27 | 2018-08-08 | TRUMPF Huettinger Sp. Z o. o. | Generating a highly ionized plasma in a plasma chamber |
KR20130007127A (ko) | 2011-06-29 | 2013-01-18 | 삼성전자주식회사 | 반도체 발광 소자 패키지 |
US8531902B2 (en) | 2011-06-30 | 2013-09-10 | Qualcomm Incorporated | Sensing circuit |
AU2012202154A1 (en) | 2011-06-30 | 2013-01-17 | Exodus R&D International Pte Ltd | Desmodronic shaft and yoke assembly for translating linear to rotary motion |
US20130000874A1 (en) | 2011-07-01 | 2013-01-03 | Michael George Colburn | Body Temperature Regulating Garment |
US8399366B1 (en) | 2011-08-25 | 2013-03-19 | Tokyo Electron Limited | Method of depositing highly conformal amorphous carbon films over raised features |
US8735291B2 (en) | 2011-08-25 | 2014-05-27 | Tokyo Electron Limited | Method for etching high-k dielectric using pulsed bias power |
US20180188017A9 (en) | 2011-09-15 | 2018-07-05 | William Frank Budleski | Laser Scanning Micrometer Device |
TWI762170B (zh) | 2011-10-05 | 2022-04-21 | 美商應用材料股份有限公司 | 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件 |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
FR2983118B1 (fr) | 2011-11-30 | 2014-01-17 | Michelin Soc Tech | Tambour d'assemblage mixte a diametre variable destine a la fabrication d'un pneumatique comportant des gorges occultables |
JP5977509B2 (ja) | 2011-12-09 | 2016-08-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP5867701B2 (ja) | 2011-12-15 | 2016-02-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5808012B2 (ja) | 2011-12-27 | 2015-11-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8963377B2 (en) | 2012-01-09 | 2015-02-24 | Eagle Harbor Technologies Inc. | Efficient IGBT switching |
US9209034B2 (en) | 2012-02-01 | 2015-12-08 | Tokyo Electron Limited | Plasma etching method and plasma etching apparatus |
US9384992B2 (en) | 2012-02-09 | 2016-07-05 | Tokyo Electron Limited | Plasma processing method |
WO2013125523A1 (ja) | 2012-02-20 | 2013-08-29 | 東京エレクトロン株式会社 | 電源システム、プラズマエッチング装置及びプラズマエッチング方法 |
US9468343B2 (en) | 2012-02-21 | 2016-10-18 | Mitch Junkins | Vibratory body scrubber |
CA2813723A1 (en) | 2012-03-02 | 2013-09-02 | Novartis Ag | Influenza virus reassortment |
US9890268B2 (en) | 2012-03-06 | 2018-02-13 | Bridgestone Corporation | Cured guayule rubber containing compositions and method for preparing same |
US9228878B2 (en) | 2012-03-19 | 2016-01-05 | Advanced Energy Industries, Inc. | Dual beam non-contact displacement sensor |
EP2837687B1 (en) | 2012-03-30 | 2017-02-22 | Toray Industries, Inc. | Method for producing chemical by means of continuous fermentation and continuous fermentation device |
US9140478B2 (en) | 2012-05-21 | 2015-09-22 | Whirlpool Corporation | Synchronous temperature rate control for refrigeration with reduced energy consumption |
US9404176B2 (en) | 2012-06-05 | 2016-08-02 | Applied Materials, Inc. | Substrate support with radio frequency (RF) return path |
JP5921964B2 (ja) | 2012-06-11 | 2016-05-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプローブ装置 |
US9947005B2 (en) | 2012-06-24 | 2018-04-17 | Amx Llc | Method and apparatus of processing symbology interactions between mobile stations and a control system |
US8894103B2 (en) | 2012-06-29 | 2014-11-25 | Aisin Seiki Kabushiki Kaisha | Vehicle door opening-closing device |
JP5965224B2 (ja) | 2012-06-29 | 2016-08-03 | 株式会社ブリヂストン | 重荷重用空気入りタイヤ |
US9142554B2 (en) | 2012-06-29 | 2015-09-22 | Freescale Semiconductor, Inc. | Semiconductor device and driver circuit with an active device and isolation structure interconnected through a diode circuit, and method of manufacture thereof |
US9210790B2 (en) | 2012-08-28 | 2015-12-08 | Advanced Energy Industries, Inc. | Systems and methods for calibrating a switched mode ion energy distribution system |
US20150001113A1 (en) | 2012-10-03 | 2015-01-01 | Yun Chan Industry Co., Ltd. | Structure of Tool Holding Sheath Cross Reference To Related Application |
US8916056B2 (en) | 2012-10-11 | 2014-12-23 | Varian Semiconductor Equipment Associates, Inc. | Biasing system for a plasma processing apparatus |
JP6154820B2 (ja) | 2012-11-01 | 2017-06-28 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9226380B2 (en) | 2012-11-01 | 2015-12-29 | Advanced Energy Industries, Inc. | Adjustable non-dissipative voltage boosting snubber network |
JP2014112644A (ja) | 2012-11-06 | 2014-06-19 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
WO2014091568A1 (ja) | 2012-12-11 | 2014-06-19 | 大紀商事株式会社 | ドリップバッグ |
EP2754887B1 (en) | 2013-01-14 | 2016-01-06 | ALSTOM Renewable Technologies | Method of operating a wind turbine rotational system and wind turbine rotational system |
JP6099995B2 (ja) | 2013-01-24 | 2017-03-22 | 東京エレクトロン株式会社 | 試験装置 |
US9185930B2 (en) | 2013-02-04 | 2015-11-17 | Nagendra B. Kodali | System and method of processing produce |
DE102013202428A1 (de) | 2013-02-14 | 2014-08-14 | Trumpf Huettinger Sp. Z O. O. | Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung |
EP2770083B1 (en) | 2013-02-20 | 2015-11-18 | University of West Bohemia in Pilsen | High-rate reactive sputtering of dielectric stoichiometric films |
US9536713B2 (en) | 2013-02-27 | 2017-01-03 | Advanced Energy Industries, Inc. | Reliable plasma ignition and reignition |
KR102064914B1 (ko) | 2013-03-06 | 2020-01-10 | 삼성전자주식회사 | 식각 공정 장치 및 식각 공정 방법 |
US9119904B2 (en) | 2013-03-08 | 2015-09-01 | Abbott Laboratories | Guide wire utilizing a nickel—titanium alloy having high elastic modulus in the martensitic phase |
US9008344B2 (en) | 2013-03-14 | 2015-04-14 | Cirrus Logic, Inc. | Systems and methods for using a speaker as a microphone in a mobile device |
US20160003270A1 (en) | 2013-03-15 | 2016-01-07 | L. Christopher Franklin | Mounting apparatus |
US9209032B2 (en) | 2013-03-15 | 2015-12-08 | Tokyo Electron Limited | Electric pressure systems for control of plasma properties and uniformity |
US9365924B2 (en) | 2013-05-23 | 2016-06-14 | Asm Ip Holding B.V. | Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power |
US8889534B1 (en) | 2013-05-29 | 2014-11-18 | Tokyo Electron Limited | Solid state source introduction of dopants and additives for a plasma doping process |
WO2014197611A1 (en) | 2013-06-04 | 2014-12-11 | Eagle Harbor Technologies, Inc. | Analog integrator system and method |
AU2014280837A1 (en) | 2013-06-11 | 2015-12-24 | Licella Pty Ltd | Biorefining method |
US9512605B2 (en) | 2013-06-28 | 2016-12-06 | Scott E Duncan | Wax free systems with springs for new and existing toilets |
US9478485B2 (en) | 2013-06-28 | 2016-10-25 | STATS ChipPAC Pte. Ltd. | Semiconductor device and method of stacking semiconductor die on a fan-out WLCSP |
US20150002358A1 (en) | 2013-06-28 | 2015-01-01 | Samsung Electro-Mechanics Co., Ltd. | Radio communication module |
US9380807B2 (en) | 2013-06-28 | 2016-07-05 | Celanese Acetate Llc | Modified filter rod feeding trays for porous masses |
US20160002845A1 (en) | 2013-07-04 | 2016-01-07 | Best Pacific Textile Ltd. | Elastic fabric maintaining silk-like visual effect and soft hand-feel after being stretched and recovered |
EP4177370A1 (en) | 2013-07-17 | 2023-05-10 | AES Global Holdings, Pte. Ltd. | System for balancing consumption of targets in pulsed dual magnetron sputtering (dms) |
CN105408993A (zh) | 2013-08-06 | 2016-03-16 | 应用材料公司 | 局部加热的多区域基板支撑件 |
JP2015037091A (ja) | 2013-08-12 | 2015-02-23 | 東京エレクトロン株式会社 | エッチング方法 |
US9655221B2 (en) | 2013-08-19 | 2017-05-16 | Eagle Harbor Technologies, Inc. | High frequency, repetitive, compact toroid-generation for radiation production |
CN104417346B (zh) | 2013-09-09 | 2017-04-12 | 比亚迪股份有限公司 | 混合动力汽车的控制系统和控制方法 |
US9053908B2 (en) | 2013-09-19 | 2015-06-09 | Lam Research Corporation | Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching |
DE102013110883B3 (de) | 2013-10-01 | 2015-01-15 | TRUMPF Hüttinger GmbH + Co. KG | Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess |
US9576810B2 (en) | 2013-10-03 | 2017-02-21 | Applied Materials, Inc. | Process for etching metal using a combination of plasma and solid state sources |
JP6162016B2 (ja) | 2013-10-09 | 2017-07-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6100672B2 (ja) | 2013-10-25 | 2017-03-22 | 東京エレクトロン株式会社 | 温度制御機構、温度制御方法及び基板処理装置 |
US10940077B2 (en) | 2013-10-28 | 2021-03-09 | Dimensional Industries, Inc. | Data acquisition and analysis of human sexual response using a personal massaging device |
JP6374647B2 (ja) | 2013-11-05 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6312405B2 (ja) | 2013-11-05 | 2018-04-18 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR102152811B1 (ko) | 2013-11-06 | 2020-09-07 | 어플라이드 머티어리얼스, 인코포레이티드 | Dc 바이어스 변조에 의한 입자 발생 억제기 |
ES2540162B1 (es) | 2013-11-27 | 2016-04-14 | Zobele España, S.A. | Dispositivo y procedimiento para la difusión de sustancias volátiles |
DE102013226511B4 (de) | 2013-12-18 | 2016-12-15 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung |
DE102013226537B4 (de) | 2013-12-18 | 2022-12-29 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas |
US9101038B2 (en) | 2013-12-20 | 2015-08-04 | Lam Research Corporation | Electrostatic chuck including declamping electrode and method of declamping |
CN104752134B (zh) | 2013-12-29 | 2017-02-15 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种反应腔室及等离子体加工设备 |
US9412613B2 (en) | 2014-01-08 | 2016-08-09 | Applied Materials, Inc. | Development of high etch selective hardmask material by ion implantation into amorphous carbon films |
JP6560846B2 (ja) | 2014-01-23 | 2019-08-14 | サカタインクス株式会社 | 水性インクジェット用インク組成物 |
US10790816B2 (en) | 2014-01-27 | 2020-09-29 | Eagle Harbor Technologies, Inc. | Solid-state replacement for tube-based modulators |
TWI590329B (zh) | 2014-03-02 | 2017-07-01 | 東京威力科創股份有限公司 | 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法 |
US9472410B2 (en) | 2014-03-05 | 2016-10-18 | Applied Materials, Inc. | Pixelated capacitance controlled ESC |
US11369588B2 (en) | 2014-03-20 | 2022-06-28 | The Trustees Of Princeton University | NADPH production by the 10-formyl-THF pathway, and its use in the diagnosis and treatment of disease |
KR101907375B1 (ko) | 2014-03-24 | 2018-10-12 | 어드밴스드 에너지 인더스트리즈 인코포레이티드 | 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법 |
IL232079B (en) | 2014-04-10 | 2018-05-31 | Hanita Metal Works Ltd | A cutting tool with improved chip removal capability and a method for its preparation |
KR102222902B1 (ko) | 2014-05-12 | 2021-03-05 | 삼성전자주식회사 | 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법 |
US9469933B2 (en) | 2014-05-16 | 2016-10-18 | Whirlpool Corporation | Method and apparatus for using gravity to precisely dose detergent in a washing machine |
JP2017143085A (ja) | 2014-06-23 | 2017-08-17 | 東京エレクトロン株式会社 | グラフェン膜を有する被処理体を処理する方法 |
US9544987B2 (en) | 2014-06-30 | 2017-01-10 | Advanced Energy Industries, Inc. | Frequency tuning for pulsed radio frequency plasma processing |
WO2016002547A1 (ja) | 2014-07-02 | 2016-01-07 | 東京エレクトロン株式会社 | 基板処理装置 |
KR20170026489A (ko) | 2014-07-03 | 2017-03-08 | 모멘티브 퍼포먼스 머티리얼즈 인크. | Uv-활성 발색단 관능화 폴리실록산 및 그로부터 제조된 코폴리머 |
CN203968931U (zh) | 2014-07-04 | 2014-12-03 | 施福有 | 牵引器开关装置 |
US10231580B2 (en) | 2014-07-07 | 2019-03-19 | GPCP IP Holdings LLC. | Multiple orifice nozzle with cavity |
US9440727B2 (en) | 2014-07-11 | 2016-09-13 | B/E Aerospace, Inc. | Telescoping aircraft panel door |
EP3185795B1 (en) | 2014-08-28 | 2022-12-21 | Zimmer, Inc. | Bone fixation devices and methods |
JP6315809B2 (ja) | 2014-08-28 | 2018-04-25 | 東京エレクトロン株式会社 | エッチング方法 |
US10115567B2 (en) | 2014-09-17 | 2018-10-30 | Tokyo Electron Limited | Plasma processing apparatus |
JP6400425B2 (ja) | 2014-10-15 | 2018-10-03 | 東京エレクトロン株式会社 | 多層膜をエッチングする方法 |
DE102014115139A1 (de) | 2014-10-17 | 2016-04-21 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung |
US10102321B2 (en) | 2014-10-24 | 2018-10-16 | Lam Research Corporation | System, method and apparatus for refining radio frequency transmission system models |
US9666447B2 (en) | 2014-10-28 | 2017-05-30 | Tokyo Electron Limited | Method for selectivity enhancement during dry plasma etching |
WO2016088523A1 (ja) | 2014-12-04 | 2016-06-09 | 本田技研工業株式会社 | 内燃機関の排気浄化装置及びその製造方法 |
JP6320282B2 (ja) | 2014-12-05 | 2018-05-09 | 東京エレクトロン株式会社 | エッチング方法 |
EP3035365A1 (en) | 2014-12-19 | 2016-06-22 | TRUMPF Huettinger Sp. Z o. o. | Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply |
US10672616B2 (en) | 2014-12-25 | 2020-06-02 | Tokyo Electon Limited | Plasma processing apparatus and plasma processing method |
US20180002189A1 (en) | 2014-12-31 | 2018-01-04 | Universidad De Santiago De Chile | Method for producing gold nanoparticles in plants and gold nanoparticles produced |
US9673059B2 (en) | 2015-02-02 | 2017-06-06 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning integration schemes |
EP3054472A1 (en) | 2015-02-03 | 2016-08-10 | TRUMPF Huettinger Sp. Z o. o. | Arc treatment device and method therefor |
DE102015202317A1 (de) | 2015-02-10 | 2016-08-11 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung |
US9607843B2 (en) | 2015-02-13 | 2017-03-28 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content |
JP6396822B2 (ja) | 2015-02-16 | 2018-09-26 | 東京エレクトロン株式会社 | プラズマ処理装置のサセプタの電位を制御する方法 |
JP6449674B2 (ja) | 2015-02-23 | 2019-01-09 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9799494B2 (en) | 2015-04-03 | 2017-10-24 | Tokyo Electron Limited | Energetic negative ion impact ionization plasma |
US9786503B2 (en) | 2015-04-08 | 2017-10-10 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning schemes without using hard masks |
JP6449091B2 (ja) | 2015-04-20 | 2019-01-09 | 東京エレクトロン株式会社 | スリップリング、支持機構及びプラズマ処理装置 |
JP6498022B2 (ja) | 2015-04-22 | 2019-04-10 | 東京エレクトロン株式会社 | エッチング処理方法 |
US9812305B2 (en) | 2015-04-27 | 2017-11-07 | Advanced Energy Industries, Inc. | Rate enhanced pulsed DC sputtering system |
US9865471B2 (en) | 2015-04-30 | 2018-01-09 | Tokyo Electron Limited | Etching method and etching apparatus |
TW201717247A (zh) | 2015-06-02 | 2017-05-16 | 蘭姆研究公司 | 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法 |
US10063062B2 (en) | 2015-06-18 | 2018-08-28 | Tokyo Electron Limited | Method of detecting plasma discharge in a plasma processing system |
US10249498B2 (en) | 2015-06-19 | 2019-04-02 | Tokyo Electron Limited | Method for using heated substrates for process chemistry control |
US9922806B2 (en) | 2015-06-23 | 2018-03-20 | Tokyo Electron Limited | Etching method and plasma processing apparatus |
US10100826B2 (en) | 2015-06-30 | 2018-10-16 | Plunger Lift Innovations Llc | Pad plunger |
US9683535B2 (en) | 2015-06-30 | 2017-06-20 | Ford Global Technologies, Llc | Method and system for detection of hot spark plug fouling |
US9786183B2 (en) | 2015-06-30 | 2017-10-10 | Exactearth Ltd. | Systems and methods for vessel position reporting and monitoring |
US20170001103A1 (en) | 2015-07-01 | 2017-01-05 | Scott J. Starley | Christmas-Themed Search and Find Game |
JP6375269B2 (ja) | 2015-07-01 | 2018-08-15 | 信越化学工業株式会社 | 無機材料膜、フォトマスクブランク、およびフォトマスクの製造方法 |
US9995743B2 (en) | 2015-07-01 | 2018-06-12 | Htc Corporation | Test apparatus and pressurizing assembly thereof |
JP6582625B2 (ja) | 2015-07-02 | 2019-10-02 | 富士通株式会社 | 状態監視方法、状態監視プログラム及び状態監視装置 |
US9662275B2 (en) | 2015-07-02 | 2017-05-30 | Sue S. Lee | Oral devices |
CA2991050A1 (en) | 2015-07-02 | 2017-01-05 | Valent U.S.A. Llc | Agricultural mixtures |
US10161797B2 (en) | 2015-07-05 | 2018-12-25 | Purdue Research Foundation | Sub-millimeter real-time circular dichroism spectrometer with metasurfaces |
US10373811B2 (en) | 2015-07-24 | 2019-08-06 | Aes Global Holdings, Pte. Ltd | Systems and methods for single magnetron sputtering |
DE102015010405A1 (de) | 2015-08-14 | 2017-02-16 | Sig Technology Ag | Flächenförmiger Verbund, insbesondere für formstabile Behälter, mit teilflächig aufgebrachter äußerer thermoplastischer Siegelschicht |
WO2017031626A1 (en) | 2015-08-21 | 2017-03-02 | Hewlett-Packard Development Company, L.P. | Balancing structures |
SG10201607880PA (en) | 2015-09-25 | 2017-04-27 | Tokyo Electron Ltd | METHOD FOR FORMING TiON FILM |
US9788405B2 (en) * | 2015-10-03 | 2017-10-10 | Applied Materials, Inc. | RF power delivery with approximated saw tooth wave pulsing |
US9881820B2 (en) | 2015-10-22 | 2018-01-30 | Lam Research Corporation | Front opening ring pod |
TWI800341B (zh) | 2015-11-03 | 2023-04-21 | 美商健生生物科技公司 | 抗cd38抗體之皮下調配物及其用途 |
JP6604833B2 (ja) | 2015-12-03 | 2019-11-13 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
US9997374B2 (en) | 2015-12-18 | 2018-06-12 | Tokyo Electron Limited | Etching method |
EP3184611B1 (en) | 2015-12-21 | 2020-06-03 | Neste Corporation | Method for producing an aviation fuel composition |
JP6385915B2 (ja) | 2015-12-22 | 2018-09-05 | 東京エレクトロン株式会社 | エッチング方法 |
RU2696715C1 (ru) | 2015-12-23 | 2019-08-05 | Ксило Текнолоджиз АГ | Панель для настила с дренажными выступами |
US11744889B2 (en) | 2016-01-05 | 2023-09-05 | University of Pittsburgh—of the Commonwealth System of Higher Education | Skin microenvironment targeted delivery for promoting immune and other responses |
US9601319B1 (en) | 2016-01-07 | 2017-03-21 | Lam Research Corporation | Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process |
US11293049B2 (en) | 2016-01-08 | 2022-04-05 | Pathoquest | Modulation of accessibility of host nucleic acids to nucleic acid digesting enzymes in acellular biological fluids |
US9577516B1 (en) | 2016-02-18 | 2017-02-21 | Advanced Energy Industries, Inc. | Apparatus for controlled overshoot in a RF generator |
DE102016103115A1 (de) | 2016-02-23 | 2017-09-07 | Ihi Charging Systems International Gmbh | Laufzeug für einen Abgasturbolader |
JP6392266B2 (ja) | 2016-03-22 | 2018-09-19 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US10672596B2 (en) | 2016-03-28 | 2020-06-02 | Tokyo Electron Limited | Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source |
WO2017172536A1 (en) | 2016-03-31 | 2017-10-05 | Tokyo Electron Limited | Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy |
JP6741461B2 (ja) | 2016-04-19 | 2020-08-19 | 日本特殊陶業株式会社 | 加熱部材及び複合加熱部材 |
US10304668B2 (en) | 2016-05-24 | 2019-05-28 | Tokyo Electron Limited | Localized process control using a plasma system |
US10340123B2 (en) | 2016-05-26 | 2019-07-02 | Tokyo Electron Limited | Multi-frequency power modulation for etching high aspect ratio features |
JP6689674B2 (ja) | 2016-05-30 | 2020-04-28 | 東京エレクトロン株式会社 | エッチング方法 |
GB2551321B (en) | 2016-06-07 | 2021-06-09 | Linx Printing Tech | Ink jet printer |
US9852889B1 (en) | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
US10260883B2 (en) | 2016-06-29 | 2019-04-16 | General Electric Company | Methods and systems for optimal guidance based on energy state approximation |
JP2018004345A (ja) | 2016-06-29 | 2018-01-11 | 株式会社日立製作所 | 化学センサおよび化学物質検出方法および装置 |
US9949939B2 (en) | 2016-07-01 | 2018-04-24 | Transdermal Biotechnology, Inc. | Systems and methods for treating vitiligo |
CN205894849U (zh) | 2016-07-04 | 2017-01-18 | 路华(厦门)贸易有限公司 | 一种帐篷用带灯置物架 |
DE102016212130A1 (de) | 2016-07-04 | 2018-01-04 | Multivac Marking & Inspection Gmbh & Co. Kg | Etikettiermaschine und Verfahren zur Herstellung von Multipacks |
WO2018026810A1 (en) | 2016-08-03 | 2018-02-08 | Vanderbilt University | Treatment methods using celastrol |
WO2018048925A1 (en) | 2016-09-06 | 2018-03-15 | Tokyo Electron Limited | Method of quasi atomic layer etching |
JP2018046179A (ja) | 2016-09-15 | 2018-03-22 | 株式会社東芝 | 静電チャック及び半導体製造装置 |
US10320373B2 (en) | 2016-10-11 | 2019-06-11 | Eagle Harbor Technologies, Inc. | RF production using nonlinear semiconductor junction capacitance |
US9872373B1 (en) * | 2016-10-25 | 2018-01-16 | Applied Materials, Inc. | Smart multi-level RF pulsing methods |
US10786502B2 (en) | 2016-12-05 | 2020-09-29 | Apros Therapeutics, Inc. | Substituted pyrimidines containing acidic groups as TLR7 modulators |
US10107220B2 (en) | 2016-12-16 | 2018-10-23 | Ford Global Technologies, Llc | Systems and methods for a split exhaust engine system |
JP6897098B2 (ja) | 2016-12-28 | 2021-06-30 | ブラザー工業株式会社 | 印刷流体カートリッジ、印刷流体カートリッジセット、及びシステム |
EP3761762B1 (en) | 2016-12-30 | 2022-04-13 | Eagle Harbor Technologies, Inc. | High voltage inductive adder |
US10373804B2 (en) | 2017-02-03 | 2019-08-06 | Applied Materials, Inc. | System for tunable workpiece biasing in a plasma reactor |
SG11201907300TA (en) | 2017-02-10 | 2019-09-27 | Locus Ip Co Llc | Portable device and methods for efficient production of microbes |
US10923379B2 (en) | 2017-02-15 | 2021-02-16 | Lam Research Corporation | Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure |
US20200000205A1 (en) | 2017-02-28 | 2020-01-02 | L'oreal | Nonwoven solid material suitable for topical applications |
US20200003731A1 (en) | 2017-03-06 | 2020-01-02 | Nippon Steel Corporation | Ultrasonic flaw detector and ultrasonic flaw detection method |
WO2018167849A1 (ja) | 2017-03-14 | 2018-09-20 | 東京製綱株式会社 | 撚り線くさび |
SG11201908533PA (en) | 2017-03-17 | 2019-10-30 | Tokyo Electron Ltd | Surface modification control for etch metric enhancement |
EP3396700A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
US10460916B2 (en) | 2017-05-15 | 2019-10-29 | Applied Materials, Inc. | Real time monitoring with closed loop chucking force control |
US10302466B2 (en) | 2017-06-29 | 2019-05-28 | Mitutoyo Corporation | Contamination and defect resistant optical encoder configuration including first and second illumination source diffraction gratings arranged in first and second parallel planes for providing displacement signals |
US10655634B2 (en) | 2017-06-30 | 2020-05-19 | Borgwarner Inc. | Multi-piece compressor wheel |
KR102368603B1 (ko) | 2017-06-30 | 2022-03-02 | 현대자동차주식회사 | 차량 및 그를 위한 정보 제공 방법 |
US10898028B2 (en) | 2017-06-30 | 2021-01-26 | Capbran Holdings, Llc | Mixer with safety mechanisms |
US20190002672A1 (en) | 2017-07-03 | 2019-01-03 | Chin-Fu Chen | Environment-friendly material, manufacturing method of window covering slat, and window covering slat |
TWI788390B (zh) | 2017-08-10 | 2023-01-01 | 美商應用材料股份有限公司 | 用於電漿處理的分佈式電極陣列 |
JP7233803B2 (ja) | 2017-08-17 | 2023-03-07 | 東京エレクトロン株式会社 | 工業用製造機器における特性をリアルタイム感知するための装置及び方法 |
JP7045152B2 (ja) | 2017-08-18 | 2022-03-31 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US10763150B2 (en) | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
JP7210094B2 (ja) | 2017-11-16 | 2023-01-23 | 東京エレクトロン株式会社 | 信号変調同期式プラズマ処理システム |
TWI767088B (zh) | 2017-11-17 | 2022-06-11 | 新加坡商Aes全球公司 | 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統 |
CN111868873B (zh) | 2017-11-17 | 2023-06-16 | 先进工程解决方案全球控股私人有限公司 | 等离子体处理源和衬底偏置的同步的脉冲化 |
EP3501289B1 (en) | 2017-12-22 | 2020-07-15 | Tetra Laval Holdings & Finance S.A. | Method and system for producing milk products having different fat contents |
WO2019143474A1 (en) | 2018-01-18 | 2019-07-25 | Applied Materials, Inc. | Etching apparatus and methods |
US10269540B1 (en) | 2018-01-25 | 2019-04-23 | Advanced Energy Industries, Inc. | Impedance matching system and method of operating the same |
DE102018204587B4 (de) | 2018-03-26 | 2019-10-24 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung |
JP6910320B2 (ja) | 2018-05-01 | 2021-07-28 | 東京エレクトロン株式会社 | マイクロ波出力装置及びプラズマ処理装置 |
US10413004B1 (en) | 2018-05-01 | 2019-09-17 | Ross Rudolph | Protective gloves with improved fingertip fitment and methods and mold-forms for manufacturing such gloves |
JP7126381B2 (ja) | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
JP2019216140A (ja) | 2018-06-11 | 2019-12-19 | 東京エレクトロン株式会社 | 成膜装置及び成膜装置におけるクリーニング方法 |
WO2019245729A1 (en) | 2018-06-18 | 2019-12-26 | Tokyo Electron Limited | Reduced interference, real-time sensing of properties in manufacturing equipment |
JP6842443B2 (ja) | 2018-06-22 | 2021-03-17 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマを生成する方法 |
JP7038614B2 (ja) | 2018-06-27 | 2022-03-18 | 東京エレクトロン株式会社 | 基板処理方法 |
JP6882232B2 (ja) | 2018-06-28 | 2021-06-02 | パナソニック液晶ディスプレイ株式会社 | 液晶表示装置 |
US10697729B2 (en) | 2018-06-28 | 2020-06-30 | Ryan L. Jezwinski | Bow release device and method |
JP6921896B2 (ja) | 2018-06-28 | 2021-08-18 | キヤノン株式会社 | 撮像装置、交換レンズ、中間アクセサリ及びこれらの制御方法 |
CN110730413A (zh) | 2018-06-29 | 2020-01-24 | 阿里巴巴集团控股有限公司 | 一种终端定位方法和装置 |
US11234879B2 (en) | 2018-06-29 | 2022-02-01 | Liko Research & Development Ab | Lockable wheels and subject support lifts including a lockable wheel |
US11786051B2 (en) | 2018-07-02 | 2023-10-17 | Carleton Andrew | Multi-layered segment mattress |
WO2020017328A1 (ja) | 2018-07-17 | 2020-01-23 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US20200058469A1 (en) | 2018-08-14 | 2020-02-20 | Tokyo Electron Limited | Systems and methods of control for plasma processing |
US10854427B2 (en) | 2018-08-30 | 2020-12-01 | Applied Materials, Inc. | Radio frequency (RF) pulsing impedance tuning with multiplier mode |
KR20210042939A (ko) | 2018-09-05 | 2021-04-20 | 도쿄엘렉트론가부시키가이샤 | 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정 |
US10672589B2 (en) | 2018-10-10 | 2020-06-02 | Tokyo Electron Limited | Plasma processing apparatus and control method |
EP3881423A4 (en) | 2018-11-14 | 2022-08-03 | AES Global Holdings, Pte. Ltd. | ADDITIVE SYNTHESIS OF INTERLEAVED SWITCH MODE POWER STAGES FOR MINIMUM DELAY IN SETPOINT TRACKING |
JP2020095793A (ja) | 2018-12-10 | 2020-06-18 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
US10720305B2 (en) | 2018-12-21 | 2020-07-21 | Advanced Energy Industries, Inc. | Plasma delivery system for modulated plasma systems |
JP7451540B2 (ja) | 2019-01-22 | 2024-03-18 | アプライド マテリアルズ インコーポレイテッド | パルス状電圧波形を制御するためのフィードバックループ |
US11313116B2 (en) | 2019-07-01 | 2022-04-26 | II Richard J. Eggleston | Stackable baffle drop shaft unit and method |
US20210002727A1 (en) | 2019-07-01 | 2021-01-07 | Globe Biotech Ltd. | Method of determining disease-associated gene variants and its use in the diagnosis of liver cancer and for drug discovery |
US11039801B2 (en) | 2019-07-02 | 2021-06-22 | GE Precision Healthcare LLC | Systems and methods for high-resolution spectral computed tomography imaging |
CN110202149B (zh) | 2019-07-03 | 2020-05-22 | 上海大学 | 一种激光立体成形加工装置及方法 |
EP3760562B1 (en) | 2019-07-05 | 2023-02-22 | Otis Elevator Company | Device for limiting sway in an elevator travelling cable |
US11260366B2 (en) | 2019-07-05 | 2022-03-01 | Pavel Kudryavtsev | Method of obtaining inorganic sorbents for extraction of lithium from lithium-containing natural and technological brines |
CN114762251A (zh) | 2019-09-25 | 2022-07-15 | 鹰港科技有限公司 | 具有能量恢复的非线性传输线高电压脉冲锐化 |
TWI778449B (zh) | 2019-11-15 | 2022-09-21 | 美商鷹港科技股份有限公司 | 高電壓脈衝電路 |
WO2021118862A2 (en) | 2019-12-13 | 2021-06-17 | Lam Research Corporation | Multi-state pulsing for achieving a balance between bow control and mask selectivity |
US20220399183A1 (en) * | 2021-06-09 | 2022-12-15 | Applied Materials, Inc. | Method and apparatus to reduce feature charging in plasma processing chamber |
-
2022
- 2022-08-09 US US17/884,423 patent/US11694876B2/en active Active
- 2022-10-25 WO PCT/US2022/047677 patent/WO2023107205A1/en unknown
- 2022-11-22 TW TW111144515A patent/TW202341223A/zh unknown
-
2023
- 2023-05-19 US US18/199,519 patent/US20230298856A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
US20230178336A1 (en) | 2023-06-08 |
US11694876B2 (en) | 2023-07-04 |
US20230298856A1 (en) | 2023-09-21 |
WO2023107205A1 (en) | 2023-06-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI716436B (zh) | 用於處理基板之射頻功率傳輸調節 | |
US11776789B2 (en) | Plasma processing assembly using pulsed-voltage and radio-frequency power | |
KR20220061195A (ko) | 광대역 플라스마 처리 시스템 및 방법 | |
US11476090B1 (en) | Voltage pulse time-domain multiplexing | |
TW202329193A (zh) | 射頻電漿處理腔室中的失真電流減緩 | |
US20230298856A1 (en) | Apparatus and method for delivering a plurality of waveform signals during plasma processing | |
TW202301913A (zh) | 離子電流補償的設備及方法 | |
KR20240017919A (ko) | 펄스식 dc 플라즈마 챔버에서의 플라즈마 균일성 제어 | |
TW202316478A (zh) | 用於電漿處理應用的脈衝電壓源 | |
TW202306442A (zh) | 用於在電漿處理腔室中減少特徵充電的方法及設備 | |
TW202312218A (zh) | 具有離子能量控制的電漿激發 | |
JP7318114B2 (ja) | プラズマ安定性を改善するための同調方法 | |
KR20190003093A (ko) | 반도체 제조 장치, 이의 동작 방법 | |
US20240079212A1 (en) | Scanning impedance measurement in a radio frequency plasma processing chamber | |
US20230170194A1 (en) | Ion energy control on electrodes in a plasma reactor | |
TW202412053A (zh) | 射頻電漿處理腔室中的掃描阻抗測量 | |
TW202312640A (zh) | 用於對電漿中的離子能量分佈進行數位控制的方法和裝置 | |
JP2024506231A (ja) | パルスプラズマを使用してエッチング選択性を向上させる方法 | |
TW202314775A (zh) | 電漿腔室和腔室元件清潔方法 |