CN117378031A - 具有离子能量控制的等离子体激发 - Google Patents

具有离子能量控制的等离子体激发 Download PDF

Info

Publication number
CN117378031A
CN117378031A CN202280037883.6A CN202280037883A CN117378031A CN 117378031 A CN117378031 A CN 117378031A CN 202280037883 A CN202280037883 A CN 202280037883A CN 117378031 A CN117378031 A CN 117378031A
Authority
CN
China
Prior art keywords
output node
coupled
waveform
waveform generator
generator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280037883.6A
Other languages
English (en)
Inventor
杨扬
郭岳
卡提克·雷马斯瓦米
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117378031A publication Critical patent/CN117378031A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/01Frequency selective two-port networks
    • H03H7/0115Frequency selective two-port networks comprising only inductors and capacitors
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/01Frequency selective two-port networks
    • H03H7/0153Electrical filters; Controlling thereof
    • H03H7/0161Bandpass filters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文提供的实施方式通常包括用于在处理腔室中产生用于基板的等离子体处理的波形的设备、等离子体处理系统及方法。一个实施方式包括一种波形产生器,该波形产生器具有选择性地耦接至输出节点的电压源,其中该输出节点经配置以耦接至设置在处理腔室内的电极,并且其中该输出节点经选择性地耦接至接地节点。波形产生器还可包括射频(RF)信号产生器,以及耦接在RF信号产生器与输出节点之间的第一滤波器。

Description

具有离子能量控制的等离子体激发
背景
领域
本公开内容的实施方式一般涉及用于半导体装置制造的系统。更具体地,本公开内容的实施方式涉及用于处理基板的等离子体处理系统。
相关技术的描述
可靠地生产高深宽比特征为对于下一代半导体装置的关键技术挑战之一。一种形成高深宽比特征的方法使用等离子体辅助蚀刻工艺,其中等离子体在处理腔室中形成并且来自等离子体的离子经朝向基板表面加速以在材料层中形成开口,该材料层设置在形成于基板表面上的掩模层下方。
在典型的等离子体辅助蚀刻工艺中,基板位于设置在处理腔室中的基板支撑件上,等离子体形成在基板上,并且离子从等离子体横跨等离子体鞘(即,在等离子体与基板表面之间形成的电子耗尽区)朝向基板加速。
已经发现,传统的射频(radio frequency;RF)等离子体辅助蚀刻工艺仅将包含射频信号的正弦波形传递至等离子体处理腔室中的电极的一者或多者,该工艺无法充分或理想地控制鞘特性及经产生的离子能量,如此导致不期望的等离子体处理结果。该不期望的处理结果可包括掩模层的过渡溅射及在高深宽比特征中的侧壁缺陷的产生。
因此,在本技术中需要能够提供期望的等离子体辅助蚀刻工艺结果的等离子体处理及偏压方法。
概述
本文提供的实施方式通常包括用于在处理腔室中产生用于基板的等离子体处理的波形的设备、等离子体处理系统及方法。
本公开内容的一个实施方式涉及用于等离子体处理的波形产生器。波形产生器通常包括选择性地耦接到输出节点的电压源,其中该输出节点经配置以耦接至设置在处理腔室内的电极,并且其中该输出节点选择性地耦接至接地节点、射频(RF)信号产生器,以及耦接在RF信号产生器与输出节点之间的第一滤波器。
本公开内容的一个实施方式涉及一种用于波形产生的方法。该方法通常包括在波形的第一阶段期间将电压源耦接至输出节点,其中该输出节点耦接至设置在处理腔室之内的电极;并且在波形的第二阶段期间将接地节点耦接至输出节点,其中射频信号产生器经由滤波器耦接至输出节点。
本公开内容的一个实施方式涉及一种用于波形产生的设备。该设备通常包括存储器,及耦接至该存储器的一个或多个处理器。该存储器及该一个或多个处理器经配置以:在波形的第一阶段期间将电压源耦接至输出节点,其中该输出节点耦接至设置在处理腔室之内的电极;并且在波形的第二阶段期间将接地节点耦接至输出节点,其中射频信号产生器经由滤波器耦接至输出节点。
附图简要说明
以能够详细理解本公开内容的上述特征的方式,可经由参考实施方式获得简要概述于上文的本公开内容的更特定描述,所述实施方式的一些实施方式图示于附图中。然而,应注意,附图仅图示示例性实施方式并且因此不被视为限制本案的范围,并且可允许其他同等有效的实施方式。
图1为根据一个或多个实施方式的经配置以实践本文所述的方法的处理系统的示意横截面图。
图2A图示根据一个或多个实施方式的可施加于处理腔室的电极的电压波形。
图2B图示归因于施加于处理腔室的电极的电压波形而在基板上建立的电压波形。
图3A图示当使用单频激发波形时的典型离子能量分布(ion energydistribution;IED)。
图3B为图示根据本公开内容的某些实施方式的IED函数(IED function;IEDF)的示图。
图4图示根据本公开内容的某些实施方式的使用波形产生器产生的波形。
图5图示根据本公开内容的某些方面的用于偏压基板以实现IED控制的波形产生器的示例性实施。
图6图示根据本公开内容的某些实施方式的示例性滤波器拓扑。
图7为图示根据本公开内容的某些方面的图5的波形产生器的切换状态的时序图。
图8为图示用于波形产生的方法的工艺流程图。
具体描述
随着技术节点朝着2nm前进,制造具有较大深宽比的较小特征涉及等离子体处理的原子精度。对于其中等离子体离子发挥重要作用的蚀刻工艺,离子能量控制对半导体设备行业产生挑战。传统的射频偏压技术使用正弦波来激发等离子体并且加速离子。
本公开内容的一些实施方式一般涉及用于产生用于控制离子能量分布(ionenergy distribution;IED)的波形的技术。例如,脉冲电压波形及射频(RF)波形可施加于等离子体腔室中的相同节点以在IED功能中实施低能量峰值及高能量峰值,在低能量峰值与高能量峰值之间几乎没有中间能量,如在本文中更详细描述的。与高能量峰值相关联的离子具有能量及方向性,以到达经蚀刻的高深宽比特征的底部并且实现蚀刻反应。尽管具有低能量的离子无法在蚀刻期间到达特征的底部,但是低能量离子对于蚀刻工艺仍然重要。具有中间能量的离子对蚀刻工艺并无益处,因为所述离子不具有所需的方向性,并且会撞击正经蚀刻的特征的侧壁,经常导致经蚀刻特征中的侧壁出现不期望的弯曲。一些实施方式涉及用于产生具有高能量和低能量峰值的波形的技术,其中几乎没有或无中间能量离子。
等离子体处理系统实例
图1为经配置以执行本文所述的等离子体处理方法的一者或多者的处理系统10的示意横截面图。在一些实施方式中,处理系统10经配置以用于等离子体辅助蚀刻工艺,诸如反应性离子蚀刻(reactive ion etch;RIE)等离子体处理。然而,应该注意的是,本文描述的实施方式也可与经配置用于其他等离子体辅助工艺的处理系统一起使用,诸如等离子体增强沉积工艺,例如等离子体增强化学气相沉积(plasma-enhanced chemical vapordeposition;PECVD)工艺、等离子体增强物理气相沉积(plasma-enhanced physical vapordeposition;PEPVD)工艺、等离子体增强原子层沉积(plasma-enhanced atomic layerdeposition;PEALD)工艺、等离子体处理工艺或基于等离子体的离子注入工艺,例如等离子体掺杂(plasma doping;PLAD)工艺。
如图所示,处理系统10经配置以形成电容耦合等离子体(capacitively coupledplasma;CCP),其中处理腔室100包括设置在处理空间129中的上电极(例如,腔室盖),该上电极面向也设置在处理空间129中的下电极(例如,基板支撑组件136)。在典型的电容耦合等离子体(CCP)处理系统中,射频(RF)源经电耦接至上电极或下电极的一者,该电极传递经配置以点燃且维持等离子体(例如,等离子体101)的射频信号,该等离子体经电容耦接至上电极及下电极的一者并且设置在该上电极与下电极之间的处理区域中。典型地,上电极或下电极中的相对一者经耦接至地或耦接至第二射频功率源用于额外的等离子体激发。如图所示,处理系统10包括处理腔室100、支撑组件136,及系统控制器126。
处理腔室100典型地包括腔室主体113,该腔室主体包括腔室盖123、一个或多个侧壁122,及腔室基座124,上述各者共同地限定处理空间129。一个或多个侧壁122和腔室底座124通常包括各种材料,所述材料经尺寸及形状设计以形成处理腔室100的元件的结构支撑并且经配置以承受施加到所述结构支撑的压力和附加能量,同时在处理期间,等离子体101在保持于处理腔室100的处理空间129中的真空环境中产生。在一个实例中,一个或多个侧壁122及腔室基座124由金属形成,诸如铝、铝合金或不锈钢合金。
穿过腔室盖123设置的气体入口128用于从与其流体连通的处理气体源119向处理空间129输送一种或多种处理气体。基板103经由一个或多个侧壁122中的一者中的开口(未图示)装载至处理空间129中,或从该处理空间129移除,该开口在基板103的等离子体处理期间用狭缝阀(未图示)密封。
在一些实施方式中,穿过在基板支撑组件136中形成的开口可移动设置的多个升降杆206用于促进基板往返于支撑表面105A的移送。在一些实施方式中,多个升降杆20经设置在升降杆箍(未图示)上方并且与其耦接和/或接合,该升降杆箍设置在处理空间129中。升降杆箍可经耦接至穿过腔室基座124密封地延伸的轴(未图示)。该轴可经耦接至用于升高或降低升降杆箍的致动器(未图示)。当升降杆箍处于升高位置时,其与多个升降杆20接合以将升降杆的上表面升高到基板支撑表面105A上方,从而将基板103从其提升并且使得能够经由机器人处理机(未图示)能够接近基板103的非活动(背侧)表面。当升降杆箍处于降低位置时,多个升降杆20与基板支撑表面105A齐平或凹陷在基板支撑表面105A下方,并且基板103搁置在该基板支撑表面上。
也在本文中称为处理腔室控制器的系统控制器126包括中央处理单元(centralprocessing unit;CPU)133、存储器134,及支持电路135。系统控制器126用以控制用于处理基板103的工艺序列,包括本文所述的基板偏置方法。CPU 133为经配置用于工业环境中的通用计算机处理器,用于控制处理腔室及与其相关的子处理器。本文所述的存储器134(其通常为非易失性存储器)可包括随机存取存储器、只读存储器、软盘或硬盘驱动器,或其他适当形式的本端或远程的数字储存器。支持电路135常规地耦接至CPU 133并且包含高速缓存、时钟电路、输入/输出子系统、电源等等,及上述各者的组合。软件指令(程序)及数据可经编码及存储在用于指示CPU 133内的处理器的存储器134之内。系统控制器126中的CPU133可读的软件程序(或计算机指令)确定何任务可由处理系统10中的组件执行。
典型地,可由系统控制器126中的CPU 133读取的程序包括代码,当由处理器(CPU133)执行时,该代码进行与本文所述的等离子体处理方案相关的任务。该程序可包括用于控制处理系统10内的各种硬件及电子部件的指令,以执行用于实施本文所述的方法的各种工艺任务及各种工艺序列。在一个实施方式中,该程序包括用于执行下文关于图8描述的一个或多个操作的指令。
等离子体控制系统通常包括用于在偏压电极104处建立至少第一脉冲电压(pulsed voltage;PV)波形的第一源组件196,以及用于在边缘控制电极115处建立至少第二PV波形的第二源组件197。第一PV波形或第二PV波形可使用波形产生器组件150内的一个或多个部件产生,波形产生器组件150可对应于如本文中关于图4及图5更详细描述的波形产生器。在一些实施方式中,波形产生器将射频信号传送至支撑基座107(例如,电源电极或阴极)或偏压电极104,偏压电极104可用于在设置于基板支撑组件136与腔室盖123之间的处理区域中产生(维持和/或点燃)等离子体101。
在一些实施方式中,射频信号用于使用设置在处理空间129中的处理气体及由传送至支撑基座107和/或偏压电极104的射频功率(射频信号)产生的场来点燃和维持处理等离子体101。在一些方面中,射频信号可由波形产生器组件150产生。处理空间129经由真空出口120与一个或多个专用真空泵流体耦接,该一个或多个专用真空泵将处理空间129保持在低于大气压的压力条件下并从中排空处理和/或其他气体。在一些实施方式中,设置在处理空间129中的基板支撑组件136经设置在支撑轴138上,支撑轴138接地并延伸穿过腔室基座124。波形产生器组件150可包括射频产生器506,如图5中所示。如图5中所示,在一些实施方式中,射频产生器506可使用射频信号源580和射频匹配网络582来实施。在一些实施方式中,如下文进一步论述的,射频产生器506经配置以传送具有大于40MHz,诸如在大约40Mhz与大约200MHz之间的频率的射频信号。
如上简要论述,基板支撑组件136通常包括基板支撑件105(例如,ESC基板支撑件)及支撑基座107。在一些实施方式中,基板支撑组件136可另外包括绝缘板111及接地板112,如下文进一步论述的。支撑基座107经由绝缘板111与腔室基座124电隔离,且接地板112介于绝缘板111与腔室基座124之间。基板支撑件105热耦接至并设置在支撑基座107上。在一些实施方式中,支撑基座107经配置以在基板处理期间调节基板支撑件105及设置在基板支撑件105上的基板103的温度。在一些实施方式中,支撑基座107包括设置在其中的一个或多个冷却通道(未图标),该一个或多个冷却通道与冷却剂源(未图示)流体耦接且流体连通,该冷却剂源诸如具有相对高电阻的致冷剂源或水源。在一些实施方式中,基板支撑件105包括加热器(未图示),诸如嵌入其介电材料中的电阻加热元件。在此,支撑基座107由耐腐蚀导热材料形成,诸如耐腐蚀金属,例如铝、铝合金或不锈钢,并且经由粘合剂或由机械方式耦接至基板支撑件。
通常,基板支撑件105由介电材料形成,诸如块状烧结陶瓷材料,诸如耐腐蚀金属氧化物或金属氮化物材料,例如氧化铝(Al2O3)、氮化铝(AlN)、氧化钛(TiO)、氮化钛(TiN)、氧化钇(Y2O3)、上述材料的混合物或上述材料的组合。在本文的实施方式中,基板支撑件105进一步包括嵌入其介电材料中的偏压电极104。
在一个配置中,偏压电极104是一种夹持电杆,该夹持电杆用于将基板103固定(即,夹持)至基板支撑件105的基板支撑表面105A,并且使用本文所述的一种或多种脉冲电压偏压方案相对于处理等离子体101偏压基板103。通常,偏压电极104由一个或多个导电部分形成,诸如一个或多个金属网、箔、板或上述各者的组合。
在一些实施方式中,偏压电极104电耦接至夹持网络,该夹持网络使用电导体,诸如同轴电力输送线106(例如,同轴电缆),向偏压电极104提供夹持电压,诸如约-5000V与约5000V之间的静态直流电压。如下文将进一步讨论的,夹持网络包括直流电源155(诸如,高压直流(High Voltage Direct Current;HVDC)电源)及滤波器151(例如,低通滤波器)。
基板支撑组件136可进一步包括边缘控制电极115,边缘控制电极115位于边缘环114下方并围绕偏压电极104,和/或设置为距偏压电极104的中心一定距离处。通常,对于经配置以处理圆形基板的处理腔室100,边缘控制电极115为环形,且由导电材料制成,并且经配置以围绕偏压电极104的至少一部分。在一些实施方式中,诸如图1中所示,边缘控制电极115位于基板支撑件105的区域内。在一些实施方式中,如图1中所示,边缘控制电极115包括导电网、箔和/或板,其经设置为距基板支撑件105的基板支撑表面105A与偏压电极104相似的距离(即,Z方向)。
边缘控制电极115可经由使用波形产生器组件来偏压,该波形产生器组件不同于用以将偏压电极104偏压的波形产生器组件150。在一些实施方式中,边缘控制电极115可经由使用波形产生器组件150来偏压,该波形产生器组件150还用于通过将部分功率分配给边缘控制电极115来将偏压电极104偏压。在一种配置中,第一源组件196的第一波形产生器组件150经配置以将偏压电极104偏压,并且第二源组件197的第二波形产生器组件150经配置以将边缘控制电极115偏压。
电力输送线157将第一源组件196的波形产生器组件150的输出电连接至偏压电极104。虽然下文的讨论主要论述了用于将波形产生器组件150耦接至偏压电极104的第一源组件196的电力输送线157,但是将波形产生器组件150耦接至边缘控制电极115的第二源组件197的电力输送线158将包括相同或相似的部件。电力输送线157的各个部分内的电导体可包括:(a)一根同轴电缆或同轴电缆的组合,诸如与刚性同轴电缆串行连接的柔性同轴电缆,(b)绝缘高压耐电晕连接线,(c)裸线,(d)金属棒,(e)电连接器,或(f)(a)-(e)中的电气组件的任一组合。
在一些实施方式中,处理腔室100进一步包括石英管110或套环,其至少部分地包围基板支撑组件136的部分,以防止基板支撑件105和/或支撑基座107与腐蚀性处理气体或等离子体、清洁气体或等离子体或其副产物接触。通常,石英管110、绝缘板111及接地板112由衬垫108包围。在一些实施方式中,等离子体屏幕109位于阴极衬垫108与侧壁122之间,以防止等离子体在等离子体屏幕109下方的衬垫108与一个或多个侧壁122之间的空间中形成。
图2A图示可在处理腔室的电极处建立的电压波形。图2B图示归因于不同的电压波形而在基板处建立的不同类型的电压波形225及230的实例,类似于分别在处理腔室内的电极处建立的图2A中所示的电压波形。波形包括两个阶段:离子电流阶段及鞘塌陷阶段,如图所示。在离子电流阶段开始时,基板电压的下降在基板上方产生高压鞘,将正离子加速至基板。在离子电流阶段期间轰击基板表面的正离子在基板表面沉积正电荷,若不进行补偿,则会导致在离子电流阶段期间正向逐渐增加基板电压,如由图2B中的电压波形225所示。然而,如由电压波形225所示,基板表面上正电荷的不受控制的积累不期望地逐渐使鞘和卡盘电容器放电,缓慢降低鞘电压降并使基板电位更接近于零。正电荷的积累导致在基板上建立的电压波形中的电压下降(图2B)。然而,如图2A中所示,可产生在离子电流阶段期间于具有负斜率的电极处建立的电压波形,以便为经建立的基板电压波形建立方形区域(例如,接近零斜率),如由图2B中的曲线230所示。在离子电流阶段期间于电极处建立的波形中实现斜率可称为电流补偿。离子电流阶段的开始与结束之间的电压差决定了离子能量分布函数(ion energy distribution function;IEDF)的宽度。电压差越大,IEDF宽度越宽。为了实现单能离子及更窄的IEDF宽度,操作经执行以使用电流补偿使离子电流阶段中的基板电压波形变平。在本公开内容的一些实施方式中,射频信号叠加在图2A中所示的电压波形上。
波形产生的产生技术
本发明的某些实施方式一般涉及波形产生技术,该技术促进使用同时等离子体产生和离子能量分布(IED)控制对基板进行等离子体处理,同时减少在经蚀刻高深宽比特征中形成的不期望的IED弯曲轮廓。例如,脉冲电压(PV)波形可用叠加在PV波形上的射频信号产生。在一些实施方式中,经产生的波形还可包括斜坡信号以促进电流补偿,如本文所述。
图3A图示当使用单个射频频率激发波形时的典型IED。如图所示,IED具有双模态形状,具有高能量峰值306、低能量峰值302及中等能量离子(例如,与中等能量区域304相关联)。从等离子体蚀刻工艺的方面来看,仅有处于或接近高能量峰值的离子才具有能量和方向性以克服在经蚀刻材料中产生的离子产生的充电效应,并到达特征的底部且进行蚀刻反应。具有中间能量的离子对蚀刻工艺并无益处,因为所述离子不具有方向性,并且将倾向于会撞击特征的侧壁,经常导致不期望的IED弯曲轮廓。低能量离子对于蚀刻蚀刻很重要,因为所述离子可清洁掩模表面并保持掩模层的形状,防止孔堵塞。本公开内容的一些实施方式涉及创建具有高能量峰值和低能量峰值的能量分布,在高能量峰值与低能量峰值之间几乎没有或无中间能量。
图3B为图示根据本公开内容的某些实施方式的IED函数(IED function;IEDF)的示图。如图所示,IEDF包括低能量峰值301及高能量峰值303。与低能量峰值相关的能量可小于几百eV(例如,小于1K eV),并且与高能量峰值相关的能量可为几百eV至数万eV,取决于待在基板中形成的特征的深宽比。例如,在某些情况下,与高能量峰值相关的能量可在4keV至10k eV之间。如图所示,在低能量峰值301与高能量峰值303之间不存在离子(或至少少于传统实施方式)。一些实施方式涉及用于使用波形裁制技术实施图3B中所示的离子能量分布的技术,如本文中更详细地论述。
图4图示根据本公开内容的某些实施方式的,使用波形产生器产生的波形400。如图所示,波形400包括波形区域401及405。波形区域401包括叠加有射频信号404的直流(DC)信号,并且波形区域405包括叠加有射频信号404的电压斜坡(例如,用于电流补偿)。
射频信号404维持腔室中的等离子体并产生关于图3B描述的低能量峰值301。在一些实施方式中,射频信号404可具有40Mhz到200MHz之间的频率。射频信号404的频率可高于离子鞘渡越频率。在此情况下,穿过鞘层厚度的平均离子渡越时间长于射频信号404的周期,导致离子经历射频信号404的多个周期并获得与多个周期相关的平均能量以产生低能量峰值301。因此,离子由射频信号404引起的平均鞘电位加速,以使得单个离子能量峰值得以达成。高频射频激发产生具有单能量峰值的离子。换言之,穿过鞘的离子经历由射频信号404驱动的平均鞘电位,产生单个离子能量峰值而非连续的能量分布。
在脉冲波形周期的一部分期间,归因于脉冲阶跃的上升边缘402,等离子体体电子经吸引至基板(例如基板103)的表面。然而,等离子体体电子可能无法建立负直流鞘电位以产生更高的能量峰值303。基板表面与电极(例如,支撑基座107)形成电容元件(例如,称为静电吸盘电容器(Cesc)),在一些实施方式中,该电容元件包括设置在偏压电极104与基板支撑表面105A之间的基板支撑件105的介电材料层,如图1中所示。电极上存在等量的正电荷(例如,与基板上的负电荷相比)以抵消由等离子体体电子产生的场。在波形400的下降沿403,归因于将波形施加至电极,离子被电子中和。因此,在基板表面上建立了负直流鞘电位。此为更高能量峰值303的起源。直流鞘电位(Vdc)或更高的离子能量可基于以下公式使用下降沿(ΔV)的幅度及Cesc与鞘电容(Csheath)之间的比率来近似计算:
因此,波形区域401用于维持腔室中的等离子体(例如,同时产生较低能量峰值301)并为较高能量峰值303建立直流鞘电位。
当进入的离子中和基板表面上的电子时,若无补偿手段,则直流鞘电位会降低。因此,入射至基板上的离子将不是单能的。在一些实施方式中,在波形区域405期间实施电压斜坡以向电极供应增加量的电子以抵消由于进入离子而由正电荷引起的另外增加的电场,从而保持恒定的鞘电位(单能量峰值)。用于实现斜坡的直流电源电流可经控制以均衡和补偿在离子电流阶段期间提供的离子电流。离子电流(Iion)可通过使用离子能量诊断来校准,或基于以下公式通过对电极电压(V0)(例如,计算V0的时间导数)和鞘电势的值取样来计算:
如图所示,射频信号404也可在区域405期间叠加在斜坡信号上以继续维持腔室中的等离子体(例如,同时产生较低能量峰值301)并为较高能量峰值303建立直流鞘电位。
图5图示根据本公开内容的某些实施方式的,用于偏压基板以实现IED控制的波形产生器500的示例性实施。波形产生器500可用于实现波形产生器组件150,如关于图1所述。如图所示,波形产生器500可产生关于图4所述的波形400。
波形产生器500包括用于在波形区域401期间实现正电压的主电压源502(例如,直流电压源)、用于在波形区域405期间实现斜坡电压的电流源505及用于提供射频信号404的RF产生器506(也称为射频信号产生器)。波形产生器500在输出节点504处产生波形400。输出节点504可经耦接至基板支撑件105(例如,陶瓷圆盘)或支撑基座107中的偏压电极104。若输出节点504耦接至支撑基底107,则输出节点504与基板103之间的总电容(例如,1/C=1/Cesc+1/CSB,其中CSB为设置在支撑基底107与偏压电极104之间的介电层的电容)将大于输出节点504耦接至偏压电极104(例如,Cesc)时的总电容。较大的电容可导致跨Cesc的电压降较低,而鞘套上的电压降更大。
如图所示,开关520(例如高压固态继电器)可耦接在主电压源502与输出节点504之间,并且开关522(例如高压固态继电器)可耦接在接地节点508与输出节点504之间。如图所示,RF滤波器540可在电压源502与开关520之间的路径中实现,RF滤波器542可在接地节点508与开关522之间的路径中实现,并且RF滤波器544可在电流源505与输出节点504之间实现。RF滤波器540、542、544可经实现为低通滤波器,该低通滤波器经配置以阻挡从RF产生器506提供的RF信号。电压源502及电流源505由各自的RF滤波器540、544保护而免受RF产生器506输出的影响。换言之,RF滤波器540、544经配置以阻挡从RF产生器506提供的高频RF信号。当开关522闭合时,接地节点508经由RF滤波器542(例如,低通滤波器)与RF产生器506隔离。在一些实施方式中,RF滤波器540、542、544中的每一者可实现为并联LC拓扑,如图6中所示。
图6图标具有电容元件602及电感元件604的并联LC滤波器拓扑600。如图所示,电容元件602可并联耦接至电感元件604并且耦接在节点610、612之间。RF滤波器540、542、544中的每一者可使用并联LC滤波器拓扑600实施。例如,对于RF滤波器542,节点610可经耦接至接地节点508并且节点612可经耦接至开关522。作为一个实例,对于40MHz的RF信号,电容元件602可为100皮法(pF),而电感元件604可为158纳亨(nH)以阻挡40MHz的RF信号。换言之,LC滤波器拓扑600为有效地充当40Mhz信号的开路的谐振电路,将电压源502、接地节点508或电流源505与40MHz的RF信号隔离开。
图7为图示根据本公开内容的某些实施方式的开关520(标记为“S1”)和开关522(标记为“S2”)的状态的时序图700。如图所示,开关520、522没有同时闭合以避免电压源502与接地节点508电短路。在一些实施方式中,在波形周期(例如,波形400的周期)的阶段1期间,开关520可闭合以产生如图4中所示的上升沿402。开关520可闭合范围从20ns至2000ns的时间段,以允许在基板表面收集足够数目的电子。在与波形区域401相关的周期之后,可打开开关520并且可闭合开关522以在波形周期的阶段2期间产生下降沿403。在断开开关S1之后,开关S2可闭合10ns至100ns的时间段。
在一些实施方式中,在阶段1期间,当开关S1闭合时,正电荷积聚在图1中所示的基板103上。归因于电容效应,基板103上的电压无法瞬时改变。因此,在阶段2期间,一旦开关S1打开并且开关S2闭合,输出节点504(例如,图1所示的电极104处)的电压从正电压下降至负电压,如图4中所示。据信从正电压至负电压的下降是由于在电极104上形成负电荷以抵消基板104上的正电荷。换言之,基板103上的正电荷将电子吸引至电极104,导致在开关S2闭合时于输出节点504处下降至负电压。
在波形周期的第三阶段期间,开关520、522皆保持打开。如图5中所示,RF产生器506和电流源505可一直连接至输出节点504(例如,连接至腔室)。在一些实施方式中,高通滤波器546可耦接在RF产生器506与输出节点504之间。高通滤波器546将RF产生器与输出节点504处的DC分量(例如,当开关520闭合时由电流源505、电压源502引起或当开关522闭合时由接地节点508引起)隔离。在一些实施方式中,高通滤波器546可实现为交流(alternating current;AC)阻挡电容器。
在一些实施方式中,阻抗570可经耦接在电流源505的输出与接地节点之间,以在开关520闭合时分流来自电流源505的输出电流。换言之,归因于电压源502与输出节点504的耦接,可能发生突然的阻抗变化。一旦开关520闭合,阻抗570提供电流从电流源505至地面的流动路径,允许在上升沿402之后来自电流源505的电流逐渐减小。如图所示,阻抗570可使用具有电感元件574及电阻元件572的电感电阻器(RL)电路来实现。当使用40MHz的RF信号时,电感元件的阻抗可为2微亨(mH),且电阻元件572的电阻可为100欧姆。
本公开内容的实施方式提供了一种工艺有利的双峰值IED及一种在基板表面上实现该IED的方法,用于具有同时等离子体激发及维持的等离子体处理腔室。与传统的离子能量控制技术相比,本公开内容的实施方式的一个优点是同时产生等离子体及IED控制。在一个PV波形周期完成之后,多个额外的PV波形周期将连续重复多次,如图4中重复的第二电压波形周期的部分说明所示。在一些实施方式中,在电极处建立的电压波形具有导通时间,该导通时间经定义为离子电流时间周期(例如,波形区域405的长度)与波形周期Tp(例如,波形区域401的长度+波形区域405的长度)的比率,其大于50%,或大于70%,诸如在80%与95%之间。在一些实施方式中,具有约2.5μs的周期Tp的波形周期的PV波形在具有约100微秒(μs)与约10毫秒(ms)之间的突发周期的PV波形突发内连续重复。PV波形的突发可具有约5%至100%之间,诸如约50%与约95%之间的突发占空比,其中该占空比为突发周期除以突发周期加上分隔突发周期的非突发周期(即,不产生PV波形)的比率。
图8为图示用于波形产生的方法800的工艺流程图。方法800可由波形产生系统来执行,该波形产生系统包括诸如波形产生器500的波形产生器和/或诸如系统控制器126的系统控制器。
在活动802处,波形产生系统在波形(例如,波形400)的第一阶段(例如,图7中所示的阶段1)期间将电压源(例如,电压源502)耦接至(例如,通过闭合开关520)输出节点(例如,输出节点504)。输出节点可经耦接至设置在处理腔室(例如,处理腔室100)内的电极。例如,输出节点可经耦接至电极104或支撑基座107。
在活动804处,波形产生系统在波形的第二阶段(例如,图7中所示的阶段2)期间将接地节点(例如,接地节点508)耦接至(例如,通过闭合开关522)输出节点。在一些实施方式中,RF信号产生器(例如,RF产生器506)在第一阶段期间经由滤波器(例如滤波器546)耦接至输出节点。RF信号产生器可在波形的第一阶段、第二阶段及第三阶段(例如,图7中所示的阶段3)期间耦接至输出节点。在第三阶段期间,电压源和接地节点与输出节点去耦接(例如,通过断开开关520、522)。在一些实施方式中,电压源经由滤波器(例如,滤波器540)耦接至输出节点,并且接地节点经由滤波器(例如,滤波器542)耦接至输出节点。
在一些实施方式中,电流源(例如,电流源505)在波形的第三阶段期间耦接至输出节点,电压源和接地节点在第三阶段期间与输出节点去耦接。电流源可经由滤波器(例如,滤波器544)耦接至输出节点。
本文使用的术语“耦接”是指两个物体之间的直接或间接耦接。例如,若物体A与物体B实体接触,物体B与物体C接触,则物体A和C仍可被视为彼此耦接——即使物体A和C不直接实体接触彼此。例如,即使第一物体从未与第二物体直接实体接触,第一物体也也可耦接至第二物体。
虽然前述内容涉及本公开内容的各个实施方式,但是可在不背离本公开内容的基本范围的情况下设计本公开内容的其他及进一步实施方式,且本发明的范围由随附权利要求书确定。

Claims (15)

1.一种用于等离子体处理的波形产生器,包含:
电压源,选择性地耦接至输出节点,其中
所述输出节点经配置以耦接至设置在处理腔室之内的电极,并且
所述输出节点经选择性地耦接至接地节点;
射频(RF)信号产生器;和
第一滤波器,耦接在所述RF信号产生器与所述输出节点之间。
2.如权利要求1所述的波形产生器,其中所述波形产生器经配置以通过选择性地将所述电压源和所述接地节点耦接至所述输出节点来产生脉冲电压信号,并且其中所述RF信号产生器经配置以产生叠加在所述脉冲电压信号上的RF信号。
3.如权利要求1所述的波形产生器,其中所述第一滤波器包含高通滤波器。
4.如权利要求1所述的波形产生器,其中所述电压源经由开关选择性地耦接至所述输出节点。
5.如权利要求1所述的波形产生器,其中所述接地节点经由开关选择性地耦接至所述输出节点。
6.如权利要求1所述的波形产生器,进一步包含耦接在所述电压源与所述输出节点之间的第二滤波器。
7.如权利要求1所述的波形产生器,进一步包含耦接在所述接地节点与所述输出节点之间的第二滤波器。
8.如权利要求7所述的波形产生器,其中所述第二滤波器包含低通滤波器。
9.如权利要求8所述的波形产生器,其中所述低通滤波器包含与电感元件并联的电容元件。
10.如权利要求1所述的波形产生器,进一步包含:
电流源,耦接至所述输出节点;和
第二滤波器,耦接在所述电流源与所述输出节点之间。
11.如权利要求10所述的波形产生器,进一步包含:
第一开关,经配置以在第一阶段期间将所述电压源耦接至所述输出节点;和
第二开关,经配置以在第二阶段期间将所述接地节点耦接至所述输出节点,其中所述第一开关和所述第二开关进一步经配置以在第三阶段期间将所述电压源及所述接地节点与所述输出节点去耦接,所述RF信号产生器在所述第三阶段期间经耦接至所述输出节点。
12.如权利要求10所述的波形产生器,进一步包含耦接在所述电流源与所述接地节点之间的阻抗。
13.如权利要求12所述的波形产生器,其中所述阻抗包含电感元件及电阻元件。
14.一种用于波形产生的设备,包含:
存储器;和
一个或多个处理器,耦接至所述存储器,所述存储器及所述一个或多个处理器经配置以:
在波形的第一阶段期间将电压源耦接至输出节点,其中所述输出节点耦接至设置在处理腔室之内的电极;和
在所述波形的第二阶段期间将接地节点耦接至所述输出节点,其中射频(RF)信号产生器在所述第一阶段期间经由滤波器耦接至所述输出节点。
15.如权利要求14所述的设备,其中电流源在所述波形的第三阶段期间耦接至所述输出节点,所述电压源和所述接地节点在所述第三阶段期间与所述输出节点去耦接。
CN202280037883.6A 2021-06-02 2022-04-13 具有离子能量控制的等离子体激发 Pending CN117378031A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/337,146 US11967483B2 (en) 2021-06-02 2021-06-02 Plasma excitation with ion energy control
US17/337,146 2021-06-02
PCT/US2022/024678 WO2022256086A1 (en) 2021-06-02 2022-04-13 Plasma excitation with ion energy control

Publications (1)

Publication Number Publication Date
CN117378031A true CN117378031A (zh) 2024-01-09

Family

ID=84285426

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280037883.6A Pending CN117378031A (zh) 2021-06-02 2022-04-13 具有离子能量控制的等离子体激发

Country Status (6)

Country Link
US (2) US11967483B2 (zh)
JP (1) JP2024522091A (zh)
KR (1) KR20240011171A (zh)
CN (1) CN117378031A (zh)
TW (1) TW202312218A (zh)
WO (1) WO2022256086A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
WO2023019145A1 (en) * 2021-08-12 2023-02-16 Lam Research Corporation Distortion of pulses for wafer biasing

Family Cites Families (582)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
WO1999019527A2 (en) 1997-10-15 1999-04-22 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
KR100443471B1 (ko) 1998-09-18 2004-08-11 동경 엘렉트론 주식회사 플라즈마 처리 방법
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
WO2001009918A1 (en) 1999-08-02 2001-02-08 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
JP4819267B2 (ja) 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
JP5165825B2 (ja) 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
AU2001245938A1 (en) 2000-03-28 2001-10-08 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002052628A1 (fr) 2000-12-26 2002-07-04 Tokyo Electron Limited Procede et appareil de traitement au plasma
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
EP1253216B1 (en) 2001-04-27 2003-11-12 European Community Method and apparatus for sequential plasma treatment
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
CN100355033C (zh) 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
DE112004002262T5 (de) 2003-11-28 2006-10-26 Advantest Corp. Digitale QP Detektionsvorrichtung, Spektrumanalysator aufweisend dieselbe und ein Verfahren zur digitalen QP Detektierung
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
EP2479782B1 (en) 2004-06-21 2018-12-19 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP1803142A1 (en) 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
WO2006049085A1 (ja) 2004-11-04 2006-05-11 Ulvac, Inc. 静電チャック装置
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
EP1708239B1 (de) 2005-03-30 2011-03-02 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
US7852008B2 (en) 2005-05-13 2010-12-14 Panasonic Corporation Dielectric barrier discharge lamp lighting device
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
EP1982400A4 (en) 2006-01-23 2014-08-13 Audera Internat Sales Inc POWER SUPPLY FOR LIMITED POWER SOURCES AND AUDIOVER AMPLIFIERS WITH A POWER SUPPLY
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
KR100777151B1 (ko) 2006-03-21 2007-11-16 주식회사 디엠에스 하이브리드형 플라즈마 반응장치
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
DE502006005363D1 (de) 2006-11-23 2009-12-24 Huettinger Elektronik Gmbh Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
CN101589451B (zh) 2006-12-12 2012-03-07 Oc欧瑞康巴尔斯公司 针对高功率脉冲磁控溅射(hipims)的rf衬底偏压
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
US9536711B2 (en) 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
JP5606312B2 (ja) 2007-07-23 2014-10-15 トゥルンプフ ヒュッティンガー ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト プラズマ給電装置
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
CN102654481A (zh) 2007-11-26 2012-09-05 东京毅力科创株式会社 微细结构体检测装置以及微细结构体检测方法
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
JP5490024B2 (ja) 2008-03-06 2014-05-14 東京エレクトロン株式会社 有孔性低誘電率誘電膜の硬化方法
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
JP5891341B2 (ja) 2009-01-13 2016-03-23 ヘルスセンシング株式会社 プラズマ生成装置及び方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
SG175695A1 (en) 2009-08-07 2011-12-29 Kyosan Electric Mfg Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
JP2013534970A (ja) 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
ES2920140T3 (es) 2010-08-31 2022-08-01 Theraclone Sciences Inc Anticuerpos neutralizantes del virus de la inmunodeficiencia humana (VIH)
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
WO2012122064A1 (en) 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI762170B (zh) 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
KR102046193B1 (ko) 2012-02-01 2019-11-18 도쿄엘렉트론가부시키가이샤 플라스마 에칭 방법 및 플라스마 에칭 장치
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
US9922802B2 (en) 2012-02-20 2018-03-20 Tokyo Electron Limited Power supply system, plasma etching apparatus, and plasma etching method
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
US9644221B2 (en) 2012-03-30 2017-05-09 Toray Industries, Inc. Method of producing chemical by continuous fermentation and continuous fermentation apparatus
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
ES2865440T3 (es) 2012-08-15 2021-10-15 Lockheed Martin Energy Llc Hexacianuros de hierro de alta solubilidad
CN104756238B (zh) 2012-08-28 2017-12-15 先进能源工业公司 控制开关模式离子能量分布系统的方法
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
WO2014069559A1 (ja) 2012-11-01 2014-05-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
KR102168064B1 (ko) 2013-02-20 2020-10-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
TW201448108A (zh) 2013-03-12 2014-12-16 Applied Materials Inc 用於電漿處理腔室的多重區域加熱及冷卻靜電夾盤
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
KR20150128965A (ko) 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
WO2014197611A1 (en) 2013-06-04 2014-12-11 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
WO2015009864A1 (en) 2013-07-17 2015-01-22 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (dms) processes
JP6441927B2 (ja) 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102133895B1 (ko) 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US11171568B2 (en) 2017-02-07 2021-11-09 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
EP4210223A1 (en) 2013-11-14 2023-07-12 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6586424B2 (ja) 2014-03-24 2019-10-02 エーイーエス グローバル ホールディングス, プライベート リミテッド 高周波発生器ソースインピーダンスの制御のためのシステムおよび方法
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
US10672616B2 (en) 2014-12-25 2020-06-02 Tokyo Electon Limited Plasma processing apparatus and plasma processing method
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
WO2016131061A1 (en) 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
EP3975207B1 (en) 2015-11-30 2023-12-20 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
JP2019504481A (ja) 2015-12-07 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャックを使用した基板の固定と開放のための方法及び装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
US10773282B2 (en) 2016-03-31 2020-09-15 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
TWI757334B (zh) 2016-09-06 2022-03-11 日商東京威力科創股份有限公司 準原子層蝕刻方法
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
CN110268808A (zh) 2016-12-30 2019-09-20 鹰港技术股份有限公司 高压感应加法器
US20180190501A1 (en) 2017-01-05 2018-07-05 Tokyo Electron Limited Plasma processing apparatus
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
US10446453B2 (en) 2017-03-17 2019-10-15 Tokyo Electron Limited Surface modification control for etch metric enhancement
EP3832691A1 (en) 2017-03-31 2021-06-09 Eagle Harbor Technologies, Inc. Method of plasma processing a substrate and plasma processing chamber
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
TWI775862B (zh) 2017-05-30 2022-09-01 美商泰坦先進能源解決公司 電池壽命評估和容量恢復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
JP7233803B2 (ja) 2017-08-17 2023-03-07 東京エレクトロン株式会社 工業用製造機器における特性をリアルタイム感知するための装置及び方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
KR102361417B1 (ko) 2017-09-26 2022-02-09 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 점화를 위한 시스템 및 방법
KR102514231B1 (ko) 2017-10-30 2023-03-24 엔지케이 인슐레이터 엘티디 정전 척 및 그 제조법
WO2019088204A1 (ja) 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
US10991554B2 (en) 2017-11-16 2021-04-27 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
US11569072B2 (en) 2018-05-03 2023-01-31 Applied Materials, Inc. RF grounding configuration for pedestals
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP7357191B2 (ja) 2018-06-18 2023-10-06 東京エレクトロン株式会社 製造装置における特性の低干渉でのリアルタイム感知
CN116387129A (zh) 2018-06-22 2023-07-04 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和存储介质
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
WO2020051064A1 (en) 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
JP2022508104A (ja) 2018-11-14 2022-01-19 エーイーエス グローバル ホールディングス, プライベート リミテッド 設定点追跡における最小遅延のためのインターリーブスイッチモード電力ステージの加算合成
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
JP7297795B2 (ja) 2019-01-09 2023-06-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
US20200373114A1 (en) 2019-05-24 2020-11-26 Eagle Harbor Technologies, Inc. Klystron Driver
KR20220027141A (ko) 2019-07-02 2022-03-07 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 rf 절연
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
EP4035267A4 (en) 2019-09-25 2023-11-01 Eagle Harbor Technologies, Inc. HIGH VOLTAGE PULSE SHARPENING OF A NON-LINEAR TRANSMISSION LINE WITH ENERGY RECOVERY
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11810760B2 (en) * 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation

Also Published As

Publication number Publication date
KR20240011171A (ko) 2024-01-25
TW202312218A (zh) 2023-03-16
JP2024522091A (ja) 2024-06-11
US11967483B2 (en) 2024-04-23
US20240249915A1 (en) 2024-07-25
US20220392750A1 (en) 2022-12-08
WO2022256086A1 (en) 2022-12-08

Similar Documents

Publication Publication Date Title
US11776789B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
US11810760B2 (en) Apparatus and method of ion current compensation
US20240249915A1 (en) Plasma excitation with ion energy control
CN116097393A (zh) 用于等离子体处理应用的脉冲电压源
US20220399193A1 (en) Plasma uniformity control in pulsed dc plasma chamber
KR20230031311A (ko) 전압 펄스 시간 도메인 다중화
CN115868003A (zh) 用于基板处理的脉冲电压增压
CN117425945A (zh) 等离子体腔室和腔室部件清洁方法
US20240177969A1 (en) Solid-state switch based high-speed pulser with plasma iedf modification capability through multilevel output functionality
CN117751421A (zh) 用于对等离子体中的离子能量分布进行数字控制的方法和装置
JP2024534993A (ja) プラズマ中のイオンエネルギー分布をデジタル制御するための方法及び装置
TW202425046A (zh) 處理腔室中的腔室阻抗管理
CN118202439A (zh) 等离子体反应器中电极的离子能量控制

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination