JP7316091B2 - 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法 - Google Patents

電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法 Download PDF

Info

Publication number
JP7316091B2
JP7316091B2 JP2019088819A JP2019088819A JP7316091B2 JP 7316091 B2 JP7316091 B2 JP 7316091B2 JP 2019088819 A JP2019088819 A JP 2019088819A JP 2019088819 A JP2019088819 A JP 2019088819A JP 7316091 B2 JP7316091 B2 JP 7316091B2
Authority
JP
Japan
Prior art keywords
conductor
generator
bias
voltage
electrically connected
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019088819A
Other languages
English (en)
Other versions
JP2019197890A (ja
Inventor
ドルフ レオニド
ルエレ オリビエ
ディンドサ ラジンダー
ロジャーズ ジェームズ
スリニバサン スニル
クマー ミシラ アヌラグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019197890A publication Critical patent/JP2019197890A/ja
Priority to JP2023115745A priority Critical patent/JP2023145535A/ja
Application granted granted Critical
Publication of JP7316091B2 publication Critical patent/JP7316091B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/248Components associated with high voltage supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3438Electrodes other than cathode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3444Associated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3348Problems associated with etching control of ion bombardment energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Description

背景
(分野)
本明細書に記載の実施形態は、概して、半導体製造に使用されるプラズマ処理チャンバに関する。
(関連技術の説明)
高アスペクト比のフィーチャーを確実に生み出すことは、半導体デバイスの超大規模集積(VLSI)及び超超大規模集積(ULSI)の次世代に向けた重要な技術課題の1つである。高アスペクト比のフィーチャーを形成する1つの方法では、反応性イオンエッチング(RIE)プラズマ処理などのプラズマ支援エッチング処理を使用して、基板の材料層(例えば、誘電体層)に高アスペクト比の開口部を形成する。典型的なRIEプラズマ処理では、プラズマがRIE処理チャンバ内で形成され、プラズマからのイオンが基板の表面に向かって加速されて、基板の表面上に形成されたマスク層の下に配置された材料層に開口部を形成する。
典型的な反応性イオンエッチング(RIE)プラズマ処理チャンバは、高周波(RF)バイアス発生器を備えて、「静電チャック」(ESC)アセンブリに埋め込まれた金属ベースプレートである「電源電極」に高周波電圧を供給する。「電源電極」は、より一般的には「カソード」と呼ばれる。図1Aには、典型的な処理チャンバ内の電源電極に供給される典型的な高周波電圧のプロット線が描かれている。電源電極は、誘電体(例えば、セラミック材料)の層を介して処理システムのプラズマに容量結合されており、ESCアセンブリの一部である。電源電極に高周波電圧を印加することで、電子反発性プラズマシース(「カソードシース」とも呼ばれる)を基板の処理表面の上に形成する。処理の間、基板はESCアセンブリの基板支持面上に位置決めされている。プラズマシースが非線形で、ダイオードのような性質持つことで、印加された高周波場に整流作用がもたらされる。その結果、直流(DC)電圧降下、すなわち「自己バイアス」が基板とプラズマとの間に現れ、プラズマ電位に対して基板電位が負になる。この電圧降下は、基板に向かって加速されるプラズマイオンの平均エネルギーを決定し、それゆえにエッチング異方性を決定する。より具体的には、イオンの指向性、フィーチャープロファイル、並びにマスク及び停止層に対するエッチング選択性は、イオンエネルギー分布関数(IEDF)によって制御される。高周波バイアスのあるプラズマでは、図1Bに示すように、IEDFは通常、低エネルギーと高エネルギーに2つのピークを持ち、その間にイオン集団がある。IEDFの2つのピークの間にイオン集団が存在することは、基板とプラズマとの間の電圧降下が高周波バイアス周波数で振動するという事実を反映している。より低い周波数(例えば、2MHz)の高周波バイアス発生器を用いて、より高い自己バイアス電圧を得る場合、これら2つのピーク間のエネルギーの差が重要になる場合があり、低エネルギーピークのイオンによるエッチングプロファイルはより等方的であるため、潜在的にフィーチャー壁の湾曲につながる可能性がある。高エネルギーイオンと比較して、低エネルギーイオンは、エッチングされたフィーチャーの底部の角への到達では(例えば、帯電効果のために)あまり効果的ではないが、マスク材料のスパッタリングは少なくて済む。これは、ハードマスク開口又は誘電体モールドエッチングなどの高アスペクト比エッチング用途において重要である。
フィーチャーサイズは縮小し続け、アスペクト比は増加し、他方、フィーチャープロファイル制御条件はより厳しくなっている。それにつれて、処理の間に、基板表面に十分に制御されたイオンエネルギー分布関数(IEDF)を有していることが、いっそう望まれている。単一ピークIEDFを使用して、あらゆるIEDFを構築することができ(その中にはピーク高さとエネルギーが独立に制御される2ピークIEDFも含まれる)、高精度プラズマ処理には有益である。著者らは、単一ピークIEDF(例えば、図5Cに示す単一ピークIEDF520)を作り出すには、プラズマと基板との間の電位差がほぼ一定である、すなわちシース電圧がほぼ一定であることが必要であることに気づいた。それは、シース電圧が処理の間の基板表面でのイオンエネルギーを決定するからである。プラズマ電位(通常は、処理プラズマにおいて、グランド電位から数十ボルト以内)がほぼ一定だと仮定すると、そのためには、グランドに対する基板の表面での負の電位をほぼ一定に維持することが必要になる。著者らはさらに、単に電源電極にDC電圧を印加することでは、これを達成できないことに気付いた。これは、電子反発性プラズマ(カソード)シースの存在下では、バルクプラズマからのイオン性電流がバルクプラズマからの電子性電流と釣り合っていないためであり、この不釣り合いは、シース電界が電子を基板に寄せ付けないためである。その結果、バルクプラズマからの釣り合いのとれていない正味の電流(イオン性電流に等しい)が、絶えず基板表面に電荷を蓄積させており、そのために、最終的には、印加されたDC電圧のすべてが、基板とESCアセンブリの誘電体層の両端の間(すなわち、チャックコンデンサ)で降下することになり、思い通りのプラズマシースの両端の間(すなわち、シースコンデンサ)での電圧降下にはならない。
したがって、当技術分野では、シース電圧をほぼ一定に維持することを可能にする新規なバイアス方法が必要とされている(プラズマ電位がほぼゼロであると仮定すると、シース電圧はグランドに対する基板電圧の値に等しい)。シース電圧をほぼ一定に維持することで、基板の表面に単一エネルギーのIEDFを作り出し、その結果、基板の表面に形成されたIEDFの形状及びフィーチャープロファイルを正確に制御できるようになる。
概要
本明細書で提供される開示の諸実施形態には、基板処理時間の最大約90%にわたってほぼ一定のシース電圧を維持することを可能にする基板の処理方法が含まれ得る。この方法を実行することで、(狭い)単一ピークのイオンエネルギー分布関数(IEDF)がもたらされ、さらにそれを使用して、任意の形状のIEDFを作り出すことができる。本明細書では、方法には、基板支持体上に配置された基板の表面の上にプラズマを発生させる工程と、基板支持体内に配置されたバイアス電極においてパルス電圧波形を確立する工程が含まれる。パルス電圧波形は、第2導電体によってバイアス電極に接続されたパルスバイアス発生器を使用して、バイアス電極において確立される。パルスバイアス発生器は、パルス発生器と電流帰還出力ステージとを備え、これらは同時に第2導電体に接続されている。パルス発生器は、出力の両端の間に(すなわち、対地の)、所定の正の電圧を、規則的に繰り返される所定の長さの時間間隔の間、所定の速度でその内部スイッチを繰り返し開閉することによって維持する。パルス発生器は、定電圧源、スイッチ、及びスナバを備える。スイッチは、閉じたときに、ほぼ一定である定電圧源の正の出力をパルス発生器の出力に電気的に接続し、同時に、定電圧源の正の出力は第1導電体を介して第2導電体にも接続される。パルス発生器の出力の両端の間のスナバ(例えば「フライバック」ダイオード)は、スイッチの開放に続く誘導性構成要素(第1及び第2導電体など)による磁気エネルギーの急速な放出の間に起こり得る電圧スパイクを最小限に抑える(すなわち「スナブ」する)。本明細書では、電流帰還出力ステージの第1端は、第1導電体を介してナノ秒パルス発生器の正の出力に電気的に接続され、同時に第2導電体にも電気的に接続され、電流帰還出力ステージの第2端は電気的に接地されている。
いくつかの実施形態ではパルス電圧波形は複数のパルス電圧サイクルを含み、各パルス電圧サイクルは、シース崩壊局面、チャックコンデンサ再帯電局面、シース形成局面、及びイオン性電流局面を含む。崩壊局面の間、スイッチは閉じられ、パルス発生器から供給される電流によってシースコンデンサが放電される。チャックコンデンサ再帯電局面の間、スイッチは閉位置に維持され、パルス発生器からの電流によってバイアス電極に正の電荷が供給される。シース形成局面の間、スイッチが開き、電流はシース及び浮遊容量から電流帰還出力ステージを通ってグランドへ流れる。イオン性電流局面の間、スイッチは開位置に維持され、同様にプラズマから電流帰還出力ステージを通ってグランドに流れるイオン性電流は、基板表面上に正の電荷を蓄積させ、シースコンデンサ及びチャックコンデンサを徐々に放電させて、こうして、シース電圧降下をゆっくりと減少させる。
いくつかの実施形態では、シース崩壊局面、再帯電局面、及びシース形成局面は、約200nsから約300nsの間の合計持続時間を有する。いくつかの実施形態では、スイッチが閉じたままの時間の間、パルス発生器の正の出力電圧は、約0.1kVから約10kVの間である。いくつかの実施形態では、各パルス電圧サイクルのうちの約10nsから約100nsの間、スイッチは閉位置に留まる。いくつかの実施形態では、各パルス電圧サイクルは、約2μsから約3μsの間の持続時間を有する。いくつかの実施形態では、シース崩壊局面と再帯電局面を合わせると、パルス電圧サイクルの約10%未満を構成する。いくつかの実施形態では、バイアス電極は、誘電体層によって基板支持体の基板支持面から離間しており、基板支持体の誘電体層とその上に配置された基板との合成直列容量は、約5nFから約12nFの間である。いくつかの実施形態では、チャック電源が接続点で外部導電体に接続されており、約40nFから約80nFの間の静電容量を有するブロッキングコンデンサが、パルスバイアス発生器と接続点との間に、パルスバイアス発生器と直列に配置されている。いくつかの実施形態では、約1Mオームを超える抵抗を有するブロッキング抵抗が、チャック電源と接続点との間に配置されている。
他の実施形態では、処理チャンバは、チャンバ蓋、1つ以上の側壁、及びチャンバベースを備えており、これらは共に処理容積を画定している。処理チャンバは、処理容積内に配置された基板支持体であって、誘電体層によって基板支持体の基板支持面から分離されたバイアス電極を備える基板支持体と、第2導電体によってバイアス電極に接続されたパルスバイアス発生器とをさらに備えている。パルスバイアス発生器は、パルス発生器と電流帰還部とを備える。パルス発生器は、電圧源と、閉じたときに、電圧源の正の出力をパルス発生器の出力に電気的に接続するスイッチとを備え、パルス発生器の出力は、第1導電体を介して第2導電体と、パルス発生器の出力の両端の間のスナバとに接続されている。電圧源は定電圧源であってもよい。本明細書では、電流帰還出力ステージの第1端は、第2導電体に電気的に接続され、同時に第1導電体を介してパルス発生器の正の出力に接続されている。電流帰還出力ステージの第2端は電気的に接地されている。いくつかの実施形態では、処理チャンバは、誘導結合プラズマ(ICP)又は容量結合プラズマ(CCP)のプラズマ発生器を備える。
本開示の諸実施形態は処理チャンバをさらに備え、処理チャンバは基板支持体を備え、基板支持体は、基板支持体の基板支持面から誘電体層によって分離されたバイアス電極と、導電体によってバイアス電極に接続されたバイアス発生器とを備える。バイアス発生器はパルス発生器を備え、パルス発生器は、正の端子及び負の端子を有する電圧源であって、負の端子は接地されている電圧源と、閉じたときに、正の端子を導電体の一方の端に電気的に接続するスイッチと、導電体の一方の端とグランドの間に接続されているスナバとを備える。バイアス発生器はまた、電流帰還出力ステージであって、電流帰還出力ステージの第1端は導電体に電気的に接続され、電流帰還出力ステージの第2端は電気的に接地されている電流帰還出力ステージを備える。導電体はさらに、直列に接続された第1導電体及び第2導電体であって、第1導電体の一方の端は電圧源の正の端子に接続され、第2導電体の一方の端はバイアス電極に接続されている第1導電体及び第2導電体を備えてもよい。いくつかの構成では、第1導電体は、バイアス発生器内にある「内部」導電体であり、第2導電体は、バイアス発生器とバイアス電極との間に配置された「外部」導電体である。
本開示の諸実施形態はさらに基板の処理方法を含み、基板の処理方法は、基板支持体上に配置された基板の表面の上にプラズマを発生させる工程と、導電体によってバイアス電極に接続されたバイアス発生器を使用して、基板支持体内に配置されたバイアス電極をバイアスする工程とを含む。バイアス発生器はパルス発生器を備え、パルス発生器は、正の端子及び負の端子を有する電圧源であって、負の端子は接地されている電圧源と、閉じたときに、正の端子を導電体に電気的に接続するスイッチと、電流帰還出力ステージであって、電流帰還出力ステージの第1端は導電体に電気的に接続され、電流帰還出力ステージの第2端は電気的に接地されている電流帰還出力ステージとを備える。バイアス電極をバイアスする方法は、第1期間にわたってスイッチを繰り返し閉じることによってバイアス電極にパルス電圧波形を生成する工程と、次に、第2期間にわたってスイッチを複数回開く工程とを含み、ここで、スイッチを閉じると、第1期間の間に、グランドに対する正の電圧が電圧源によって導電体に印加され、スイッチを開くと、第2期間の少なくとも一部の間に、電流がバイアス電極から電流帰還出力ステージを通ってグランドへ流れる。方法はまた、第1期間の終わりまでに、生成されたプラズマによって、基板の表面の上に形成されたシース電圧降下を実質的に解消する工程と、第2期間の間に、電流をバイアス電極から電流帰還出力ステージを介してグランドへ流す工程とを含んでもよい。方法はまた、プラズマ電位を形成する工程を含んでもよい。第1期間は、第1持続時間を有するシース崩壊局面であって、第1持続時間の終わりに、基板の表面上に形成された電位は、発生したプラズマのプラズマ電位と実質的に等しくなっているシース崩壊局面と、第2持続時間を有するチャック容量再帯電局面であって、発生したプラズマによって基板の表面の上に形成されたシース電圧降下が、第1持続時間及び第2持続時間が順次完了した後に解消されるチャック容量再帯電局面とを含む。第2期間は、第3持続時間を有するシース形成局面であって、バイアス電極から電流帰還出力ステージを通ってグランドへ流れる電流は、第3持続時間の間に発生しているシース形成局面と、第4持続時間を有するイオン性電流局面であって、第4持続時間は、第1、第2及び第3持続時間を合わせた時間よりも長いイオン性電流局面とを含み得る。
本開示の諸実施形態はさらに処理チャンバを備え、処理チャンバは、誘電体層によって基板支持体の基板支持面から分離されたバイアス電極を備える基板支持体と、導電体によってバイアス電極に接続されたバイアス発生器とを備える。バイアス発生器はパルス発生器を備え、パルス発生器は、正の端子及び負の端子を有する電圧源であって、負の端子は接地されている電圧源と、閉じたときに、正の端子を導電体の一方の端に電気的に接続するスイッチとを備える。バイアス発生器はまた、電流帰還出力ステージであって、電流帰還出力ステージの第1端は導電体に電気的に接続され、電流帰還出力ステージの第2端は電気的に接地されている電流帰還出力ステージを備える。処理チャンバはまた、プロセッサによる実行時に基板を処理する方法を実行するための命令を格納したコンピュータ可読媒体を備えて、方法は、基板支持体上に配置された基板の表面の上にプラズマを発生させる工程と、バイアス発生器を用いてバイアス電極をバイアスする工程であって、バイアス電極をバイアスする工程は、第1期間にわたってスイッチを繰り返し閉じることによってバイアス電極にパルス電圧波形を生成する工程と、次に、第2期間にわたってスイッチを複数回開く工程とを含み、ここで、スイッチを閉じると、第1期間の間にグランドに対する正の電圧が導電体の一方の端に印加され、スイッチを開くと、第2期間の少なくとも一部の間に、電流がバイアス電極から電流帰還出力ステージを通ってグランドに流れる。導電体はさらに、直列に接続された第1導電体及び第2導電体であって、第1導電体の一方の端は電圧源の正の端子に接続され、第2導電体の一方の端はバイアス電極に接続されている第1導電体及び第2導電体を備えてもよい。
本開示の上記の構成を詳細に理解することができるように、上記に簡単に要約した本開示のより具体的な説明を、実施形態を参照して行う。それらの実施形態の一部は添付図面に例示されている。しかしながら、添付図面は本開示の典型的な実施形態を示しているに過ぎず、従ってこの範囲を制限していると解釈されるべきではなく、本開示は他の等しく有効な実施形態を含み得ることに留意すべきである。
先行技術による、プラズマ処理チャンバのバイアス電極に供給される高周波電圧波形を示す。 先行技術による、従来の処理チャンバ内で実行されたプラズマ処理の間の基板の表面におけるイオンエネルギー分布関数(IEDF)を示す。 一実施形態による、本明細書に記載の方法を実施するように構成された例示的な処理チャンバの概略断面図である。 一実施形態による、本明細書に記載のパルス電圧バイアス方式と機能的に等価な近似回路図である。 一実施形態による、本明細書に記載のパルス電圧バイアス方式を使用して基板を処理する方法のフロー図である。 図4に記載の方法を示す。 図2~図3に関連して説明したバイアス方式の簡略回路図である。 本明細書に記載のバイアス方式の数値シミュレーションの結果を示す。 本明細書で提案したパルス電圧バイアス方式を実際に実施することによって生成された基板電圧測定波形のオシロスコープ写図を示す。
理解を容易にするため、可能な場合には、同一の符号を使用して、これらの図面に共通の同一の要素を示す。ある実施形態の要素及び構成は、具体的な記述がなくとも、他の諸実施形態に有益に組み込まれ得ると意図される。
詳細な説明
本明細書に記載の諸実施形態は、すべてのプラズマ支援型又はプラズマ強化型の処理チャンバ、及びプラズマ支援型又はプラズマ強化型の基板の処理方法に適用可能である。より具体的には、本開示の諸実施形態では、シース電圧をほぼ一定に維持し、それによって、基板の表面に単一エネルギーのIEDFを作り出し、その結果、基板の表面に形成されたIEDFの形状及びフィーチャープロファイルを正確に制御できるようになる電極バイアス方式が説明される。本開示を通して以下の定義が使用される。(1)基準が指定されていない限り、すべての電位はグランドを基準にしている。(2)任意の物理的な点(基板又はバイアス電極のような)における電圧も同様に、グランド(ゼロ電位点)に対するその点の電位として定義される。(3)カソードシースは電子反発性イオン加速シースであることを意味し、この電子反発性イオン加速シースはプラズマに対する負の基板電位に相当する。(4)シース電圧(時に「シース電圧降下」とも呼ばれる)VSHは、プラズマと隣接表面(例えば、基板又はチャンバ壁の表面)との間の電位差の絶対値として定義される。(5)基板電位はプラズマに面する基板表面の電位である。
パルス電圧バイアス方式(例えば、図2及び図3に関して説明したバイアス方式)を提案する。この方式では、パルスバイアス発生器(例えば、図2のパルスバイアス発生器240)を用いて、パルス電圧波形(例えば、図5Aに示すパルス電圧波形500)がバイアス電極(例えば、チャッキング極204)で確立され、このバイアス電極は、ESCアセンブリ内の誘電体の薄層によって基板から分離されている(この薄層は、ESCコンデンサCESCを形成する)。このパルス電圧バイアス方式では、基板処理時間の最大約90%にわたってほぼ一定のシース電圧を維持することが可能になる。これにより、(狭い)単一ピークIEDF(例えば、図5CのIEDF520)が得られ、このIEDFをさらに使用して任意の形状を有するIEDFを作り出すことができる。
但し、他のバイアス方式を使用してパルス電圧波形を確立する可能性もある。そのパルス電圧波形は、例えば、ESCアセンブリ内の誘電体の薄層によって基板から分離されているバイアス電極(チャッキング極など)での波形500(図5Aに示す)である。したがって、別途(バイアス方式を特定せずに)、前記バイアス電極において波形500などのパルス電圧波形を確立することで、基板プラズマ処理時間の最大約90%にわたってほぼ一定のシース電圧を維持することを可能にし、これにより、(狭い)単一ピークIEDFを得て、このIEDFを用いて任意の形状のIEDFを作り出すことを提案する。
上記で提案したパルス電圧バイアス方式の一実施形態を図2のチャンバ図に示し、このバイアス方式の等価な電気回路を図3に示し、この等価な電気回路の簡略図を図6に示す。図6に示されている簡略化された電気回路を数値的にモデル化して、図7A~7Hに示されている結果を得る。
図2には、一実施形態による、上記で提案したパルス電圧バイアス方式を備えるチャンバ図が示されている(本文では、後ほど図2のより詳細な説明を行う)。本明細書に記載のバイアス方式は、基本的に、以下の主要構成要素から構成されている。
(1)出力の両端の間に(すなわち、対地の)、実質的に一定な所定の正の電圧を、規則的に繰り返される所定の長さの時間間隔の間、所定の速度でその内部スイッチを繰り返し開閉することによって維持するナノ秒パルス発生器214。図2には、簡略化されているものの機能的に等価なナノ秒パルス発生器の概略図が示されている。図2のナノ秒パルス発生器は、最小限の構成要素の組み合わせへと単純化されているが、バイアス電極(チャッキング極204など)で所望のパルス電圧波形(波形500など)を確立する状況での役割を理解する上で重要である。これらの構成要素には、通常、内部電圧源、高反復速度スイッチ、及びフライバックダイオードが含まれている。実際のナノ秒パルス発生器は、任意の数の内部構成要素を備えてもよく、図2のものよりも複雑な電気回路を基礎にし得ることを理解する必要がある。同様に、図2の概略図には、ナノ秒パルス発生器の構成要素及びその電気回路の機能的に等価なもののみが描かれており、その範囲は基本原理を説明するために必要な範囲に限られており、その説明対象は、その動作、処理容積内でのプラズマとの相互作用、パルス電圧波形(波形500など)をバイアス電極(チャッキング極204など)で確立する際のその役割である。図2に示す概略図から推測できるように、スイッチSは開(オフ)から閉(オン)の位置に移動すると、ナノ秒パルス発生器の出力をその内部電圧源に接続し、この内部電圧源はほぼ一定の出力電圧を生成する。実際、図3に示されるナノ秒パルス発生器の一実施例に関するより詳細で(しかし依然として簡略化されている)、等価な電気回路から分かるように、スイッチは実際には内部バッテリを昇圧出力トランスに接続している。この部分の詳細は、ナノ秒パルス発生器の動作と、バイアス電極(チャッキング極204など)でパルス電圧波形(波形500など)を確立する際のその機能の基本原理を理解する上で重要ではないが、重要な実用的意味を有しているので後に説明する。フライバックダイオードの目的は、これも別のスナバ回路で置き換えられ得るが、スイッチSの開放によって引き起こされる可能性のある電圧スパイクを抑制、すなわち「スナブ」することであり、このスイッチSの開放に続いて、誘導性要素に蓄積された磁気エネルギーが急速に放出されるからである。これらの誘導性要素には、(A)合成インダクタンスLtransmを有する伝送線路206などの外部導電体、及び(B)ナノ秒パルス発生器214と電流帰還出力ステージ215を接続し、合成インダクタンスLinternalを有する内部導電体を含むパルスバイアス発生器240の構成要素とが含まれる。磁気エネルギーは、時間間隔の間に誘導性要素に蓄積するが、この時、スイッチSは閉位置に留まり、ナノ秒パルス発生器はシステムに電流を供給している。時間間隔の間のナノ秒パルス発生器の出力電圧Vの大きさVは、スイッチSが閉(オン)位置にあり、ほぼ一定の正の出力電圧(Vに等しい)が維持されるとき、数キロボルト(例:0.1-10kV)にもなり得る。そのスイッチが閉(オン)位置に留まり、ほぼ一定の正の出力電圧が維持される間の時間間隔は、「パルス幅」τと呼ばれ、数十ナノ秒(例えば10~100ns)の長さになり得る。同様に、スイッチが開(オフ)位置から閉(オン)位置に移行する間の時間間隔は、「立ち上がり時間」τriseと呼ばれ、数十ナノ秒(例えば、25~50ns)になり得る。スイッチが開位置から閉位置に移行すると、ナノ秒パルス発生器の出力電圧はVに達するまで徐々に増加する。最後に、開(オフ)位置から閉(オン)位置(又はその逆)への連続する2回の移行の間の時間の長さは「周期」Tと呼ばれ、パルス反復周波数の逆数に等しく、例えばパルス反復周波数は400kHzにもなり得る。以下の点に注目する。(a)本明細書で提案するパルス電圧バイアス方式では、ナノ秒パルス発生器は、主として電荷注入器(電流源)として使用され、定電圧源としては使用されない。したがって、出力電圧の安定性に厳密な要件を課す必要はなく、スイッチが閉(オン)位置に留まっていても時間の経過とともに出力電圧は変動する可能性がある。(b)ナノ秒パルス発生器は基本的にはソース電源であるが、シンク電源ではなく、一方向にのみ電流を流す(つまり、例えばコンデンサの帯電は可能だが、放電はできない)。(c)スイッチが開(オフ)位置にあるとき、ナノ秒パルス発生器の出力の両端の間にかかる電圧Vは、内部電圧源によって制御されない。その代わりに、その内部構成要素と他の回路要素との相互作用によって決定される。(d)「ナノ秒パルス発生器」という名称は、それが低浮遊容量/インダクタンスで抵抗支配の負荷で動作している時に、その出力の両端の間に電圧波形を発生させており、この波形は、連続した、グランド基準での正の電圧パルスだと言える事実に由来している。
(2)一方の端215Bは接地され、他端215Aは、内部導電体を介してナノ秒パルス発生器の正の出力に接続されると共に、同時に外部導電体に接続されている電流帰還出力ステージ215。ナノ秒パルス発生器と電流帰還出力ステージ及び内部導電体との組み合わせを、本明細書では「パルスバイアス発生器」240と呼ぶ。それはソース電源及びシンク電源の両者であり、両方向に電流を流す。電流帰還出力ステージは、以下の要素で構成され得る。(a)抵抗、(b)直列に接続された抵抗とインダクタ、又は(c)並列コンデンサを含む電気要素のより複雑な組み合わせであり、正の電流がグランドに向かって流れることを可能にする組み合わせ。
(3)パルスバイアス発生器240の出力をチャッキング極に接続する外部導電体。パルスバイアス発生器240の出力端は点215Aであり、ここで、ナノ秒パルス発生器214の出力は内部導電体を介して電流帰還出力ステージ215に接続されている。外部導電体は以下のものを含んでもよい。(a)同軸伝送線路206、但し、同軸伝送線路206には、インダクタンスL_rigidを有する剛性同軸伝送線路と共に、それに直列に接続する、インダクタンスL_flexを有する可撓性同軸ケーブルが含まれてもよく、(b)絶縁された高電圧コロナ耐性フックアップ線、(c)裸線、(d)金属棒、(e)電気コネクタ、又は(f)(a)~(e)の電気要素の任意の組み合わせ。但し、内部導電体は、外部導電体と同じ基本要素を含み得る。チャッキング極は、通常、静電チャックに埋め込まれ、誘電体の薄層(例えば、厚さ約0.3mm)によってプラズマから分離された金属板である。チャッキング極は、図2に示されるESCアセンブリの静電チャック部分(すなわち、ESC基板支持体205)内に埋め込まれたバイアス電極204であり得る。伝送線路206などの外部導体、及びバイアス電極204は、いくらかの対地合成浮遊容量Cを有する。
図3は、処理容積内のプラズマを含む、本明細書で提案するパルス電圧バイアス方式の機能的に等価な、簡略化された電気回路300を示す。また、図6は、回路300をさらに簡略化した回路600を示す。これらの回路は、パルスバイアス発生器(240など)と処理チャンバ308との相互作用の主な態様をモデル化し、その基本的な動作原理と役割は、バイアス電極(204など)でパルス電圧波形(500など)を確立することであることを説明し、パルス電圧波形(500など)の種々の局面で発生する付随物理現象について説明し、本明細書で提案するパルス電圧バイアス方式の動作の基本原理を概説するためにのみ使用される。実際には、本明細書に記載のパルス電圧バイアス方式と処理容積内のプラズマとの相互作用は、複雑な物理現象を伴う場合があるが、(例えば、外部及び内部導電体のような誘導性要素が存在することによって引き起こされる高周波振動)、ここではほとんど考慮されていない。しかしながら、次のように理解しておく必要がある。すなわち、パルス電圧波形(500など)の局面501~504に関する(本文の後ほどの)議論は、いくつかのより複雑な物理現象が考慮されずに簡略化された回路モデル600に主として基づいているが、それらの現象は、本明細書で提案するパルス電圧バイアス方式の動作の基本原理を理解する上で重要ではない。さらに、図5A~5B及び図7A~7Hの波形は、OrCAD P-Spice Designerソフトウェアを使用して簡略化された回路600を数値シミュレーションすることによって生成された(各図群毎に異なる回路パラメータ群を使用して、各図群を生成した)ものであり、モデル化によって明らかにされた、主な基礎となる物理現象(すなわち、シース崩壊、ESC再帯電、シース形成、及びイオン性電流による基板表面の帯電)は、実際のシステムに対して適切である。図3及び図6にそれぞれ示される等価な回路300及び600において、以下の説明によれば、図2のチャンバ図に表示されている全ての関連する物理的構成要素は、個々の回路要素によって表されている。
はじめに、静電チャック内の誘電体層、及びその表面に配置された処理済み基板(例えば、10nFより大きい静電容量を有する厚さ0.8mmのドープドシリコンスラブ)によって、チャッキング極はプラズマから分離されており、図3及び図6の回路では、静電容量C(例えば、約7~10nF)を有する単一のチャックコンデンサ303(実際には直列に接続された2つのコンデンサである)によって表現されている。言い換えると、基板(通常は半導体及び/又は誘電体の薄い層でできている)は電気的にESC誘電体層の一部であると考えられ、チャック静電容量Cを参照するときには常に、Cは、ESC(すなわちCESC)と基板(すなわちCwafer)の合成直列容量であることを意味する。基板の静電容量Cwaferは通常、非常に大きい(10nFより大きい)、又は基板は導電性(無限大の静電容量)である可能性があるので、直列容量は主に実際のCESCによって決まる。
第2に、チャッキング極204、パルスバイアス発生器240、及びそれらを合わせて接続する外部導電体(伝送線路206など)は、以下を有する。(A)回路600において、静電容量C(例えば、約500pF)を有する単一の浮遊コンデンサ302によって表現された、対地浮遊容量。(B)回路600において、パルスバイアス発生器240の内部導電体及び他の構成要素を表すインダクタLinternal(例えば、約300nH)、並びに伝送線路206などの外部導電体を表すインダクタLtransm(例えば、約500nH)によって表現されたインダクタンス。電流帰還出力ステージ215は、回路600において単一の抵抗Rros(例えば、約150オーム)によって表現される。
第3に、標準的な電気プラズマモデルを使用して、処理容積内のプラズマ全体を以下の3つの直列要素として表現する。
I.基板に隣接する電子反発性カソードシース304(「プラズマシース」又は単に「シース」と呼ぶこともある)。カソードシースは、図3及び図6において、従来通りの3部回路要素によって表現され、この3部回路要素は以下を含む。(a)ダイオードDSH:これが開いたときにはシース崩壊を表現する。(b)電流源I(例えば、約0.5~5A):これはシースの存在下で基板に流れるイオン性電流を表す。(c)コンデンサCSH(たとえば、高アスペクト比の用途では約100~300pF):これは、バイアスサイクルの主要期間(約90%)、すなわちイオン性電流局面の間のシースを表現しており、この間にイオン加速及びエッチングが生じる。
II.図3及び図6において、単一の抵抗Rpl(例えば、約5~10オーム)によって表現されているバルクプラズマ305。
III.チャンバ壁で形成された電子反発性壁シース306。壁シースも同様に、図3及び図6において3部回路要素によって表現され、この3部回路要素は以下を含む。(a)ダイオードD。(b)電流源Iiw(例えば、約5-10A):これは壁へのイオン性電流を表す。(c)コンデンサC(例えば、約5~10nF):これは主にESC再帯電局面502(本文中で後述する)の間の壁シースを表現しており、この時、電子反発性カソードシースは存在せず、壁シースコンデンサは、ナノ秒パルス発生器によってESCを通って押し出される大電流によって帯電される。カソードシースは壁シースよりもはるかに厚く(高電圧のために)、壁面積の合計は基板面積よりもはるかに大きいので、C≫CSHとした。接地されている金属壁の内面は、誘電体の薄層で被覆されていると考えられ、図3及び図6では大きなコンデンサCcoat(例えば、約300~1000nF)によって表現されている。
図4は、一実施形態による、本明細書に記載のパルス電圧バイアス方式を使用して基板を処理する方法400のフロー図を示す。工程401では、方法400は、基板支持体上に配置された基板の表面の上にプラズマを発生させる工程を含む。工程402では、方法400は、パルスバイアス発生器を用いて基板支持体内に配置されたバイアス電極でパルス電圧波形を確立する工程を含み、このパルスバイアス発生器は、伝送線路206などの外部導電体を使用してバイアス電極に接続されている。
図5Aは、バイアス電極で確立されたパルス電圧波形500を示す。図5Aに示すパルス電圧波形500の結果が、図5Bに示す基板電圧波形510であり、このように、基板処理時間の約90%の間でシース電圧をほぼ一定に保つことが可能になる。図5A~5Bに示す電圧波形500及び510、並びに図7A~7Hに示す波形は、OrCAD P-Spice Designerソフトウェアを使用して、簡略化された回路600を数値シミュレーションすることによって、生成された。図5A~5Bを生成するために使用した回路パラメータは、波形500及び510の種々の局面を明確に説明するように選択された(例えば、波形周期は1μsに設定された)。逆に、図7A~7Hを生成するために使用されたパラメータは、本明細書で提案するパルス電圧バイアス方式を可能性として実際に実施できることを実証するように選択された(例えば、波形周期は2.5μsに設定された)。但し、図5A~5B及び図7A~7Hに示される波形は、実験的に観察可能な波形(図8に例を示す)の簡略化された概略図として解釈されるべきである。実際の波形は非常に複雑で、多数の微細なフィーチャー(例えば、外部導電体や内部導電体などの誘導性要素が存在することによって引き起こされる高周波振動)が含まれ得るが、図5A~5B及び7A~7Hには現れていない。しかしながら、これらの微細なフィーチャーは、本明細書で提案するパルス電圧バイアス方式によって生成された実際のパルス電圧波形の全体的な形状を決定している基本的な物理現象を理解するためには本質的ではない。したがって、以下の説明は主に回路600と図5A~5B及び図7A~7Hに示すシミュレーション波形とに基づいているが、パルス電圧波形サイクルの局面501~504の間に起こる主な基本となる物理現象(すなわち、シース崩壊、ESC再帯電、シース形成、及びイオン性電流による基板表面の帯電)は、実際のシステムに対して適切である。
図5Aでは、パルス電圧波形500は、電圧オフセットの上に重ねた、周期T(例えば、2.5マイクロ秒)で繰り返す周期的な一連の短い正のパルスを含む。各周期(反復サイクル)内の波形には、以下のものが含まれている。
(1)システムの浮遊コンデンサを帯電させてカソードシースを崩壊させる正の電圧急変化、すなわちシース崩壊局面501。この間は、シースコンデンサは放電され、基板電位は(図5Bに示されるように)局所プラズマ電位のレベルになる。シース崩壊局面501は、ESC再帯電局面502の間にプラズマからもたらされる電子によるチャックコンデンサの急速な再帯電を可能にする。局面501が続く間、スイッチS(図6参照)は閉じられ、閉(オン)位置に留まる。その結果、ナノ秒パルス発生器(例えば、214)がその出力の両端の間にほぼ一定の正の電圧を維持し、システムに電流を供給することが可能になる。局面501の持続時間Tは、イオン性電流局面504の持続時間T(以下に説明する)又は全体の周期Tよりもはるかに短く、典型的には数十ナノ秒のオーダーである(例えば、20~50ns)。これは、1つには、局面501の間のプラズマ電流が電子によって運ばれるためである。すなわち、電子の雲が基板に向かって移動し、徐々にイオン空間電荷を圧倒して、こうして、シース電圧降下がなくなるためである。もう1つには、電子速度はイオン速度よりもはるかに速いためであり、この速度差はこの2種間の質量比が非常に大きいことが原因である。
(2)ESC再帯電局面502の間のチャックコンデンサCの再帯電。イオン性電流局面504(後述する)の間に基板表面に蓄積された全電荷と、電荷値は等しく、反対の極性を持つ電荷が急速に注入されたことによる。局面501の間のように、ナノ秒パルス発生器214は、その出力の両端の間にほぼ一定の正の電圧を維持する(スイッチSはオン位置に留まる)。局面501と同様に、局面502の持続時間Tは、イオン性電流局面504の持続時間T(後述する)又は全体の周期Tよりもはるかに短く、典型的には数十ナノ秒のオーダーである(例えば、30~80ns)。これは、局面502の間のプラズマ電流も電子によって運ばれるためである。すなわち、カソードシースが存在しない状況で、電子は基板に到達して表面電荷を蓄積させ、こうしてコンデンサCが帯電する。
(3)処理チャンバの浮遊コンデンサを放電させ、シースを再形成し、シース形成局面503の間にシース電圧(VSH)の値を整える負の電圧急変化(VOUT)。図6のスイッチSは、シース形成局面503の開始時に開き、誘導性要素は急速に(例えば、約10ナノ秒以内に)蓄積していた磁気エネルギーをチャックコンデンサC及び浮遊コンデンサCに放出する。誘導性要素には、インダクタンスLinternalによって表現されたパルスバイアス発生器240(例えば、内部導体)、及び回路600において309の符号が付されたインダクタンスLtransmによって表現された外部導体(例えば、伝送線路206)の内部構成要素が含まれてもよい。磁気エネルギー放出の間に、対応する電流は、フライバックダイオード、又は起こり得る電圧スパイクを抑制(すなわち「スナブ」)する同様の機能を有する別のスナバ回路を流れる。図7Bに示されるナノ秒パルス発生器出力電圧Vの時刻歴曲線から分かるように、磁気エネルギーの放出の間、ナノ秒パルス発生器(例えば、214)の内部電圧源は正の出力電圧を維持しない(スイッチSはオフ位置に留まる)ため、一時的にマイナス数ボルトまで低下して、フライバックダイオードは電流を通すことができている。なお、フライバックダイオード(又は起こり得る電圧スパイクを「スナブ」する同様の機能を持つ別の構成要素)なしでは、磁気エネルギーは電気抵抗のある電流帰還出力ステージを通して放出される必要があり、その結果、抵抗の両端の間には非実際的な大きな負の電圧(例えば、-20kV、これはパルスバイアス発生器240の内部構成要素を損傷する可能性がある)が数ナノ秒の間、発生して、ゼロ付近に下落することにはならない。磁気エネルギーが放出され、Ltransmを通る電流が(Linternalを通るのと同様に)ゼロにまで低下した後、方向を逆にして、プラズマと浮遊コンデンサから電流帰還出力ステージを通ってグランドに流れる(フライバックダイオードは逆バイアスになっているので、自身を流れる電流を遮断する)。このようにして、浮遊コンデンサCは放電し、シースコンデンサCSHは帯電する(すなわち、シースを再形成する)。シース形成の開始(CSHの帯電)は、図5Bにおいて明確な点として識別することができる。その点から、基板電位は局所プラズマ電位を下回って低下し始めるからである。局面501と同様に、局面503の持続時間Tは、イオン性電流局面504の持続時間T(後述する)又は全体の周期Tよりもはるかに短く、典型的には100~300nsのオーダーである。これは、局面503の間のプラズマ電流も同様に電子によって運ばれるためである。すなわち、電子の雲は基板から遠ざかり、徐々にイオン空間電荷が露出して、こうしてシースが形成され、シース電圧降下が生じる。なお、以下の点に注意する必要がある。(1)Tは、主に浮遊容量、及び電流帰還出力ステージを構成する要素(例えば、抵抗)の値によって決定される。(2)負の電圧急変化VOUTと確立したシース電圧VSHは、V(局面501~502の間のナノ秒パルス発生器出力電圧の大きさ)、及び総パルス幅τtot=τrise+τ=T+Tによって決定される。τtot(実際に制御されたパラメータ)がVOUTとVSHに与える影響を説明するために、局面502の間のT及びバイアス電極電圧の増加ΔVs、2の両方が、主にV及びイオン性電流Iによって決定されることに言及しておく。したがって、V及びIが与えられると、総パルス幅τtotがTを左右し、順繰りに、Tが局面501の間の基板電圧の増加ΔVsub、1及びバイアス電極電圧の増加ΔVs、1≒ΔVsub、1を決定するので、VOUT=ΔVs、1+ΔVs、2、及びVSH≒ΔVsub、1が求まる。
(4)持続時間Tを有する長い(サイクル持続時間Tの約85~90%)イオン性電流局面504。この間、ナノ秒パルス発生器214は同様に出力の両端の間に正の電圧を維持せずに(スイッチSはオフ位置に留まり)、イオン性電流は、プラズマから電流帰還出力ステージを通ってグランドへ流れる。イオン性電流は、基板表面に正の電荷を蓄積させて、シースコンデンサとチャックコンデンサを徐々に放電させ、こうしてシース電圧降下をゆっくりと減少させ、基板電位をゼロに近づける。これにより、図5Bに示す基板電圧波形510に電圧ドループΔVSHが生じる。このシース電圧ドループが生じるために、パルス電圧波形500は、上記の(1)~(3)に記載された次のサイクルへと移行しなければならなくなる。この次のサイクルの間に、ナノ秒パルス発生器214は、イオン性電流局面の間に蓄積された電荷を除去し(又は初期ESC電荷を回復させ)、所望のシース電圧VSHを再確立する。なお、表面電荷とシース電圧ドループは、電子反発性カソードシースとバルクプラズマからの不均衡な正味電流(イオン性電流に等しい)があるときにはいつでも蓄積する。前述のように、これは、バルクプラズマからのイオン性電流がバルクプラズマからの電子性電流と釣り合っていないためであり、この不釣り合いは、シース電界が電子を基板に寄せ付けないためである。したがって、表面電荷の蓄積及び電圧ドループの発生は、シース形成局面503の間にも生じており、この局面の開始当初から、シース電圧降下はゼロになっていない。
上記の(1)~(4)から分かるように、パルス電圧波形(パルス電圧波形500など)の中の単一電圧パルスを構成する「電子性電流」局面501~503の合計持続時間は、約200~400nsであり、これは、約10~15%という比較的短いデューティ比に相当する。パルス電圧波形500の短いデューティ比特性は、イオン対電子質量比が大きいことの結果であり、この大きな質量比はすべてのプラズマに典型的に見られる。したがって、本明細書で提案するパルス電圧バイアス方式では、パルスバイアス発生器は各サイクルの中の短い期間だけプラズマと活発に相互作用し、それ以外の期間は、カソードシースを自然に発達させることができる。基礎的なプラズマ特性を効果的に利用することによって、このバイアス方式により、処理時間の最大約90%でシース電圧をほぼ一定に維持することができ、これによって、単一ピークのIEDF(例えば、図5CのIEDF520)がもたらされる。逆に、従来のバイアス方式では、印加された高周波電圧(図1Aのような波形を有する)は、高周波周期の全体にわたってカソードシースを変調する。したがって、常にシース電圧降下を過度に変化させると、双ピークIEDF(例えば、図1Bに示すIEDF)が生じる。
本明細書で提案するパルス電圧バイアス方式は、図5Bに示す基板電圧波形510などの特定の基板電圧波形を維持することを可能にする。この基板電圧波形は、負の電圧オフセット512の上に重ねた周期的な一連の短い正のパルス511として説明することができる。各パルス(総持続時間T=T+T+Tを有する)の間、基板電位は局所プラズマ電位に達し、シースは短時間崩壊する。しかしながら、各サイクル(サイクル持続時間Tを有する)の約90%の期間は、シース電圧降下はほぼ一定のままで、最も負側に振れた基板電位の絶対値VSHにほぼ等しくなる(図5B)。こうして、その絶対値が基板表面での平均イオンエネルギーを決定する。バイアスサイクルのシース崩壊局面501の間、ナノ秒パルス発生器(例えば、214)からの電流は、処理プラズマと、並列に接続された浮遊コンデンサCとに、ほぼCSH/Cの比に従って分割されるが、それほど意味のある量ではない。そのため及びCは一般的に非常に大きいため、局面501の間に壁シースの両端の間に蓄積する電圧降下は比較的小さい。その結果、壁近傍プラズマ電位Vは壁シース電圧降下と、壁の誘電体コーティングの両端の間での(非常に大きなCcoatから)予想される小さい電圧降下との合計に等しく(図6)、ほぼゼロのままである(図7F)。したがって、局所(基板近傍)プラズマ電位Vplは、壁近傍プラズマ電位とバルクプラズマの両端の間の電圧降下との和に等しく(図6)、主に後者によって決定されており、ゼロをわずかに超えて増加している(図5B及び7F)。次に、ESC再帯電局面502の間、電子反発性カソードシースは存在せず、壁シースコンデンサは、ナノ秒パルス発生器(例えば214)によってESCを通って押し出される大電流のために、かなりの電圧(例えば数百ボルト)まで帯電している。壁近傍プラズマ電位の上昇、及びバルクプラズマの両端の間での比較的大きな電圧降下(同じ大電流によって引き起こされる)の存在に起因して、局所的な(基板近傍)プラズマ電位Vpl及び基板電位Vsubには、確立されたシース電圧VSHの最大で約1/3というかなりの上昇が見られる。最後に、シース形成局面503の間、処理プラズマを通る電流は、ここでも(局面501のように)CSH/Cの比によって決定され、比較的小さい(やはり急速に減衰する)。その結果、バルクプラズマの両端の間の電圧降下も比較的小さい。したがって、局所的な(基板近傍)プラズマ電位は壁近傍プラズマ電位にほぼ等しいままであり、局面503の終わりに近づくと、壁シースが主にチャンバ壁へのイオン性電流によって放電されるのに伴って、それらは両方ともほぼゼロに落ち着く。局面501~503の間の局所的プラズマ電位擾乱の結果として、確立されたシース電圧VSHは、局面503の終了時の基板電圧波形510における全体的な負の急変化V’SHの約75%でしかない。負の急変化V’SHは、V及びτtotが与えられると、(Cが無限大に近く、Rplがゼロに近い場合には)最大シース電圧を決定しており、さらにバイアス電極電圧波形500において負の急変化V’SHが負の急変化に近いV’SH≒VOUTが成り立つ。後者は、局面503の間に、チャックコンデンサがその初期電荷のごく一部(∝CSH/C≪1)のみをシースへ渡すためであり、このようにして、電極と基板との間にほぼ一定の電位差が維持される。実際には、測定されたVOUTからVSHを推定するために、VSH/VOUT≒0.75~0.8の関係を使用することができる。
A.実用上の考慮事項
実際的な簡略化された電気回路600とその回路の数値シミュレーションの結果が、それぞれ図6と7A~7Hに示されている。なお、有限の終了時間を有する非理想的なスイッチをシミュレーションするために、実際のPSPICEモデルでは、定電圧源Vの代わりに、最大電圧Vと有限の立ち上がり時間を有する台形の電圧パルス(スイッチ制御電圧パルスPと同期)を使用した。モデル化に使用したすべての回路パラメータを表1に示す。
図7Aは、モデル化されたナノ秒パルス発生器出力電圧の時刻歴(及び3波形サイクル歴)V(t)を示す。図7Bは、図7Aの一部の拡大図である。図7Cには、図6の回路600に示すようにモデル化されたバイアス電極での電圧V(t)、すなわちCの両端の間の電圧が示されている。図7Dは、図7Cの一部の拡大図である。図7Eには、図6に示すようにモデル化された基板電位Vsub、局所(基板近傍)プラズマ電位Vpl、及び壁近傍プラズマ電位Vが示されている。図7Fは、図7Dの一部の拡大図である。図7Gには、パルスバイアス発生器をバイアス電極に接続する外部導体(伝送線路206など)を通る、すなわち図6の回路600内のインダクタンスLtransmを通る、モデル化された電流I(t)が示されている。図7Hは、図7Gの一部の拡大図である。
図7E及び図7Fの計算結果は、パルスバイアス発生器240(ナノ秒パルス発生器214及び電流帰還出力ステージ215を備える)を使用することで、波形周期の大部分にわたってほぼ一定のシース(及び基板)電圧を生成し、こうして、狭い単一ピークIEDF(図5Cに示される単一ピークIEDF520など)を作り出すことを、明確に実証している。図7A~Hの結果を得るために使用されるパルス反復周波数は400kHzであり、対応する波形周期は2.5マイクロ秒である。図7E及び7Fの基板電位波形は、小さな電圧ドループ(図5BにおいてΔVSHとして示される)を含み、この電圧ドループは、イオン性電流局面504の過程にわたって蓄積しているが、以下のように推定することができる。イオン性電流局面504の間、(a)バイアス電極(すなわち、チャッキング極)の電圧は、電流帰還出力ステージの抵抗Rrosによって決定されるレベルで一定のままであり、Vesc=I*Rrosであり、(b)プラズマ電位も一定(ゼロ近く)に留まっているので、イオン性電流局面504の持続時間T(波形周期Tに近い)にわたるシース電圧ドループΔVSHは、次式によって与えられることが、容易に分かる。
ΔVSH=IT/(C+CSH) (1)、
ここで、Iはシースを流れるイオン性電流である。この式は、イオン性電流はシースコンデンサCSHとチャックコンデンサCの間で分割され、シース電圧を変えるためにはそれらを両方とも放電させる必要がある。上記の式を用いることで、本明細書で提案するパルス電圧バイアス方式の効果的な動作のための適切なパラメータの選択が可能になり、適用限界の決定も可能になる。
たとえば、ほぼ一定のシース電圧VSHを維持するという目的から、比較的小さな電圧ドループ、すなわちIT/(C+CSH)≪VSHの要件がすぐに得られる。イオン性電流(典型的には0.5~5A)、C及びTが与えられると、その要件からシース電圧の範囲が与えられるが、シース電圧には本明細書で提案するパルス電圧バイアス方式が最も有用である。この要件は、狭い単一ピークIEDF(すなわち、図5CのIEDF520)を生成する際のこのバイアス方式の有効性が、所望のシース電圧及びイオンエネルギーと共に増していることを示している。それ故に、パルス電圧バイアス方式は、例えば「ハードマスク開口」及び「誘電体モールドエッチング」のような挑戦的な高アスペクト比用途に特に適している。より正確には、本明細書に記載のバイアス方式を使用して作り出された「単一エネルギー」IEDFにおける単一エネルギーピークの相対幅は、ΔVSH/VSHの比によって、又は実際的にはC、I、及びTによって決定される。
上記の要件はまた、本明細書で提案するパルス電圧バイアス方式は、パルス電圧波形(例えば、図5Aの電圧波形500)のパルス反復周波数(PRF)がより高いときに(すなわち、周期Tがより短いときに)、よく機能することを意味している。確かに、式(1)からわかるように、周期Tとともに、電圧ドループの値ΔVSHが増加する。順繰りに、電圧ドループが増加すると、本明細書で提案するパルス電圧バイアス方式を使用して生成された単一ピークIEDFの相対幅ΔVSH/VSHが増加する。その結果、この単一ピークIEDFを使用して作り出された任意のIEDFの形状を正確に制御する能力が低下する。但し、さらに2つの考慮事項とのバランスを取って、PRFを選択する必要がある。すなわち、(a)高電圧ナノ秒パルスを生成するという挑戦は、スイッチング周波数と共に大きく難しさを増し、(b)イオン性電流局面504の持続時間Tは、この間にイオンが基板表面に向かって加速され、基板表面のイオン衝撃が生じる(例えば、エッチング処理の間にエッチングが起こる)ので、シース崩壊局面501、ESC再帯電局面502、及びシース形成局面503の合計持続時間T+T+Tよりもはるかに長時間である必要がある。この合計持続時間は、パルス反復周波数とは無関係に回路要素C、Rros、Lros、Linternal、Ltransm(図6)によってのみ決定され、典型的には約200~400nsである。実用的には、400kHzが、数アンペアのイオン性電流、及び数ナノファラド(例えば7~10nF)のCに適したパルス反復周波数である。但し、所望のシース電圧VSHが、ΔVSHよりもはるかに大きい場合の話である(例えば、上記のパラメータではVSHは約3~8kV)。
上記の要件から次のことも明らかである。大きなCは有益であり、これが、本明細書で提案したパルス電圧バイアス方式は、パルス電圧がチャッキング極に印加されたときに最も効果的に機能する理由である。従来のプラズマ反応器で、通常、高周波電力が印加される支持ベース207(図2)にパルス電圧を印加するのではない。実際には、提案されたバイアス方式を効果的に実施するためには、Cは数ナノファラッド程度である必要がある。CSHが、高アスペクト比の用途で一般的な約100~300pFである場合、これはまた、自動的にC≫CSHであることを意味し、この条件は、与えられたVOUTの下で、V’SHを最大化するために重要である。
なお、本明細書で提案しているパルス電圧バイアス方式では、電圧スイッチングは、ナノ秒パルス発生器の内部でのみ、及び出力昇圧トランスの一次側を駆動する比較的小さな電圧(たとえば100~800V)でのみ、生じる。このことは、以前から提案されている方式と比較して、著しい実用的利益をもたらす。以前から提案されている方式では、通常、(電気抵抗のある出力ステージの代わりに配置された)第2スイッチがあり、最大シース電圧(すなわち、例えば数千ボルト)で切り替えを行う必要がある。これらの以前から提案されているバイアス方式における第2スイッチの存在は、システムの頑健性を著しく低下させ、そして実際面では、それらの拡張性を、高アスペクト比の用途で必要とされている十分に高いシース電圧(例えば、約4000~8000VのVSH)に制限している。著者らは、高周波(例えば400kHz)と同時に、例えば8,000Vの高電圧でスイッチングが可能な市販のスイッチを確認することができなかった。ここで、次のことに言及する必要がある。図3のブロッキングダイオードの目的は、昇圧トランスの二次巻線にリターン電流が流れるのを防止することであり、局面503と504の間に、電流帰還出力ステージにリターン電流が流れるのを防止することではない。
なお、筆者らは、電流帰還出力ステージ215は、インダクタ及びコンデンサ(例えば直列インダクタ)のような反応性要素の組み合わせを含んでもよく、それでも、ほぼ一定なシース電圧の生成におけるその有効性が制限されることはないことに注目した。また、我々は、電流帰還出力ステージの抵抗(例えば、図6の抵抗Rros)の値を、電力バランスのみならず、RC放電時間tstab≒Rros(C+CSH)を最小にするという要件も組み合わせて、決定する必要があることに注目した。このRC放電時間は、シース形成局面503の持続時間Tを決定している。ナノ秒パルス発生器の市販品を入手できることも、本明細書で提案するパルス電圧バイアス方式のもう一つの利点である。
本明細書で提案するパルス電圧バイアス方式はまた、高電圧モジュール(HVM)と容易に統合することができる。この高電圧モジュール(HVM)は、図2及び図3に示すように、基板をESC基板支持体の基板受け面にチャック、すなわち「電気的にクランプ」するために標準的に使用されている。基板をチャックすることにより、基板受け面と基板の非装置側表面との間の隙間をヘリウムガス(He)で満たすことが可能になる。これは、両者間の良好な熱的接触を提供し、ESC基板支持体の温度を調節することによって基板温度制御を可能にするために行われる。HVMによって生成されたDCチャッキング電圧を、バイアス電極(例えば、チャッキング極204)で、パルスバイアス発生器(例えば、240)によって生成されたパルス電圧と組み合わせることで、パルス電圧波形(例えば、500)に、DCチャッキング電圧に等しいさらなる電圧オフセットが生じる。パルスバイアス発生器の動作に対するHVMの影響は、適切な大きさのChvm及びRhvmを選択することによって無視することができる。回路300におけるブロッキングコンデンサChvmの主な機能は、パルスバイアス発生器をHVMのDC電圧から保護することであり、こうして、このChvmの両端の間でのHVMのDC電圧は降下し、パルスバイアス発生器の出力は擾乱を受けない。Chvmの値を選択して、HVMのDC電圧のみをブロックしながら、パルスバイアス発生器の高周波出力電圧に負荷をかけないようにする必要がある。十分に大きいChvm(例えば40-80nF)を選択することによって、400kHzの信号がほとんど透過できるようになり得る。それは、Chvmがシステム内の他の関連する静電容量よりもはるかに大きいからであり、この要素での電圧降下は、C、CSHなどの他の関連コンデンサの両端の間での電圧降下に比べて非常に小さいからである。次に、ブロッキング抵抗Rhvmの目的は、高周波パルスバイアス発生器の電圧をブロックし、それがHVMのDC電圧源に誘導する電流を最小限に抑えることである。このブロッキング抵抗Rhvmを十分に大きくして、それを流れる電流を効率よく最小にする必要がある。たとえば、Rhvmが1メガオームよりも大きければ、パルスバイアス発生器からHVMへの400kHzの電流を無視できるようにするには十分であり、この時、Ihvm≒VOUT/Rhvmは5mAピークのオーダーであり、波形周期で平均すると約10分の1になる。結果として生じる0.5~1mAのオーダーの平均誘導電流は、確かに、HVM電源に対する典型的な制限、すなわち約5mAのDC電流よりもはるかに小さい。上記の見積もりは、VOUT≒5kVに対して実施したものであり、ここで、VOUT(図5A参照)は、シース崩壊局面501及びESC再帯電局面502の間のチャッキング極204での正の電圧急変化であり、この時、スイッチSは閉(オン)位置に留まり、ナノ秒パルス発生器214はほぼ一定の正の電圧をその出力の両端の間で維持している。また、Rhvmを選択するときには、確実にIleak*Rhvm≪Vhvmを満たすために、あまりこれを大きくすることはできないことを覚えておく必要がある。典型的なHVMのリーク電流Ileakは数十マイクロアンペアのオーダーであることを考えると、Ileak*Rhvm≪Vhvmを満たすのはそれほど難しくはないはずである。
図8は、本明細書で提案したパルス電圧バイアス方式を実際に実施することによって生成された基板電圧測定波形のオシロスコープ写図を示す。測定は、ウェハへのイオン性電流を約1.35Aとして、酸素が支配的な10mTのプラズマ中で、レクロイ PPE4kV(100:1、50MΩ/6pF、4kVpp、400MHz)高電圧オシロスコーププローブを使用して実施された。このプローブは、電気(真空)フィードスルーを介して直接接触式センサーに接続され、このセンサーは、アルミナビーズに包まれたKapton(商標)被覆ワイヤを備え、この被覆ワイヤは、導電性接着剤を有するアルミニウムテープの十分な大きさのパッチ(良好な容量結合のため)を使用して低抵抗率シリコンウエハに接続され、この接続部は、さらにKapton(商標)テープとアルミナペーストで覆われた。この診断は、関数発生器からのテスト信号を使用してベンチテストで実施され、基板電位測定もまた、アルミニウムウェハを用いて独立して検証された。図8から分かるように、実験的に観察された基板電圧波形は、図7Eに示されたモデル生成波形とよく一致している。チャンバ蓋近傍で測定されたプラズマ電位のオシロスコープ写図(図示せず)についても、モデルと実験がよく一致することが観察された。測定では電気(真空)フィードスルーを介してレクロイPPE4kVプローブに同様に接続されたフローティングラングミュアプローブを使用した。この測定波形には、イオン性電流局面504の開始までにプラズマ電位がほぼゼロに落ち着くことが示されている。これらの測定は、本明細書で提案するパルス電圧バイアス方式を使用して、確かに、基板処理時間の最大90%にわたってほぼ一定のシース(基板)電圧を生成することができ、順繰りに、このシース電圧が狭い単一ピークIEDF(すなわち、図5CのIEDF520)をもたらし、この単一ピークIEDFを用いて任意の形状を有するIEDFを作り出すことができることを、実証している。
B.図2の詳細な説明:チャンバ図
図2は、一実施形態による、本明細書で提案するバイアス方式を実施するように構成された処理チャンバの概略断面図である。この実施形態では、処理チャンバは、反応性イオンエッチング(RIE)プラズマチャンバなどのプラズマ処理チャンバである。他のいくつかの実施形態では、処理チャンバは、例えばプラズマ化学気相堆積(PECVD)チャンバ、プラズマ物理気相堆積(PEPVD)チャンバ、又はプラズマ原子層堆積(PEALD)チャンバなどのプラズマ堆積チャンバである。他のいくつかの実施形態では、処理チャンバはプラズマトリートメントチャンバ、又は例えばプラズマドーピング(PLAD)チャンバなどのプラズマベースのイオン注入チャンバである。本明細書では、処理チャンバは、高周波(RF)電源に電気的に接続された誘導結合プラズマ(ICP)源を備える。他の諸実施形態では、プラズマ源は、基板支持体に面して処理容積内に配置されたソース電極などの容量結合プラズマ(CCP)源であり、ここで、ソース電極は高周波電源に電気的に接続されている。
処理チャンバ200の主要部分はチャンバ本体213であり、このチャンバ本体は、処理容積226を画定するチャンバ蓋223、1つ以上の側壁222、及びチャンバベース224を備える。チャンバ蓋223を貫通して配置されたガス入口228を使用して、1つ以上の処理ガスを処理容積226に、それと流体的に連通している処理ガス源219から供給する。ここで、処理ガスから処理プラズマ201を点火し維持するように構成されたプラズマ発生器は、処理容積226の外側のチャンバ蓋223に近接して配置された1つ以上の誘導コイル217を備える。1つ以上の誘導コイル217は、高周波整合回路230を介して高周波電源218に電気的に接続されている。プラズマ発生器を使用して、プラズマ201を点火し維持する。この時、処理ガス並びに誘導コイル217及び高周波電源218によって生成された電磁場を使用する。処理容積226は、真空出口220を介して1つ以上の専用真空ポンプに流体的に接続されており、これらの専用真空ポンプは処理容積226を準大気圧条件に維持し、そこから処理ガス及び/又は他のガスを排気する。処理容積226内に配置された基板支持アセンブリ236は、密封的にチャンバベース224を貫通して延びる支持シャフト238上に配置されている。
基板203は、1つ以上の側壁222のうちのある側壁の開口部(図示せず)を通って処理容積226内に装填され、そこから取り出される。基板203のプラズマ処理の間は、この開口部はドア又はバルブ(図示せず)で封止される。ここで、基板203は、リフトピンシステム(図示せず)を使用して、ESC基板支持体205の受け面との間で受け渡しされる。
基板支持アセンブリ236は、支持ベース207と、支持ベース207に熱的に連結され、その上に配置されたESC基板支持体205とを含む。通常、支持ベース207を使用して、基板処理の間に、ESC基板支持体205、及びESC基板支持体205上に配置された基板203の温度を調整する。いくつかの実施形態では、支持ベース207は、その中に配置された1つ以上の冷却流路(図示せず)を備え、この冷却流路は、比較的高い電気抵抗を有する冷媒源又は冷却水源などの冷却液源(図示せず)に流体的に接続され、かつそれと流体的に連通している。いくつかの実施形態では、ESC基板支持体205は、その誘電体に埋め込まれた電気抵抗のある加熱素子などのヒータ(図示せず)を備える。ここで、支持ベース207は、耐腐食性の熱伝導性材料(耐食性金属、例えばアルミニウム、アルミニウム合金、又はステンレス鋼など)で形成され、接着剤又は機械的手段によって基板支持体に連結される。通常は、ESC基板支持体205は、耐腐食性金属酸化物又は金属窒化物材料などのバルク焼結セラミック材料(例えば、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化チタン(TiO)、窒化チタン(TiN)、酸化イットリウム(Y)、それらの混合物、又はそれらの組み合わせ)などの誘電体で形成されている。本明細書の諸実施形態では、ESC基板支持体205は、その誘電体に埋め込まれたバイアス電極204をさらに備える。ある1つの構成では、バイアス電極204はチャッキング極であり、これを使用して、基板203をESC基板支持体205の支持面に固定(チャック)し、本明細書に記載のパルス電圧バイアス方式を使用して、処理プラズマ201に対して基板203をバイアスする。通常は、バイアス電極204は、1つ以上の金属のメッシュ、箔、薄板、又はそれらの組合せなどの1つ以上の導電性部品から形成されている。ここでは、バイアス電極204は、それにチャッキング電圧(約-5000Vから約5000Vの間の静的DC電圧など)を供給する高電圧モジュール216に、例えば同軸ケーブルである同軸伝送線路206などの導電体を使用して、電気的に接続されている。
支持ベース207は、絶縁板211によってチャンバベース224から電気的に絶縁されており、接地板212は、絶縁板211とチャンバベース224との間に配置されている。いくつかの実施形態では、処理チャンバ200は、基板支持アセンブリ236を囲む石英管210、又は石英環をさらに備えて、ESC基板支持体205の腐食を、及び/若しくは支持ベース207が腐食性の処理ガス又は処理プラズマ、クリーニングガス又はクリーニングプラズマ、又はそれらの副生成物と接触するのを防止する。通常は、石英管210、絶縁板211、及び接地板は、ライナ208によって囲まれている。本明細書では、ESC基板支持体205の基板受け面とほぼ同一平面上にあるプラズマスクリーン209が、ライナ208と1つ以上の側壁222との間の容積内にプラズマが形成されるのを防止する。
本明細書では、バイアス電極204は、ESC基板支持体205の誘電体層によって、ESC基板支持体205の基板受け面から、したがって基板203から、離間している。通常は、誘電体層は、約0.1mmから約1mmの間の厚さ(約0.1mmから約0.5mm、例えば約0.3mmなど)を有する。本明細書では、バイアス電極204は、伝送線路206などの外部導体を使用してパルスバイアス発生器240に電気的に接続されている。パルスバイアス発生器240及びその構成要素は、本開示の本文において先に詳細に説明されている。上記のように、誘電体及び層の厚さを選択して、誘電体層の静電容量Cを約5nFから約12nFの間(例えば約7から約10nFの間)とすることができる。
一般に、処理チャンバ200の処理容積226内の中立充填圧力が低いと、その中に配置された表面間の熱伝導は低くなる。例えば、ESC基板支持体205の誘電体とその基板受け面上に配置された基板203との間で熱伝導が低下すると、基板203を加熱又は冷却する際のESC基板支持体205の有効性が低下する。したがって、いくつかの処理では、通常はヘリウムである熱伝導性の不活性熱伝達ガスが、基板203の非装置側表面とESC基板支持体205の基板受け面との間に配置された容積(図示せず)に導入され、その間の熱伝達を向上させる。熱伝達ガス源(図示せず)によって供給された熱伝達ガスは、支持ベース207を通って配置され、さらにESC基板支持体205を通って配置されたガス連通経路(図示せず)を通って裏側容積に流れ込む。
処理チャンバ200はさらにシステムコントローラ232を備える。本明細書のシステムコントローラ232は、中央処理装置(CPU)233、メモリ234、及びサポート回路235を備える。システムコントローラ232を使用して、本明細書に記載の基板バイアス方法が備わる処理シーケンスを制御し、この処理シーケンスを使用して基板203を処理する。CPU233は、処理チャンバ及びそれに関連するサブプロセッサを制御するための、産業環境で使用するように構成された汎用コンピュータプロセッサである。本明細書に記載のメモリ234は、ランダムアクセスメモリ、読み出し専用メモリ、フロッピー又はハードディスクドライブ、若しくは他の適切な形式のローカル又はリモートのデジタル記憶装置を備えてもよい。サポート回路235は、従来通りCPU233に接続されており、キャッシュ、クロック回路、入力/出力サブシステム、電源など、及びそれらの組み合わせを備える。ソフトウェア命令及びデータは、CPU233内のプロセッサへの命令のために、コード化され、メモリ234内に格納され得る。システムコントローラ232によって読み取り可能なプログラム(又はコンピュータ命令)は、どのタスクが処理チャンバ200内の構成要素によって実行可能であるかを決定する。好ましくは、システムコントローラ232によって読み取り可能なプログラムはコードを含む。このコードをプロセッサで実行すると、本明細書に記載の電極バイアス方式の監視及び実行に関連するタスクが実行される。プログラムは、処理チャンバ200内の様々なハードウェア及び電気構成要素を制御するために使用される命令を含んで、本明細書に記載の電極バイアス方式を実施するために使用される様々な処理タスク及び様々な処理シーケンスを実行することになる。
上記は本開示の諸実施形態を対象としているが、本開示の他のさらなる実施形態を、その基本的な範囲から逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (32)

  1. 基板を処理する方法であって、
    基板支持アセンブリ上に配置された基板の表面の上にプラズマを発生させる工程と、
    発生器接続アセンブリを用いて導電体の発生器端に電気的に接続されたバイアス発生器を用いて、基板支持アセンブリ内に配置されたバイアス電極をバイアスする工程であって、導電体の電極端は、電極接続アセンブリを用いてバイアス電極に電気的に接続され、バイアス発生器を使用してバイアス電極にパルス電圧波形を確立し、パルス電圧波形は一連の反復サイクルを含んでいる工程とを含み、
    一連の反復サイクルの各サイクル内の波形は、第1時間間隔の間に生じる第1部分と第2時間間隔の間に生じる第2部分とを有し、
    正の電圧パルスは第1時間間隔の間にのみ存在し、
    バイアス発生器は、
    導電体の発生器端に電気的に接続されたパルス発生器と、
    電流帰還出力ステージであって、電流帰還出力ステージの第1端は導電体に電気的に接続され、電流帰還出力ステージの第2端は電気的に接地されている電流帰還出力ステージとを備え、
    第2時間間隔の少なくとも一部分の間、電流がバイアス電極から電流帰還出力ステージを通ってグランドへ流れている方法。
  2. 波形の第1部分は、シース電圧降下の回復をもたらす正の電圧パルスをさらに含み、第1時間間隔の終わりに、基板のプラズマ対向面の上にシースが形成されている、請求項1に記載の方法。
  3. 第1時間間隔は、200nsから400nsの間の持続時間を有している、請求項1に記載の方法。
  4. 第1時間間隔は、一連の反復サイクルのうちの1つのサイクルの持続時間の20%未満である、請求項1に記載の方法。
  5. 一連の反復サイクルのうちの1つのサイクルは、2マイクロ秒(μs)から3μsの間の持続時間を有している、請求項3に記載の方法。
  6. 正の電圧パルスは、0.1キロボルト(kV)から10kVの間である、請求項1に記載の方法。
  7. バイアス電極は、誘電体層によって基板支持アセンブリの基板支持面から離間し、バイアス電極と誘電体層とを含む平行板状構造は、5nFから50nFの間の実効容量を有している、請求項1に記載の方法。
  8. 電源接続アセンブリを用いて導電体の発生器端に電気的に接続されたチャック電源を用いてバイアス電極にDC電圧を印加する工程をさらに含む、請求項1に記載の方法。
  9. 電源接続アセンブリが、1Mオームを超える抵抗を有するブロッキング抵抗を備えている、請求項8に記載の方法。
  10. 導電体は、電気的に直列に接続された第1導電体及び第2導電体をさらに含み、第1導電体の一方の端はバイアス発生器の出力に電気的に接続され、第2導電体の一方の端はバイアス電極に電気的に接続されている、請求項1に記載の方法。
  11. 処理チャンバであって、
    基板支持アセンブリの基板支持面から誘電体層によって分離されたバイアス電極を備える基板支持アセンブリと、
    発生器接続アセンブリを使用して導電体の発生器端に電気的に接続されたバイアス発生器であって、導電体の電極端は、電極接続アセンブリを用いてバイアス電極に電気的に接続されているバイアス発生器とを備え、
    バイアス発生器は、
    導電体の発生器端に電気的に接続されたパルス発生器と、
    電流帰還出力ステージであって、電流帰還出力ステージの第1端は導電体に電気的に接続され、電流帰還出力ステージの第2端は電気的に接地されている電流帰還出力ステージとを備え、
    導電体は、電気的に直列に接続された第1導電体及び第2導電体を含み、第1導電体の一方の端は、発生器接続アセンブリを用いてバイアス発生器の出力に電気的に接続され、第2導電体の一方の端は、電極接続アセンブリを用いてバイアス電極に電気的に接続されている処理チャンバ。
  12. 基板支持アセンブリの基板支持面の上にプラズマを発生させるように構成された誘導結合プラズマ源又は容量結合プラズマ源をさらに備え、パルス発生器の電圧源は実質的に一定な定電圧源を備えている、請求項11に記載の処理チャンバ。
  13. 電源接続アセンブリを使用して導電体の発生器端に電気的に接続されたチャック電源をさらに備える、請求項11に記載の処理チャンバ。
  14. 電源接続アセンブリが、1Mオームを超える抵抗を有するブロッキング抵抗を備えている、請求項13に記載の処理チャンバ。
  15. バイアス電極と誘電体層とを含む平行板状構造は、5nFから50nFの間の実効容量を有している、請求項11に記載の処理チャンバ
  16. 誘電体層は、0.1mmから1mmの間の厚さを有している、請求項11に記載の処理チャンバ。
  17. 処理チャンバであって、
    基板支持アセンブリの基板支持面から誘電体層によって分離されたバイアス電極を備える基板支持アセンブリと、
    発生器接続アセンブリを使用して導電体の発生器端に電気的に接続されたバイアス発生器であって、導電体の電極端は、電極接続アセンブリを用いてバイアス電極に電気的に接続され、バイアス発生器は、
    導電体の発生器端に電気的に接続されたパルス発生器と、
    電流帰還出力ステージであって、
    電流帰還出力ステージの第1端は導電体に電気的に接続され、
    電流帰還出力ステージの第2端は電気的に接地されている電流帰還出力ステージとを備えているバイアス発生器と、
    プロセッサによる実行時に基板を処理する方法を実行するための命令を格納したコンピュータ可読媒体であって、方法は、
    基板支持アセンブリ上に配置された基板の表面の上にプラズマを発生させる工程と、
    バイアス発生器を用いてバイアス電極をバイアスする工程であって、バイアス電極をバイアスする工程は、バイアス電極においてパルス電圧波形を確立し、パルス電圧波形は一連の反復サイクルを含み、一連の反復サイクルの各サイクル内の波形は、第1時間間隔の間に生じる第1部分と第2時間間隔の間に生じる第2部分とを有し、正の電圧パルスは第1時間間隔の間にのみ存在している工程とを含んでいるコンピュータ可読媒体とを備え、
    導電体は、電気的に直列に接続された第1導電体及び第2導電体を含み、第1導電体の一方の端は、発生器接続アセンブリを用いてバイアス発生器の出力に電気的に接続され、第2導電体の一方の端は、電極接続アセンブリを用いてバイアス電極に電気的に接続されている処理チャンバ。
  18. 正の電圧パルスは、第1時間間隔の終わりに基板のプラズマ対向面の上に形成されたシース電圧降下の回復をもたらし、
    第2時間間隔の少なくとも一部分の間、電流がバイアス電極から電流帰還出力ステージを通ってグランドへ流れている、請求項17に記載の処理チャンバ。
  19. 第1時間間隔は、200nsから400nsの間の持続時間を有している、請求項17に記載の処理チャンバ。
  20. 第1時間間隔は、一連の反復サイクルのうちの1つのサイクルの持続時間の20%未満である、請求項17に記載の処理チャンバ。
  21. 一連の反復サイクルのうちの1つのサイクルは、2μsから3μsの間の持続時間を有する周期を有している、請求項17に記載の処理チャンバ。
  22. パルス発生器の第1端が導電体の発生器端に電気的に接続され、パルス発生器の第2端は電気的に接地されている、請求項1に記載の方法。
  23. 発生器接続アセンブリが、コンデンサと、直列に接続されたコンデンサ及び導電体と、インダクタと、直列に接続されたインダクタ及び導電体とからなる群から選択される構成要素のうちの1つを備えている、請求項1に記載の方法。
  24. 電極接続アセンブリが、コンデンサと、直列に接続されたコンデンサ及び導電体と、インダクタと、直列に接続されたインダクタ及び導電体とからなる群から選択される構成要素のうちの1つを備えている、請求項1に記載の方法。
  25. 発生器接続アセンブリが、40nFから80nFの範囲の静電容量を有するコンデンサを備えている、請求項1に記載の方法。
  26. パルス発生器の第1端は導電体の発生器端に電気的に接続され、パルス発生器の第2端は電気的に接地されている、請求項11に記載の処理チャンバ。
  27. 発生器接続アセンブリが、コンデンサと、直列に接続されたコンデンサ及び導電体と、インダクタと、直列に接続されたインダクタ及び導電体とからなる群から選択される構成要素のうちの1つを備えている、請求項11に記載の処理チャンバ。
  28. 電極接続アセンブリが、コンデンサと、直列に接続されたコンデンサ及び導電体と、インダクタと、直列に接続されたインダクタ及び導電体とからなる群から選択される構成要素のうちの1つを備えている、請求項11に記載の処理チャンバ。
  29. パルス発生器の第1端は導電体の発生器端に電気的に接続され、パルス発生器の第2端は電気的に接地されている、請求項17に記載の処理チャンバ。
  30. 発生器接続アセンブリが、コンデンサと、直列に接続されたコンデンサ及び導電体と、インダクタと、直列に接続されたインダクタ及び導電体とからなる群から選択される構成要素のうちの1つを備えている、請求項17に記載の処理チャンバ。
  31. 電極接続アセンブリが、コンデンサと、直列に接続されたコンデンサ及び導電体と、インダクタと、直列に接続されたインダクタ及び導電体とからなる群から選択される構成要素のうちの1つを備えている、請求項17に記載の処理チャンバ。
  32. 発生器接続アセンブリが、40nFから80nFの範囲の静電容量を有するコンデンサを備えている、請求項17に記載の処理チャンバ。
JP2019088819A 2018-05-10 2019-05-09 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法 Active JP7316091B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023115745A JP2023145535A (ja) 2018-05-10 2023-07-14 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/976,728 2018-05-10
US15/976,728 US10555412B2 (en) 2018-05-10 2018-05-10 Method of controlling ion energy distribution using a pulse generator with a current-return output stage

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023115745A Division JP2023145535A (ja) 2018-05-10 2023-07-14 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法

Publications (2)

Publication Number Publication Date
JP2019197890A JP2019197890A (ja) 2019-11-14
JP7316091B2 true JP7316091B2 (ja) 2023-07-27

Family

ID=68165071

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2019088882A Active JP7382155B2 (ja) 2018-05-10 2019-05-09 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法
JP2019088830A Active JP7372050B2 (ja) 2018-05-10 2019-05-09 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法
JP2019088819A Active JP7316091B2 (ja) 2018-05-10 2019-05-09 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法
JP2023115745A Pending JP2023145535A (ja) 2018-05-10 2023-07-14 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2019088882A Active JP7382155B2 (ja) 2018-05-10 2019-05-09 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法
JP2019088830A Active JP7372050B2 (ja) 2018-05-10 2019-05-09 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023115745A Pending JP2023145535A (ja) 2018-05-10 2023-07-14 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法

Country Status (4)

Country Link
US (5) US10555412B2 (ja)
JP (4) JP7382155B2 (ja)
KR (2) KR20190129745A (ja)
CN (2) CN110473762B (ja)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10483089B2 (en) * 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11004660B2 (en) * 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN110504149B (zh) * 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 射频电源的脉冲调制系统及方法
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
KR102509641B1 (ko) * 2018-08-28 2023-03-16 삼성전자주식회사 플라즈마 챔버의 rf 센싱 장치 및 이를 포함하는 플라즈마 챔버
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
CN113169026B (zh) * 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
JP6960421B2 (ja) * 2019-01-23 2021-11-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
JP7285377B2 (ja) 2019-12-24 2023-06-01 イーグル ハーバー テクノロジーズ,インク. プラズマシステム用ナノ秒パルサrf絶縁
JP7413095B2 (ja) * 2020-03-13 2024-01-15 東京エレクトロン株式会社 プラズマ処理装置
KR20230035114A (ko) 2020-07-09 2023-03-10 이글 하버 테크놀로지스, 인코포레이티드 이온 전류 드룹 보상
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
JP2024519760A (ja) * 2021-05-12 2024-05-21 アプライド マテリアルズ インコーポレイテッド プラズマ処理中の自動静電チャックバイアス補償
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
JP2012216608A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd 基板処理方法
JP2015534212A (ja) 2012-08-28 2015-11-26 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 切り替えモードイオンエネルギー分布システムを制御する方法

Family Cites Families (556)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ja) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) * 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
JPH08264509A (ja) * 1995-03-27 1996-10-11 Hitachi Ltd 表面処理方法および表面処理装置
JP3085151B2 (ja) * 1995-07-13 2000-09-04 株式会社日立製作所 プラズマ処理方法および装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
JPH09129621A (ja) * 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
CN1103655C (zh) 1997-10-15 2003-03-26 东京电子株式会社 应用等离子体密度梯度来产生粒子流的装置和方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
EP1119033A4 (en) 1998-09-18 2004-11-17 Tokyo Electron Ltd PLASMA PROCESSING
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) * 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
CN1241316C (zh) 1999-07-13 2006-02-08 东京电子株式会社 产生感性耦合的等离子的射频电源
KR20020046276A (ko) 1999-08-02 2002-06-20 로버트 엠. 포터 이온 소스를 이용하는 박막 퇴적 시스템의 개선된 전자방출 표면
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
ATE420454T1 (de) 1999-08-17 2009-01-15 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
JP4578651B2 (ja) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP4718093B2 (ja) 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DK1253216T3 (da) 2001-04-27 2004-03-22 Europ Economic Community Fremgangsmåde og apparat til sekventiel plasmabehandling
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
WO2003019618A2 (en) * 2001-08-27 2003-03-06 Matsushita Electric Industrial Co., Ltd. Plasma treating apparatus and plasma treating method
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
ATE557418T1 (de) 2001-10-31 2012-05-15 Tokyo Electron Ltd Verfahren zum ätzen von merkmalen mit hohem streckungsverhältnis
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
JP4644128B2 (ja) 2003-11-28 2011-03-02 株式会社アドバンテスト デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
CN101278385B (zh) 2004-11-04 2011-10-12 株式会社爱发科 静电吸盘装置
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
PL1701376T3 (pl) 2005-03-10 2007-04-30 Huettinger Elektronik Gmbh Co Kg Próżniowy generator plazmowy
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
CN101053283A (zh) 2005-05-13 2007-10-10 松下电器产业株式会社 电介质阻挡放电灯点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
EP1982400A4 (en) 2006-01-23 2014-08-13 Audera Internat Sales Inc POWER SUPPLY FOR LIMITED POWER SOURCES AND AUDIOVER AMPLIFIERS WITH A POWER SUPPLY
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
EP1926122B1 (de) 2006-11-23 2009-11-11 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US8435389B2 (en) 2006-12-12 2013-05-07 Oc Oerlikon Balzers Ag RF substrate bias with high power impulse magnetron sputtering (HIPIMS)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
DE112007003667A5 (de) 2007-07-23 2010-07-01 Hüttinger Elektronik GmbH & Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
KR20100095560A (ko) 2007-11-26 2010-08-31 도쿄엘렉트론가부시키가이샤 미소 구조체 검사 장치 및 미소 구조체 검사 방법
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4932942B2 (ja) 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US9313872B2 (en) * 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
EP2544616B1 (en) 2010-03-11 2017-09-06 Medtronic Advanced Energy LLC Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP4226935A3 (en) 2010-08-31 2023-09-06 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
JP5689283B2 (ja) 2010-11-02 2015-03-25 東京エレクトロン株式会社 基板処理方法及びその方法を実行するプログラムを記憶する記憶媒体
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US20130344702A1 (en) 2011-03-04 2013-12-26 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
WO2013114882A1 (ja) 2012-02-01 2013-08-08 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
US9922802B2 (en) 2012-02-20 2018-03-20 Tokyo Electron Limited Power supply system, plasma etching apparatus, and plasma etching method
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
WO2013146920A1 (ja) 2012-03-30 2013-10-03 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
EP2885248B1 (en) 2012-08-15 2018-08-22 Lockheed Martin Energy, LLC High solubility iron hexacyanides
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
WO2014069559A1 (ja) 2012-11-01 2014-05-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
EP3005220B1 (en) 2013-06-04 2019-09-04 Eagle Harbor Technologies Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9711335B2 (en) 2013-07-17 2017-07-18 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (DMS) processes
JP6441927B2 (ja) 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) * 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
JP2017507477A (ja) 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスカーボンフィルムの中へのイオン注入による高エッチング選択性ハードマスク材料の開発
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
JP2015162266A (ja) 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
WO2015148490A1 (en) 2014-03-24 2015-10-01 Advanced Energy Industries, Inc. System and method for control of high efficiency generator source impedance
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
WO2016131061A1 (en) 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
EP3975207B1 (en) 2015-11-30 2023-12-20 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
JP2019504481A (ja) 2015-12-07 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャックを使用した基板の固定と開放のための方法及び装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
US20170287791A1 (en) * 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10438797B2 (en) 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) * 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3563646A4 (en) 2016-12-30 2020-01-22 Eagle Harbor Technologies, Inc. INDUCTIVE HIGH VOLTAGE ADDER
US20180190501A1 (en) 2017-01-05 2018-07-05 Tokyo Electron Limited Plasma processing apparatus
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US20180218905A1 (en) 2017-02-02 2018-08-02 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
EP4266579A3 (en) 2017-02-07 2023-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
KR20190121864A (ko) 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
EP3586441B1 (en) 2017-03-31 2020-10-21 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
CN110945709B (zh) 2017-05-30 2023-08-15 泰坦先进能源解决方案公司 电池寿命估计和容量恢复
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
TWI806772B (zh) 2017-08-17 2023-06-21 日商東京威力科創股份有限公司 工業製造設備中特性的即時感測裝置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
KR102361417B1 (ko) 2017-09-26 2022-02-09 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 점화를 위한 시스템 및 방법
WO2019087977A1 (ja) 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
KR102387008B1 (ko) 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
KR20200074961A (ko) 2017-11-16 2020-06-25 도쿄엘렉트론가부시키가이샤 동기화된 신호 변조를 통한 플라즈마 공정 시스템
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
CN117612918A (zh) 2018-05-03 2024-02-27 应用材料公司 用于基座的rf接地配置
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
WO2020101734A1 (en) 2018-11-14 2020-05-22 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
WO2021003319A1 (en) 2019-07-02 2021-01-07 Eagle Harbor Technologies. Inc Nanosecond pulser rf isolation
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
WO2021062223A1 (en) 2019-09-25 2021-04-01 Eagle Harbor Technologies, Inc. Nonlinear transmission line high voltage pulse sharpening with energy recovery
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
JP7285377B2 (ja) 2019-12-24 2023-06-01 イーグル ハーバー テクノロジーズ,インク. プラズマシステム用ナノ秒パルサrf絶縁

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
JP2012216608A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd 基板処理方法
JP2015534212A (ja) 2012-08-28 2015-11-26 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 切り替えモードイオンエネルギー分布システムを制御する方法

Also Published As

Publication number Publication date
US10555412B2 (en) 2020-02-04
US20190350072A1 (en) 2019-11-14
TW201948006A (zh) 2019-12-16
US11284500B2 (en) 2022-03-22
JP7372050B2 (ja) 2023-10-31
JP2023145535A (ja) 2023-10-11
CN118016500A (zh) 2024-05-10
US10448494B1 (en) 2019-10-15
JP2019197890A (ja) 2019-11-14
JP2019197891A (ja) 2019-11-14
KR20190129773A (ko) 2019-11-20
US10791617B2 (en) 2020-09-29
KR20190129745A (ko) 2019-11-20
CN110473762A (zh) 2019-11-19
US20200352017A1 (en) 2020-11-05
US10448495B1 (en) 2019-10-15
CN110473762B (zh) 2024-03-05
JP2019197892A (ja) 2019-11-14
JP7382155B2 (ja) 2023-11-16
US20200154556A1 (en) 2020-05-14

Similar Documents

Publication Publication Date Title
JP7316091B2 (ja) 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法
CN113169026B (zh) 用于控制脉冲电压波形的反馈回路
US11462388B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
JP2023544472A (ja) 電圧パルスの時間領域多重化
TW202301913A (zh) 離子電流補償的設備及方法
KR20230026506A (ko) 기판 프로세싱을 위한 펄스식 전압 부스트
TWI838371B (zh) 處理基板的處理腔室與方法
TWI835163B (zh) 用於基板處理的脈衝電壓增壓
TWI838453B (zh) 用於控制脈衝電壓波形的反饋迴路

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220502

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230630

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230704

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230714

R150 Certificate of patent or registration of utility model

Ref document number: 7316091

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150