TWI838453B - 用於控制脈衝電壓波形的反饋迴路 - Google Patents

用於控制脈衝電壓波形的反饋迴路 Download PDF

Info

Publication number
TWI838453B
TWI838453B TW109102592A TW109102592A TWI838453B TW I838453 B TWI838453 B TW I838453B TW 109102592 A TW109102592 A TW 109102592A TW 109102592 A TW109102592 A TW 109102592A TW I838453 B TWI838453 B TW I838453B
Authority
TW
Taiwan
Prior art keywords
voltage waveform
waveform
data acquisition
feedback loop
pulse
Prior art date
Application number
TW109102592A
Other languages
English (en)
Other versions
TW202044321A (zh
Inventor
雷歐尼德 朵夫
艾夫根尼 卡梅涅茨基
詹姆士 羅傑斯
奧黎維兒 魯爾
拉吉德 汀德沙
維亞切斯拉夫 普拉特尼洛夫
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202044321A publication Critical patent/TW202044321A/zh
Application granted granted Critical
Publication of TWI838453B publication Critical patent/TWI838453B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本揭示案的實施例描述一種反饋迴路,該反饋迴路可以用於維持幾乎恆定的護皮電壓(sheath voltage),以及由此在基板的表面處產生單能IEDF。因此,本案描述的系統使得能夠精確控制IEDF的形狀以及在基板表面中形成的特徵的輪廓。

Description

用於控制脈衝電壓波形的反饋迴路
本案描述的實施例大體係關於在半導體製造中使用的電漿處理腔室,且更特定言之係關於控制向電漿處理腔室中形成的電漿輸送功率的設備和方法。
可靠地生產高深寬比的特徵係對於下一代超大型積體電路(VLSI)和極大型積體電路(ULSI)半導體元件的關鍵技術挑戰之一。形成高深寬比特徵的一種方法使用電漿輔助蝕刻製程(如反應粒子蝕刻(RIE)電漿製程)以在基板的材料層(如介電層)中形成高深寬比開口。在典型的RIE電漿製程中,在RIE處理腔室中形成電漿,且來自電漿的離子朝向基板的表面加速,以在遮罩層下方設置的材料層中形成開口,遮罩層形成在基板表面上。
典型的反應離子蝕刻(RIE)電漿處理腔室包括射頻(RF)偏壓產生器,該射頻偏壓產生器將RF電壓提供給「功率電極」(如偏壓電極),例如嵌入到「靜電吸盤」(ESC)組件中的金屬底板(baseplate),通常稱為「陰極」。功率電極經由介電材料(如陶瓷材料)的厚層電容性耦接到處理系統的電漿,該介電材料的厚層是ESC組件的一部分。向功率電極施加RF電壓會導致電子排斥 (electron-repelling)電漿護皮(plasma sheath)(亦稱為「陰極護皮」)形成在處理期間位於ESC組件的基板支撐表面上的基板的處理表面上。電漿護皮的非線性、類似於二極體的特性導致對所施加的RF場整流,使得在基板和電漿之間出現直流(DC)壓降或「自偏壓」,而使得基板電勢相對於電漿電勢為負。此壓降決定朝著基板加速的電漿離子的平均能量,以及從而決定各向異性。更特定言之,離子方向性、特徵輪廓以及對遮罩和停止層的蝕刻選擇性係由離子能量分佈函數(IEDF)控制。在具有RF偏壓的電漿中,IEDF通常具有兩個峰,一個峰處於低能,以及一個峰處於高能,且在該兩個峰之間有若干離子群(ion population)。IEDF的兩個峰之間存在離子群,此反映了以下事實:基板和電漿之間的壓降以RF偏壓頻率振盪。當使用較低頻率(如2MHz)的RF偏壓產生器來獲得較高的自偏壓電壓時,該兩個峰之間的能量差可能會很大;且因為由於低能峰處的離子引起的蝕刻輪廓更加各向同性,所以此可能會導致蝕刻特徵壁彎曲(bow)。與高能離子相比,低能離子在到達蝕刻特徵的底部的角落(corner)處的效率較低(如,由於充電效應),但是此導致遮罩材料濺射較少。此在高深寬比蝕刻應用中很重要,如硬遮罩開口或介電模蝕刻(dielectric mold etch)。隨著特徵尺寸的不斷減小和深寬比的增加,同時特徵輪廓控制的要求變得更加嚴格,在處理期間,對於在基板表面處具有良好控制的IEDF有更大的需求。
脈衝電壓波形產生器(PVWG)可以用於在半導體電漿腔室中靜電吸盤(ESC)組件中嵌入的電極處產生脈衝電壓波形,以維持特定的基板電壓波形,及從而在電漿處理期間控制基板處的護皮電壓與IEDF。在使用半導體電漿腔室作為負載(load)的情況下,負載中的即時變化(如電漿密度、腔室壁條件、基板溫度、化學分解程度和化學分解狀態的變化(drift))可能會導致難以控制所產生的波形。因此,有關波形的即時資訊可能對於以下非常有幫助:對PVWG控制參數(如,直流電源的充電電壓或由任意波形產生器控制的脈衝寬度)施行即時調整,以及因此不論負載有任何變化,仍可維持預定的電壓波形。與即時控制一起施行的此種即時測量和分析通常被稱為「封閉反饋迴路操作」。然而,由於脈衝電壓波形的幅度很高(如,在電漿處理中通常為數千至數萬伏特),且由於負載(如半導體電漿腔室)複雜的特性,因此在測量和分析脈衝電壓波形時會有某些困難。當PVWG連接到複合負載(complex load)時,產生的波形之結構可能比理論上預測的(預期的)波形遠更複雜得多,且可能包含高頻振盪,此會影響可重複控制電漿製程的能力,該複雜的負載可包括個別的(discrete)電容器、電感器、電阻器和非線性元件(如,處理腔室中的基板表面處的電漿護皮)以及分佈式元件(如傳輸線的一部分)的串聯和/或並聯組合。
因此,在本領域中需要新穎的偏壓方法,該新穎的偏壓方法能夠維持幾乎恆定的護皮電壓,及從而在基板 表面處產生期望的且可重複的單能IEDF,以能夠精確控制IEDF的形狀以及在基板表面中形成的特徵的輪廓。
本文所提供的本揭示案的實施例可包括用於控制脈衝電壓波形的反饋迴路,該反饋迴路包含資料擷取系統,該資料擷取系統包括第一輸入通道與快速資料擷取模組,該第一輸入通道包含第一調節電路,該第一調節電路經配置從第一輸入電壓波形產生第一經調節的電壓波形。快速資料擷取模組可包含第一擷取通道與資料擷取控制器,該第一擷取通道電耦接到該第一輸入通道的該第一調節電路,且該第一擷取通道經配置從該第一經調節的電壓波形產生一第一數位化電壓波形,該資料擷取控制器經配置藉由分析該第一數位化電壓波形來決定該第一經調節的電壓波形的一或多個波形特性。反饋迴路可進一步包括一反饋處理器,該反饋處理器經配置處理與由該快速資料擷取模組所處理的該第一經調節的電壓波形有關的資訊。在一些實施例中,由一脈衝電壓波形產生器建立該脈衝電壓波形,該脈衝電壓波形產生器電耦接至設置在一電漿處理腔室內的一基板支撐組件內的一偏壓電極。
本說明書所提供的本揭示案的實施例亦可包括用於控制脈衝電壓波形的反饋迴路,該反饋迴路包含資料擷取系統,該資料擷取系統包括第一輸入通道、第二輸入通道與快速資料擷取模組,該第一輸入通道包含第一調節電路,該第一調節電路經配置從第一輸入電壓波形產生第一 經調節的電壓波形,該第二輸入通道包含第二調節電路,該第二調節電路經配置從一第二輸入電壓波形產生一第二經調節的電壓波形。快速資料擷取模組可包含第一擷取通道、第二擷取通道與資料擷取控制器,該第一擷取通道電耦接到該第一輸入通道的該第一調節電路,且該第一擷取通道經配置從該第一經調節的電壓波形產生一第一數位化電壓波形,該第二擷取通道電耦接到該第二輸入通道的該第二調節電路,且該第二擷取通道經配置從該第二經調節的電壓波形產生一第二數位化電壓波形,該資料擷取控制器經配置藉由分析該第一數位化電壓波形與該第二數位化電壓波形中的至少一者來決定該第一經調節的電壓波形與該第二經調節的電壓波形中的至少一者之一或多個波形特性。在一些實施例中,由一脈衝電壓波形產生器建立該脈衝電壓波形,該脈衝電壓波形產生器電耦接至設置在一電漿處理腔室內的一基板支撐組件內的一偏壓電極。在一些實施例中,亦使用產生器耦接組件將該脈衝電壓波形產生器經由電導體電耦接到該偏壓電極,且其中該第一輸入通道的該輸入端電耦接至該產生器耦接組件的一端,且該第二輸入通道的該輸入端電耦接到一電流監測器的一輸出和該電流感測電阻器的一非接地端中的一者,其中該電流監測器經配置感測該電導體中流動的一電流,及該電流感測電阻器放置在一脈衝電壓波形產生器內。
50:電漿處理系統
100:反饋迴路
110:輸入通道
1101:輸入通道
1102:輸入通道
1103:輸入通道
110N:輸入通道
111:調節電路
1112:調節電路
1113:調節電路
1111A:調節電路
1111B:調節電路
111N:調節電路
112:分壓器
114:低通濾波器
120:快速資料擷取模組
121:處理器
122:擷取通道
1221~122N:擷取通道
123:資料擷取控制器
124:記憶體
124A:資料記錄器
124B:波形分析器
124C:可執行指令
125:反饋處理器
126:處理腔室控制器
127:控制器
128:控制器
130:複合負載
131:傳輸線
132:參考線
133:產生器耦接組件
134:電流監測器
135:連接點
139:電流感測電阻器
140:輸入脈衝電壓波形
144:輸出波形
150:PVWG
191:控制器
210:第一分壓器級聯
212:第二分壓器級聯
221:訊號線
222:濾波器級聯
223:接地參考線
224:濾波器級聯
225:運算放大器
400:頻率響應曲線
610:經分壓的波形
800:處理腔室
801:處理電漿
803:基板
804:偏壓電極
805:ESC基板支撐件
806:傳輸線
807:支撐基部
808:襯墊
809:電漿網板
810:石英管
811:絕緣板
812:接地板
813:腔室主體
814:奈秒脈衝產生器
815:電流返回輸出級
816:HVM
817:感應線圈
818:電源供應
819:處理氣體源
820:真空出口
821:電流感測電路
822:側壁
823:腔室蓋件
824:腔室基部
826:處理空間
828:氣體入口
830:RF匹配電路
833:中央處理單元(CPU)
834:記憶體
835:支援電路
836:基板支撐組件
838:支撐軸
842:雜散電容器
843:吸盤電容器
844:陰極護皮
845A:L external
845B:L external
846:主體電漿
950:脈衝電壓波形
951:基板電壓波形
961:護皮塌陷相位
962:再充電相位
963:護皮形成相位
964:離子電流相位
970:IEDF
971:短正脈衝
972:負電壓偏移
1000:方法
1010:操作
1020:操作
1030:操作
1040:操作
1050:操作
1060:操作
1070:操作
1100:方法
1110:操作
1120:操作
1130:操作
1140:操作
1150:操作
1160:操作
1170:操作
1221:擷取通道
1222:擷取通道
1223:擷取通道
1402:輸入脈衝電壓波形
1403:輸入脈衝電壓波形
140N:輸入脈衝電壓波形
1442:輸出波形
1443:輸出波形
100A:反饋迴路
123A:資料擷取控制器
123B:資料擷取控制器
125A:資料通訊介面
126A:記憶體
126B:記憶體
1401A:輸入脈衝電壓波形
1401B:輸入脈衝電壓波形
140N:輸入脈衝電壓波形
1441A:輸出波形
1441B:輸出波形
1442:輸出波形
144N:輸出波形
815A:電流返回輸出級815的一端
815B:電流返回輸出級815的一端
C1:電容器
C10:電容器
C11:電容器
C2:電容器
C3:電容器
C4:電容器
C5:電容器
C6:電容器
Ccoat:大電容
Ce:吸盤電容
Chvm:阻塞電容器
Chvm1:電容器
Cs:雜散電容器
Csh:護皮電容
Cw:基板電容
△VSH:電壓降
Dsh:二極體
Dw:二極體
flyback:反激二極體
Iiw:電流源
L1:電感器
L2:電感器
L3:電感器
L internal :組合電感
L external :電感器
Lros:電感器
L flex :電感器
L rigid :電感器
Offset:偏移
R1:電阻器
R2:電阻器
R3:電阻器
R4:電阻器
R5:電阻器
R6:電阻器
R7:電阻器
R8:電阻器
R9:電阻器
Rbypass:旁路電阻器
Rhvm1:電阻器
Rhvm2:電阻器
Rros:電阻器
Rsense:電流感測電阻器
S1:開關
S2:開關
S3:開關
T:整個週期
T1:持續時間
T2:持續時間
T3:持續時間
T4:持續時間
T5:持續時間
Tcycle:脈衝的一個循環的週期
Tfall:脈衝的下降時間
Trise:脈衝的上升時間
Vhvm:DC電壓電源供應
Vpl:電漿電勢
Vsub:基板電勢
Vsh:護皮電壓
Vout:負電壓跳變
本揭示案之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本案實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了示範實施例且不會視為其範圍之限制,本揭示案可允許其他等效之實施例。
圖1A是根據一個實施例的經配置附接到電漿處理腔室的反饋迴路的示意圖。
圖1B是繪示根據一個實施例的圖1A中所示的反饋迴路的實例的示意圖。
圖1C是繪示根據一個實施例的圖1A中所示的反饋迴路的實例的示意圖。
圖1D是繪示根據一個實施例的圖1A中所示的反饋迴路的實例的示意圖。
圖2是根據一或多個實施例的可以設置在反饋迴路內的分壓器的示意圖。
圖3是根據一或多個實施例的可以設置在反饋迴路內的低通濾波器的示意圖。
圖4繪示根據一個實施例的圖3所示的低通濾波器的頻率響應曲線。
圖5A、圖5B、圖5C和圖5D繪示根據一或多個實施例的由反饋迴路內的部件所產生的經調節的電壓波形的實例。
圖6A和圖6B繪示根據一個實施例的不同類型的測量電壓波形的實例。
圖7A繪示根據一或多個實施例的一系列測量的電壓波形的實例。
圖7B繪示根據一或多個實施例的一系列測量的電壓波形叢發(burst)的實例。
圖8A是根據一個實施例的經配置實踐本案描述的方法的處理腔室的示意性截面圖。
圖8B是根據一個實施例的可以與圖8A所示的處理腔室一起使用的脈衝電壓偏壓方案的功能等效的近似電路圖。
圖9A繪示根據一個實施例的在偏壓電極處建立的脈衝電壓波形的實例。
圖9B繪示根據一個實施例的在基板處建立的脈衝電壓波形的實例。
圖9C繪示根據一個實施例的單峰IEDF的實例。
圖10是根據一個實施例的使用本案所述之脈衝偏壓方案來處理基板的方法的流程圖。
圖11是根據一個實施例的使用本案描述的脈衝偏壓方案來處理基板的方法的流程圖。
為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以預期的是,一個實施例中的元件與特徵可有利地用於其他實施例中而無需贅述。
本案提供的本揭示案的實施例包括適合於與脈衝電壓波形產生器一起操作以產生受控且可重複的脈衝電壓波形的封閉反饋迴路方案。因此,本案所述之實施例可用於許多不同類型的電漿輔助或電漿增強的處理腔室中,以用於施行基板的電漿輔助或電漿增強的處理。儘管無意限制本案提供的揭示內容的範圍,但是在一些實施例中,在電漿處理期間,使用脈衝電壓偏壓方案以在高達基板處理時間的約90%的時間內維持幾乎恆定的護皮電壓,此會產生單(窄)峰IEDF,該單(窄)峰IEDF可以進一步用於產生具有任意形狀的IEDF。此外,在本案揭露的一或多個實施例中,電漿處理方法包括脈衝電壓波形,該脈衝電壓波形進一步包括多個循環(cycle),例如,以週期Ts(如2.5微秒)重複的一週期性系列的短正脈衝,其中每個脈衝的總週期Tp通常在數十奈秒(如10至100ns)量級,且多個循環中的每個循環對應一或多個脈衝。
圖1A示意性地繪示反饋迴路100,該反饋迴路100電耦接至在電漿處理系統50中發現的一或多個電子部件。一般而言,反饋迴路100包括一或多個輸入通道110和快速資料擷取模組120。一或多個輸入通道110電耦接到快速資料擷取模組120。在一些實施例中,快速資料擷取模組120經配置控制和維持所產生的脈衝電壓波形(如高電壓奈秒基板電壓波形),以在電漿處理期間控制基板處 的護皮電壓和IEDF。此外,快速資料擷取模組120包括一或多個擷取通道122。
一或多個輸入通道110的輸入端耦接到電漿處理系統50內的連接點135。因此,然後可以由快速資料擷取模組120中的部件和反饋處理器125中的部件來處理(由一或多個輸入通道110接收及接著調節的)輸入脈衝電壓波形140,使得可以向電漿處理系統50中的脈衝波形產生器(例如,圖1B的脈衝電壓波形產生器(PVWG)150)提供控制參數,以控制位於電漿處理系統50的處理腔室內的電極(如基板支撐件內設置的偏壓電極)處所建立的脈衝電壓波形的特性。一或多個輸入通道110接收輸入脈衝電壓波形140,並從輸入脈衝電壓波形140產生輸出波形144。如將在下面的說明中更詳細地描述的,輸入脈衝電壓波形140可由輸入通道110處理以產生包括經調節的(conditioned)波形的輸出波形144。例如,輸入通道110可經由調節電路來調節所接收到的輸入脈衝電壓波形140,以產生輸出波形144。因此,例如,輸出波形144的幅度和/或形狀可與輸入脈衝電壓波形140的幅度和/或形狀不同。將會注意到,由輸入通道110所接收的脈衝電壓波形的特性(亦即,輸入脈衝電壓波形140)可以具有不同的特性,此取決於連接點135相對於一或多個電路內所形成或所定位的電感、電容或電性元件的位置,該一或多個電路耦接到電漿處理系統50的複合負載130(圖1B)。在一些實施例中,由於在每個輸入通道內所發現的 部件類型(如分壓部件或濾波部件)的差異,反饋迴路100內的輸入通道110可各自具有不同程度的輸入訊號衰減。因此,基於輸入通道110所接收的輸入脈衝電壓波形的衰減程度或調節程度,輸入通道110在本案中可以被稱為具有高衰減、中衰減或低衰減或者無衰減的(unattenuated)通道。
圖1B示意性地繪示圖1A中所示的反饋迴路100的實例,其包括多個輸入通道110,該多個輸入通道110各自電耦接到快速資料擷取模組120的相應的擷取通道122。如圖1B所示,輸入通道110可以耦接到連接點,該連接點耦接到位於產生器耦接組件133其中一側的導電元件,該等導電元件全部結合使用以將PVWG 150的輸出連接到複合負載130。一般而言,(用於將PVWG 150的輸出連接到複合負載130的)導電元件和產生器耦接組件133在本案中通常被稱為傳輸線131中的元件。因此,PVWG 150經由傳輸線131和參考線132電連接到複合負載130。一般而言,本案使用的術語「電導體(electrical conductor)」或「導體(conductor)」可包括:(a)同軸傳輸線,其可以包括與剛性同軸傳輸線串聯的彈性同軸纜線,(b)絕緣高壓耐電暈安裝線(insulated high-voltage corona-resistant hookup wire),(c)裸線,(d)金屬棒,(e)電連接器,或(f)(a)-(e)中電氣元件的任意組合。儘管圖1B示意性地繪示一種配置,在此配置中,多個輸入通道110耦接至連接點, 該連接點耦接至一或多個導電元件中的一個,該一或多個導電元件用於將PVWG 150的輸出連接至複合負載130和/或PVWG 150內的一或多個電路,但此配置並非旨在限制本案提供的本揭示案的範圍,因為輸入通道110的數量和/或各種輸入通道110所可以連接到電漿處理系統50內的不同位置的數量可以根據需要增加或減少電漿,以控制所需的腔室處理應用。
如圖1B所示,電漿處理系統50包括脈衝電壓波形產生器(PVWG),如圖8A和圖8B中示意性繪示的處理腔室800的PVWG 150。此外,電漿處理系統50包括複合負載130,複合負載130包含在電漿處理期間在偏壓電極(如圖8A中的偏壓電極804)處產生脈衝電壓波形,以及/或藉由在電漿處理期間在偏壓電極(如圖8A中的偏壓電極804)處產生脈衝電壓波形而形成複合負載130。反饋迴路100通常經配置藉由調節PVWG 150所產生的產生的脈衝電壓波形的一或多個特性來控制脈衝電壓波形。
一或多個輸入通道110可以包括調節電路111,例如,輸入通道1101中的調節電路1111和輸入通道1102中的調節電路1112。此外,一或多個輸入通道110經配置產生經調節的(conditioned)輸出波形144。在一些實施例中,調節電路111可各自包括分壓器(如圖2的分壓器112)、低通濾波器114(如圖3的低通濾波器)、分壓器和低通濾波器114兩者,或者甚至在某些情況下既沒有分壓器亦沒有低通濾波器114,此在本說明書中稱為無衰減 的調節電路。在一個實例中,反饋迴路100包括至少三個調節電路111,其中一個調節電路包括高比率分壓器,另一個調節電路包括中比率分壓器,及另一個調節電路僅包括濾波器且不包含分壓器。在輸入通道110的調節電路111包括分壓器且亦包括低通濾波器的實施例中,分壓器112電耦接至低通濾波器114。此外,在此類實施例中,分壓器從輸入的脈衝電壓波形140產生分壓的(divided)電壓波形,且低通濾波器從分壓的電壓波形產生濾波的電壓波形。在省略了低通濾波器的實施例中,分壓器從輸入的脈衝電壓波形140產生分壓的電壓波形,且將分壓的電壓波形傳送到快速資料擷取模組120。在此類實施例中,分壓的電壓波形是經調節的電壓波形,其是輸出波形144。在輸入通道110中省略了分壓器和低通濾波器的實施例中,輸入脈衝電壓波形140亦是輸出波形144。在省略分壓器且在調節電路111中存在低通濾波器的實施例中,低通濾波器從輸入脈衝電壓波形140產生經濾波(filtered)的電壓波形,並將經濾波的波形傳送至快速資料擷取模組120。
圖1B繪示輸入通道1101-110N,其中N通常是大於1的數字,如大於3的數字,如圖1B所示。輸入通道1101-110N的各者可連接到電漿處理系統50內的不同點。例如,輸入通道1101可連接到位於產生器耦接組件133的其中一側上的電導體,該電導體可包括阻塞電容器(如圖8B的電容器Chvm)。例如,輸入通道1101耦接在複合 負載130與產生器耦接組件133之間,或者輸入通道1101耦接在PVWG 150的輸出與產生器耦接組件133之間。在輸入通道1101耦接於PVWG 150的輸出與產生器耦接組件133之間的實施例中,輸入通道1101接收輸入脈衝電壓波形1401A,以及調節電路1111產生輸出波形(如經調節的波形)1441A。在一個實例中,所接收或測量的輸入脈衝電壓波形1401A包括電壓脈衝,該等電壓脈衝包含每個電壓脈衝的不同相位(phase)內的正電壓位準和負電壓位準(亦即,脈衝在虛線零伏特參考線的上方和下方)以及輸入脈衝電壓波形1401A內的脈衝各個相位內的高頻振盪(例如,參見凸顯脈衝區域的虛線圓圈),當調節電路1111A內的部件(如分壓器)調節時,形成輸出波形1441A,由於使用分壓器,至少以較低電壓位準提供該輸出波形1441A。在輸入通道1101耦接於複合負載130與產生器耦接組件133之間的實施例中,輸入通道1101接收輸入脈衝電壓波形1401B,且調節電路1111產生輸出波形(如經調節的波形)1441B。如圖1B所示,由於輸入脈衝電壓波形1401A與輸入脈衝電壓波形1401B沿電漿處理系統50內的傳輸線131的各自連接點的位置,輸入脈衝電壓波形1401A與輸入脈衝電壓波形1401B具有不同的波形特性。或者,在一個實例中,如圖1B所示,所接收或測量的輸入脈衝電壓波形1401B包括正電壓脈衝(亦即,脈衝在虛線零伏特參考線上方),該等正電壓脈衝包含在輸入脈衝電壓波形1401B內的脈衝內的相位內的高頻振盪(如脈 衝區域I1B),當諸如在調節電路1111B內發現的分壓器和低通濾波器之類的部件調節時,形成輸出波形1441B,輸出波形1441B係處於降低電壓位準的經濾波的波形。
進一步參考圖1B,輸入通道1102連接到PVWG 150內的電流監測器134或附接到PVWG 150。因此,輸入通道1102接收輸入脈衝電壓波形1402,且調節電路1112產生輸出波形(經調節的波形)1442。在一個實例中,如圖1B所示,所接收或測量的輸入脈衝電壓波形1402包括電壓脈衝,該等電壓脈衝包含每個電壓脈衝的不同相位內的正電壓位準與負電壓位準以及輸入脈衝波形1402的每個脈衝的至少一個相位內高頻振盪(如參見凸顯脈衝區域的虛線圓圈),當調節電路1112內的部件(如分壓器和低通濾波器)調節時,形成輸出波形1442,輸出波形1442係處於降低電壓位準的經濾波的波形。
此外,輸入通道1103連接到PVWG 150的電流感測電阻器139。因此,輸入通道1103接收輸入脈衝電壓波形1403,且調節電路1113產生輸出波形(經調節的波形)1443。在一個實例中,如圖1B所示,所接收或測量的輸入脈衝電壓波形1403包括正電壓脈衝以及輸入脈衝電壓波形1403內的每個脈衝的至少一個相位內的高頻振盪(如參見虛線圓),當調節電路1113內的部件(如低通濾波器)調節時,形成輸出波形1443,輸出波形1443是經濾波的波形。
在一些實施例中,額外的輸入通道(如輸入通道1104-110N)連接至電漿處理系統50內的其他連接點,以接收關於所傳送的脈衝電壓波形和/或正在電漿處理腔室中施行的電漿製程的狀態的額外資訊。此外,調節電路111N經配置產生對應的輸出波形144N。輸入通道110N接收輸入脈衝電壓波形140N,且調節電路111N產生輸出波形(經調節的波形)144N。因此,調節電路111的任一者(如調節電路111N)可包括分壓器112(圖2)和低通濾波器114(圖3)的任何組合,或者既不包括分壓器112亦不包括低通濾波器114。
如圖1B所示,輸入脈衝電壓波形1401A-1403的各者互不相同。因此,對應的輸出波形1441A-1443彼此不同。因此,根據輸入通道110的輸入端連接到電漿處理系統50的位置,輸入脈衝電壓波形和輸出波形的特性會相應地變化,因此每個輸入通道的連接位置之選擇會對反饋迴路100所接收的資訊有影響,其可能影響反饋迴路100控制脈衝電壓波形的能力。
快速資料擷取模組
快速資料擷取模組120通常經配置接收類比電壓波形(如經調節的波形144)並輸送數位化的電壓波形。快速資料擷取模組120包括一或多個擷取通道122,一或多個擷取通道122電耦接到第一輸入通道110的相應調節電路111,且快速資料擷取模組120經配置從所接收到的經調節的訊號(如輸出波形144)產生數位化的電壓波形,其 中快速資料擷取模組120的資料擷取控制器123經配置藉由分析第一個數位化的電壓波形來決定經調節的電壓波形(如輸出波形144)的一或多個波形特性。如圖1B所示,快速資料擷取模組120包括複數個擷取通道1221-122N、資料擷取控制器123和記憶體124(如非揮發性記憶體)。每個擷取通道122電耦接到輸入通道110中相應的一個的輸入通道,使得擷取通道122從輸入通道110中相應的一個輸入通道接收輸出波形144。例如,根據輸入通道1101的輸入端的連接點的位置,擷取通道1221電耦接到輸入通道1101的輸出端並且接收輸出波形1441A或1441B之一。此外,擷取通道1222電耦接到輸入通道1102的輸出端,並接收輸出波形1442。或者或甚者,擷取通道1223電耦接至輸入通道1103的輸出端並接收輸出波形1443。擷取通道122N電耦接至輸入通道110N的輸出端,並接收輸出波形144N
在一些實施例中,快速資料擷取模組120經由資料通訊介面125A耦接到反饋處理器125,其中反饋處理器125經配置使用由一或多個算法決定的一或多個波形特性來產生一或多個控制參數,該一或多個算法由資料擷取控制器123內的處理器執行。儲存在記憶體124中的一或多個算法包括指令,當快速資料擷取模組中的處理器121執行該等指令時,該等指令使快速資料擷取模組處理輸出波形144(如經調節的電壓波形),以決定所接收到的輸出波形144的一或多個波形特性。如下面進一步討論的,反饋 處理器125包括記憶體,該記憶體包含指令,當反饋處理器125中的處理器(CPU)執行該等時,該等指令使反饋處理器125使用自快速資料擷取模組120提供的所決定的一或多個波形特性來產生一或多個控制參數。由反饋處理器執行的指令亦可進一步經配置使反饋處理器將與所產生的一或多個控制參數有關的資訊傳輸至PVWG 150。PVWG 150亦可包括記憶體,該記憶體包含指令,當PVWG 150中的處理器執行該等指令時,該等指令使PVWG 150基於反饋處理器125所產生的一或多個控制參數來建立調整後的(adjusted)脈衝電壓波形。
如上所述,每個擷取通道122處理相應的輸入通道110所輸出之相應的輸出波形144,以從該輸出波形產生數位化的電壓波形。例如,擷取通道1221處理輸出波形1441A或1441B以產生數位化的電壓波形。此外,擷取通道1222處理輸出波形1442以產生數位化的電壓波形,且擷取通道1223處理輸出波形1443以產生數位化的電壓波形。或者或甚者,擷取通道122N處理輸出波形144N以產生數位化的電壓波形。
資料擷取模組120進一步包括資料擷取控制器123。資料擷取控制器123電耦接到每個擷取通道122的輸出,且經配置從每個擷取通道122接收數位化的電壓波形。此外,儲存在資料擷取控制器123的記憶體124中的算法適於藉由分析每個數位化的電壓波形來決定每個經調節的波形(如輸出波形144)的一或多個波形特性。該分析 可包括將在數位化的電壓波形中接收到的資訊和儲存在記憶體124中與一或多個儲存的波形特性有關的資訊作比較,且在下面進一步討論該分析。
資料擷取控制器123可包括類比數位轉換器(ADC)(未圖示)、處理器121(圖1C)、通訊介面(未圖示)、時鐘(未圖示)和可選的一或多個驅動器(未圖示)。該處理器可以是任何通用計算處理器。此外,處理器可以是現場可程式閘陣列(FPGA)。ADC將輸出波形144內的訊號從類比域(domain)轉換為數位域,且將ADC的輸出數位訊號提供給處理器121處理。資料擷取控制器123的處理器121藉由分析從ADC提供的輸出數位訊號來決定輸出波形的一或多個波形特性。
在各種實施例中,資料擷取模組120額外地包括記憶體124。記憶體124可以是任何非揮發性記憶體。此外,資料擷取控制器123與記憶體124電耦接且資料擷取控制器123經配置使波形特性被儲存在記憶體124內。在各種實施例中,記憶體124包括指令,該等指令可由資料擷取控制器123執行以使資料擷取控制器123分析所接收到的輸出波形144和/或基於對接收到的輸出波形144的分析來傳輸所決定的波形特性相對應的資訊。
在各種實施例中,記憶體124包括資料記錄器124A、波形分析器124B和可執行指令124C中的一或多個。資料擷取控制器123可經配置將波形特性相對應的資訊儲存在記憶體124的資料記錄器124A內。例如,資料記 錄器124A可包括可由資料擷取控制器123存取以儲存波形特性相對應的資訊的資料庫。波形分析器124B包括可由資料擷取控制器123執行的指令,且當執行該等指令時,該等指令使資料擷取控制器123分析輸出波形144以決定波形特性。可執行指令124C可由資料擷取控制器123執行,且在執行該等指令時,使資料擷取控制器123將波形特性或與波形特性相對應的資訊傳輸到反饋處理器125、控制器127、控制器128與控制器191。在一個實施例中,可執行指令124C在由資料擷取控制器123執行時,使資料擷取控制器123將波形特性儲存在資料記錄器124A內,以及分析關於一或多個閾值的波形特性等。
資料擷取控制器123經配置平行地從每個對應的擷取通道122接收和/或分析數位化的電壓波形。或者,資料擷取控制器123經配置連續地(serially)從每個對應的擷取通道122接收和/或分析數位化的電壓波形。
如上所述,資料擷取模組120可以與反饋處理器125電(有線或無線地)耦接。反饋處理器125可以是任何通用計算處理器。在一些實施例中,反饋處理器125通常是以下之一:經由資料通訊介面連接到快速資料擷取模組120的外部處理器;整合於快速資料擷取模組120內的內部處理器;或用於經由資料通訊介面連接到快速資料擷取模組的基板處理腔室的控制器(例如,處理腔室控制器126)。資料擷取模組120可將與接收到的輸出波形144中的一或多個相對應的資訊傳送給反饋處理器125。例 如,資料擷取模組120可將與一或多個接收到的輸出波形144中的一或多個偵測到的和/或經處理的波形特性有關的資訊傳送到反饋處理器125。此外,反饋處理器125可與電漿處理系統50通訊地耦接。在各種實施例中,如上所述,反饋處理器125包括記憶體或耦接到記憶體,且該記憶體亦包含用於指示反饋處理器125內的處理器施行本案所述方法的一或多個部分的軟體算法。
在一或多個實施例中,資料擷取模組120可以與處理腔室(如圖8A的處理腔室800)或包括處理腔室的處理系統之處理腔室控制器126(有線或無線地)耦接。例如,資料擷取模組120向處理腔室控制器126(圖1D)傳輸資料和/或從處理腔室控制器126接收資料。例如,資料擷取模組120將與一或多個波形特性有關的資訊傳送到處理腔室控制器126。此外,處理腔室控制器126可與電漿處理系統50通訊地耦接。在各種實施例中,省略了處理腔室控制器126。處理腔室控制器126可分別包含控制器CPU(未圖示)、非揮發性記憶體(未圖示)、圖形用戶介面(GUI)(未圖示)以及可以在記憶體中編碼和儲存以用於指示CPU的其他有用的硬體和軟體部件。儲存在處理腔室控制器126的記憶體內的算法可以包括指令,當控制器CPU執行該等指令時,該等指令基於與資料擷取控制器123所決定的一或多個波形特性有關的資訊來調整各種處理腔室設定點,如吸附(chucking)電源供應上的吸附電壓設定點。
在一或多個實施例中,資料擷取模組120與包括可拆卸式記憶體裝置的控制器127電(有線或無線地)耦接。例如,資料擷取模組120向控制器127傳輸資料和/或從控制器127接收資料。例如,資料擷取模組120將與一或多個波形特性有關的資訊傳送到控制器127的可拆卸式記憶體裝置。
在各種實施例中,資料擷取模組120經由通訊介面電(有線或無線地)耦接到外部計算裝置。資料擷取模組120向外部計算裝置(如快速資料擷取模組120外部的計算裝置)傳輸資料和/或從其接收資料。例如,資料擷取模組120與計算裝置的控制器(如控制器128(圖1B至圖1D))通訊(例如,傳輸和/或接收資料)。資料擷取模組120可將一或多個偵測到的和/或經處理的波形特性傳送到控制器128。控制器128可以是個人電腦(PC)或行動計算裝置等。此外,控制器128可與資料擷取模組120和/或電漿處理系統50通訊地耦接(例如,經由乙太網路連接)。在各種實施例中,省略了控制器128。
如將在下面的描述和圖5A至圖5D的描述中更詳細地描述的,決定一或多個波形特性通常將包括但不限於決定以下各者中的一或多個:脈衝的一個循環的週期(Tcycle)、脈衝的上升時間(rise time,Trise)、脈衝的下降時間(Tfall)、脈衝相對於參考電壓(如零伏特)的偏移、脈衝幅度、脈衝寬度、直流(DC)電壓偏移以及在脈衝電壓波形的相位中的一或多個相位(如離子電流相 位(在此亦稱為「離子電流」波形特性)期間產生的脈衝波形特性。或者或甚者,決定一或多個波形特性的步驟包括決定脈衝的叢發的波形特性(如,Ton週期、Toff週期),如圖7B所示。
圖1C繪示根據一或多個實施例的反饋迴路100A的一部分。反饋迴路100A經配置類似於圖1B的反饋迴路100。例如,反饋迴路100A包括快速資料擷取模組120,其包括擷取通道122、資料擷取控制器123A和記憶體124。然而,與圖1B的反饋迴路100相比,反饋處理器125可與處理腔室控制器126整合。在此種情況下,整合式反饋處理器125可包括處理器126B和記憶體126A,該記憶體126A包括資料記錄器和算法解算器(如用於決定控制參數的軟體指令)。在圖1C所示的配置中,反饋迴路100可不包括個別的反饋處理器125,因為反饋處理器125的功能由處理腔室控制器126內的部件來執行。
圖1D繪示根據一或多個實施例的反饋迴路100B的一部分。反饋迴路100B經配置類似於圖1B的反饋迴路100。例如,反饋迴路100B包括快速資料擷取模組120,其包括擷取通道122和記憶體124'。然而,與圖1B的反饋迴路100相比,反饋迴路100B不包括反饋處理器125。例如,在圖1D的實施例中,資料擷取控制器123B包括圖1B的資料擷取控制器123和圖1B的反饋處理器125的功能。在此類實施例中,資料擷取控制器123B既用於分析輸出波 形144以決定波形特性,又用於決定控制參數並將其傳送給PVWG 150。
在一或多個實施例中,資料擷取控制器123經由數位介面耦接到控制器191內的顯示器129。例如,資料擷取控制器123經由數位視訊介面(DVI)、高畫質多媒體介面(HDMI)或視頻圖形陣列(VGA)等耦接至控制器191和顯示器129。資料擷取控制器123將與一或多個波形特性相對應的資訊傳送到顯示器129,以顯示在顯示器129上,以供使用者觀看。
圖2是根據一或多個實施例的調節電路111的分壓器(如分壓器112)的示意圖。如圖2所示,分壓器112可包括第一分壓器級聯210和第二分壓器級聯212。分壓器112經由一或多個電連接件(如訊號線221和接地參考線223)電耦接至低通濾波器114。第一分壓器級聯210包括電阻器R1、電阻器R2、電容器C1和電容器C2。第二分壓器級聯212包括電阻器R4、電阻器R5、電容器C3和電容器C4。選擇電阻器R1的電阻、電阻器R2的電阻、電容器C1的電容和電容器C2的電容以產生第一分壓比。例如,第一分壓比可以在約20比1至約60比1的範圍內。此外,電阻器R1的電阻大於電阻器R2的電阻,且電容器C2的電容大於電容器C1的電容。例如,電阻器R1的電阻可以是約950千歐姆(kilo-ohm),而電阻器R2的電阻可以是約20千歐姆。或者,電阻器R1的電阻可以小於或大於950千歐姆,且電阻器R2的電阻可以小於或大於20千歐姆。另外,電容 器C2的電容可以是約650pF,且電容器C1的電容可以是約15pF。或者,電容器C2的電容可以小於或大於650pF,且電容器C1的電容可以小於或大於15pF。
選擇電阻器R4的電阻、電阻器R5的電阻、電容器C3的電容和電容器C4的電容以產生第二分壓器級聯212的第二分壓比。在一些實施例中,第二分壓比大於第一分壓比。例如,第二分壓比可以在約80比1至約120比1的範圍內。此外,電阻器R4的電阻大於電阻器R5的電阻,且電容器C4的電容大於電容器C3的電容。例如,電阻器R4的電阻可以是約1000千歐姆,且電阻器R5的電阻可以是約10千歐姆。或者,電阻器R4的電阻可以小於或大於1000千歐姆,且電阻器R5的電阻可以小於或大於10千歐姆。另外,電容器C4的電容可以為約1.5nF,且電容器C3的電容可以為約15pF。或者,電容器C4的電容可以小於或大於1.5nF,且電容器C3的電容可以小於或大於15pF。
在一些實施例中,第一分壓器級聯210經由電阻器R3耦接到第二分壓器級聯212。電阻器R3的電阻可以是例如約200千歐姆。或者,電阻器R3的電阻可以大於或小於約200千歐姆。電阻器R3可以從輸入脈衝電壓波形140中濾除高頻。可藉由改變電容器C1-C4和電阻器R1、R2、R4和R5的值來改變分壓器112的分壓比。例如,增大R1和R2與C1和C2之間的差異(difference)以及/或增大R4和R5與C3和C4之間的差異會增加分壓比,而減小R1和R2與C1和C2之間的差以及/或減小R4和R5與C3和C4 之間的差異會降低分壓比。在一些實施例中,第一分壓器級聯210的分壓比在約10比1至約100比1的範圍內,而第二分壓器級聯212的分壓比在約20比1至約120比1的範圍內。
在輸入通道110包括分壓器112和低通濾波器114的實施例中,低通濾波器114的輸入電耦接到分壓器112的輸出,使得低通濾波器114接收來自分壓器112之分壓的電壓波形。此外,低通濾波器114具有一頻率響應曲線,該頻率響應曲線包含平線區(plateau)和截斷頻率。例如,低通濾波器114的濾波響應在小於約-3dB的帶寬頻率(bandwidth frequency)之頻率處具有平線區。低通濾波器114的平線區範圍在DC至約7MHz的範圍內,而截斷頻率在約5MHz至約10MHz的範圍內。圖4繪示低通濾波器114的頻率響應曲線(如頻率響應曲線400)的實例。如圖所示,濾波器響應曲線在小於約7.3MHz的帶寬(如-3dB)頻率的頻率處具有平線區。或者,帶寬頻率可以大於或小於約7.3MHz。
圖3是根據一或多個實施例的調節電路111的低通濾波器(如低通濾波器114)的示意圖。如圖3所示,低通濾波器114包括濾波器級聯222和濾波器級聯224。濾波器級聯222可以是2級切比雪夫濾波器(2-stage Chebyshev filter)。例如,濾波器級聯222可以是具有約0.1dB的阻帶衰減的2級切比雪夫濾波器。或者,可使用具有小於或大於0.1dB的阻帶衰減的2級切比雪夫濾波 器。濾波器級聯222包括電阻器R6、R7,電容器C6和C5以及運算放大器225。電阻器R6和R7的電阻可以相同。例如,電阻器R6和R7的電阻可以是約50歐姆。然而,可利用其他電阻值。此外,在一個實例中,電容器C6的電容可以是約360pF,且電容器C5的電容可以是約147pF。然而,可利用其他電容值。另外,濾波器級聯222可具有約15MHz的截斷頻率。或者,濾波器級聯222可以具有小於或大於約15MHz的截斷頻率。
濾波器級聯224的輸入電耦接到濾波器級聯222的輸出。濾波器級聯224是LCL濾波器級聯。此外,濾波器級聯224可以是5階巴特沃斯濾波器(5th order Butterworth filter)。另外,濾波器級聯224可具有小於濾波器級聯222的截斷頻率之一截斷頻率。例如,濾波器級聯224可具有約7.3MHz的截斷頻率。或者,濾波器級聯224可具有小於或大於約7.3MHz的截斷頻率。濾波器級聯224包括電阻器R8、R9,電感器L1、L3和L3以及電容器C10和C11。電阻器R8的電阻可以是約400千歐姆;然而,可利用其他電阻值。此外,電感器L1的電感可以為約5.4uH,電感器L2的電感可以為約17.5uH,且電感器L3的電感可以為約5.4uH。然而,可利用其他電感值。另外,電感器L2的電感大於電感器L1和L2的電感。此外,電感器L1的電感可等於電感器L3的電感。電容器C10和C11的電容可以相同。例如,電容器C10和C11的電容可以是約88pF。然而,可利用其他電容值。此外,電 阻器R8和R9的電阻可以是約400千歐姆。然而,可使用其他電阻值。
在一些實施例中,濾波器級聯222和濾波器級聯224各自具有包括平線區和截斷頻率的頻率響應曲線,其中該平線區在1MHz至約7MHz之間,且截斷頻率在約5MHz至約10MHz的範圍內。
圖5A、圖5B、圖5C和圖5D分別繪示輸出波形(亦即經調節的波形)1441A、1441B、1442和1443。圖5A、圖5B、圖5C和圖5D所示的輸出波形包括在電壓偏移的頂部上以週期「Tcycle(如2.5微秒)重複的一週期性系列的短脈衝。在一個電漿處理實例中,如下所述,短脈衝僅形成週期「Tcycle」的約10%。
如以上簡要討論並在圖5A中所示,可藉由擷取通道1221分析輸出通道1441A,以決定包括以下各者中的一或多個之波形特性:脈衝的一個循環的週期(Tcycle)、脈衝的上升時間(Trise)、脈衝的下降時間(Tfall)、以及脈衝相對於參考電壓(如零伏特)的DC電壓偏移。在一個實施例中,耦接到產生器耦接組件133的偏壓電極804(圖8A)側之輸入通道1101的調節電路1111A僅包括分壓器112,分壓器112用於形成輸出波形1441A。在此配置中,由於不存在低通濾波器114,因此可以實現對脈衝計時特性(如週期、上升時間、下降時間等)的正確決定。再者,在一些實施例中,亦可以藉由測量偏壓電極804(圖8A)與基板803上的DC電壓之間的差來決定施加於基板 的吸附力(藉由使用偏壓電極804(圖8A)與HVM 816(圖8B)的高DC電壓電源供應Vhvm來施加)。
此外,如圖5B所示,可藉由擷取通道1221分析輸出波形1441B以決定包括脈衝幅度「Ampl」、脈衝寬度「W」和DC電壓偏移的波形特性。所決定的脈衝寬度「W」可以等於半峰全寬(full width at half maximum)。在一個實施例中,(具有耦接到產生器耦接組件133的產生器側之輸入端的)輸入通道1101的調節電路1111B包括分壓器112和低通濾波器114,該分壓器112和該低通濾波器114用於形成輸出波形1441B。在此配置中,可以藉由預先決定比例因子(α)來決定電漿處理期間的護皮電壓(Vsh)和離子能量(Ei),其中Ei
Figure 109102592-A0305-02-0031-2
Vsh
Figure 109102592-A0305-02-0031-3
α˙“Ampl”。再者,在一些實施例中,可以藉由測量偏壓電極804(圖8A)與基板803上的DC電壓之間的差來決定施加於基板的吸附力。再者,可以決定在脈衝波形的離子電流相位內產生的離子電流(Iion)的特性,此將在下面進一步討論。
如圖5C所示,可藉由擷取通道1222分析輸出波形1442,以決定包括離子電流偏移的波形特性。在一個實施例中,(具有耦接到電流監測器134(圖1B與圖9B)之輸入通道1102的輸入端的)輸入通道1101的調節電路1111B包括分壓器112和低通濾波器114,該分壓器112和該低通濾波器114用於形成輸出波形1442。在此配置中,可以在電漿處理期間藉由使用等式Iion=(離子電流偏移)/factor,來決定與離子電流偏移有關的資訊,其中「Iion」 是離子電流,「factor」是電流監測器134的每安培伏特輸出特性。
或者或甚者,可以藉由擷取通道1223分析輸出波形1443(圖5D),以決定在電漿處理期間包括最大離子電流的波形特性。在一個實施例中,(具有耦接到PVWG 150內的電流感應電阻器139之輸入通道1103的輸入端的)輸入通道1103的調節電路1113僅包括低通濾波器114,該低通濾波器114用於形成輸出波形1443。在此配置中,由於不存在分壓器112,所以可以實現對離子電流(Iion)的大小的正確決定。可以在電漿處理期間藉由使用等式Iion=(Max)/Rsense,來決定最大離子電流「Max」,其中「Rsense」是Rsense電阻的值。
反饋處理器125可以從快速資料擷取模組120接收關於一或多個波形特性的資訊,並產生相應的控制參數。反饋處理器125將控制參數傳送到PVWG 150,並且PVWG 150基於接收到的控制參數來調整在複合負載130上建立的脈衝電壓波形。例如,PVWG 150可基於接收到的控制參數來增加在複合負載130上建立的脈衝電壓波形的幅度和/或寬度。調整用於產生脈衝電壓波形的參數可以用於減輕(mitigate)基板處理期間在處理腔室內的不期望的變化或製程變數偏移。例如,調整用於產生脈衝電壓波形的參數可以減輕電漿處理期間對基板處的護皮電壓和離子能量分佈函數的改變,此將在下面更詳細地討論。響應於負載的變化、電漿密度的偏移(drift)、腔室壁狀況 的變化、基板溫度、和/或化學分解的程度和狀態,可能發生護皮電壓(Vsh)和離子能量分佈函數的變化。
進一步參考圖1B,PVWG 150經由產生器耦接組件133在複合負載130上建立脈衝電壓波形。PVWG 150可基於從資料擷取模組120所決定的波形特性(如幅度、脈衝寬度、DC偏移和離子電流)導出的控制參數來建立脈衝電壓波形。在最簡單的情況下,可將資料擷取模組120所決定的波形特性提供給使用者(如顯示在控制器127的顯示器上),接著使用者基於所決定的波形特性調整PVWG 150使用的控制參數以改善脈衝PVWG 150所產生的一或多個脈衝波形的脈衝波形特性。可以可替代地從反饋處理器125、控制器128或處理腔室控制器126接收控制參數。控制參數可包括由PVWG 150使用以形成隨後產生的調整後的脈衝電壓波形的資訊,且控制參數可包括但不限於以下中的一或多個:該第一經調節的電壓波形的所決定的該一或多個波形特性已經達到其目標值或極限;達到DC充電電壓的最大極限;達到最大功率極限;達到算法收斂的最大時間極限;達到脈衝寬度的最大極限;及達到脈衝寬度的最小極限。可以以一預定速率將控制參數傳送到PVWG 150。預定速率可以是約每秒10次傳輸。或者,預定速率可以小於或大於每秒10次傳輸。
或者或甚者,處理腔室控制器126可從快速資料擷取模組120接收一或多個波形特性,然後產生相應的控制參數。處理腔室控制器126可以將控制參數傳送到 PVWG 150。或者,處理腔室控制器126可以將控制參數傳送到反饋處理器125,並且反饋處理器125將控制參數傳送到PVWG 150。PVWG 150基於決定的控制參數來調整PVWG 150所輸出的輸入脈衝電壓波形140。PVWG 150可以增加PVWG 150所輸出的脈衝電壓波形的幅度和/或寬度。此外,處理腔室控制器126可經配置每個處理配方至少提供一次目標幅度和脈衝寬度以及控制參數的值。另外,快速資料擷取模組120可以以一傳輸速率傳送以下中的一或多個:輸出波形144的幅度、脈衝寬度和DC偏移。例如,傳輸速率可以是約每秒10次傳輸。然而,可使用每秒大於或小於10次傳輸的傳輸速率。
或者或甚者,控制器128可從快速資料擷取模組120接收幅度、脈衝寬度、DC偏移和離子電流中的一或多者,然後產生相應的控制參數。或者,快速資料擷取模組120可將經處理的波形傳送到控制器128,且控制器128可從該波形決定一或多個控制參數。控制器128將控制參數傳送給PVWG 150,並且PVWG 150基於所決定的控制參數來調整PVWG 150所輸出的脈衝電壓波形。或者,控制器128將控制參數傳送給反饋處理器125,反饋處理器125將控制參數傳輸到PVWG 150,並且PVWG 150基於所決定的控制參數來調整PVWG 150所輸出的脈衝電壓波形。
圖6A和圖6B包括源自使用資料擷取系統的實施例所測量的訊號源1B(產生器耦接組件的產生器端)之輸 入與經調節的(如經分壓與濾波的)電壓波形的一個波形循環的一部分的實例,該資料擷取系統包括調節電路與快速資料擷取模組。可以藉由資料擷取控制器123分析此時間長度記錄的波形的一部分所含有的數位資訊來決定電壓波形特性,如幅度(Ampl)、半峰全寬(W)、和偏移(offset)。特別地,圖6A繪示輸入脈衝電壓波形1401B和經分壓的波形610的一個波形循環的一部分。可藉由對輸入脈衝電壓波形1401B做分壓來產生經分壓的波形。例如,參考圖1B,調節電路1111B的分壓器對輸入脈衝電壓波形1401B作分壓。圖6B繪示輸入脈衝電壓波形1401B和輸出波形1441B的一個波形循環的一部分。可藉由對經分壓的波形610(圖6A)作低通濾波來產生輸出波形1441B。在各種實施例中,可藉由資料擷取控制器123分析輸出波形1441B以決定一或多個波形特性。
圖7A繪示輸入脈衝電壓波形1401B的多個循環。更特別地,圖7A包括源自使用資料擷取系統的實施例所測量的訊號源1B(產生器耦接組件的產生器端)之輸入電壓波形的多個循環(脈衝)的實例,該資料擷取系統包括調節電路與快速資料擷取模組。如關於圖1B所描述的,輸入脈衝電壓波形1401B可由輸入通道1101B接收並且由擷取通道1221分析以決定一或多個波形特性。可以藉由資料擷取控制器123分析此時間長度記錄的波形中所含有的數位資訊來決定此電壓波形特性,如幅度(Ampl)、偏移(offset)、脈衝週期(T p )以及脈衝重複頻率(f P =1/T P )。
圖7B繪示輸入脈衝電壓波形1401B的多個叢發710。每個叢發710具有包括導通時間(on time)720和斷電時間(off time)732的叢發週期。此外,輸入脈衝電壓波形1401B的頻率係基於叢發週期,且叢發工作循環係基於導通時間720和叢發週期。更特別地,圖7B包括源自使用資料擷取系統的實施例所測量的訊號源1B(產生器耦接組件的產生器端)之輸入脈衝電壓波形的多個叢發(各自含有複數個波形循環)的實例,該資料擷取系統包括調節電路與快速資料擷取模組。可以藉由資料擷取控制器123分析此時間長度記錄的波形中所含有的數位資訊來決定此電壓波形特性,如偏移(offset)、叢發週期(T B =T on +T off )、叢發頻率(f B =1/T B )以及叢發工作循環(Duty=T on /T B )。
電漿處理腔室實例
圖8A是處理腔室800的示意性截面圖,其中在電漿處理期間形成複合負載130。處理腔室800經配置根據一個實施例實踐本案提出的偏壓方案。在一個實施例中,處理腔室為電漿處理腔室,如反應離子蝕刻(RIE)電漿腔室。在一些其他實施例中,處理腔室是電漿增強沉積腔室,例如電漿增強化學氣相沉積(PECVD)腔室、電漿增強物理氣相沉積(PEPVD)腔室或電漿增強原子層沉積(PEALD)腔室。在一些其他實施例中,處理腔室是電漿處理腔室或基於電漿的離子注入腔室,例如電漿摻雜(PLAD)腔室。在此,處理腔室包括電耦接到射頻(RF) 電源供應的電感性耦接電漿(ICP)源。在其他實施例中,電漿源是電容性耦接電漿(CCP)源(如設置在面向基板支撐件的處理空間中的源電極),其中源電極電耦接至RF電源供應。
處理腔室800具有腔室主體813,腔室主體813包括腔室蓋件823、一或多個側壁822和腔室基部824,腔室蓋件823、一或多個側壁822和腔室基部824界定處理空間826。穿過腔室蓋件823設置的氣體入口828用於從與其流體連通的處理氣體源819向處理空間826提供一或多種處理氣體。在本案中,電漿產生器經配置從處理氣體點燃並維持處理電漿801,該電漿產生器包括一或多個感應線圈817,該感應線圈817靠近處理腔室空間826的腔室蓋件823設置。一或多個感應線圈817經由RF匹配電路830電耦接至RF電源供應818。電漿產生器使用處理氣體以及感應線圈817和RF電源供應818所產生的電磁場,以用於點燃和維持處理電漿801。處理空間826經由真空出口820流體地連接到一或多個專用真空泵,專用真空泵將處理空間826維持在次大氣壓的條件下,並從中排出處理氣體和/或其他氣體。(設置在處理空間826中的)基板支撐組件836設置在密封地延伸穿過腔室基部824的支撐軸838上。
基板803經由一或多個側壁822中的一個側壁中的開口(未圖示)裝載到處理空間826中以及從處理空間826移除,在基板803的電漿處理期間,用門或閥(未圖示)密封開口。在此,使用升舉銷系統(未圖示)將基板803 移送到ESC基板支撐件805的接收表面或從ESC基板支撐件805的接收表面移送出基板803。
基板支撐組件836包括支撐基部807以及與支撐基部807熱耦接並設置在支撐基部807上的ESC基板支撐件805。通常,在基板處理期間,支撐基部807用於調節ESC基板支撐件805和設置在ESC基板支撐件805上的基板803的溫度。在一些實施例中,支撐基部807包括設置在其中的一或多個冷卻通道(未圖示),該等冷卻通道與冷卻劑源(未圖示)流體地耦接且流體連通,冷卻劑(coolant)源例如具有相對高電阻的冷凍劑(refrigerant)源或水源。在一些實施例中,ESC基板支撐件805包括加熱器(未圖示),如嵌入在ESC基板支撐件805的介電材料中的電阻加熱元件。在此,支撐基部807由耐腐蝕的導熱材料(例如耐腐蝕的金屬,如鋁、鋁合金或不銹鋼)形成,且支撐基部807以黏合劑或藉由機械手段熱耦接至基板支撐件。通常,ESC基板支撐件805由介電材料形成,諸如塊狀燒結陶瓷材料,諸如抗腐蝕金屬氧化物或金屬氮化物材料,例如氧化鋁(Al2O3)、氮化鋁(AlN)、氧化鈦(TiO)、氮化鈦(TiN)、氧化釔(Y2O3)、以上各者之混合物或以上各者的組合。在本案的實施例中,ESC基板支撐件805進一步包括嵌入ESC基板支撐件805的介電材料中的偏壓電極804。在一種配置中,偏壓電極804是用於將基板803固定(吸附)到ESC基板支撐件805的支撐表面以及使用本案所述的脈衝電壓 偏壓方案將基板803相對於處理電漿801偏壓基板803。通常,偏壓電極804由一或多個導電部分形成,如一或多個金屬網、箔、板或其組合。再次,偏壓電極804電耦接至HVM 816,HVM 816使用電導體(如同軸傳輸線806(如同軸纜線))向其提供吸附電壓(如介於約-5000V至約5000V之間的靜態DC電壓。
支撐基部807藉由絕緣板811與腔室基部824電隔離,且接地板812插入在絕緣板811和腔室基部824之間。在一些實施例中,處理腔室800進一步包括石英管810或套圈,其圍繞(circumscribe)基板支撐組件836,以防止ESC基板支撐件805和/或支撐基部807與腐蝕性處理氣體或電漿、清洗氣體或電漿或其副產物接觸而腐蝕。通常,襯墊808圍繞石英管810、絕緣板811和接地板。在本案中,與ESC基板支撐件805的基板接收表面大致共面的電漿網板(plasma screen)809防止電漿在襯墊808與一或多個側壁822之間的體積中形成。
偏壓電極804藉由ESC基板支撐件805的介電材料層而與ESC基板支撐件805的基板接收表面間隔開,且因此與基板803間隔開。在此配置中,平行板狀結構由偏壓電極804和介電材料層形成,介電材料層可以具有約5nF至約50nF的有效電容。通常,介電材料層的厚度在約0.1mm至約1mm之間,如在約0.1mm至約0.5mm之間,例如約0.3mm。在此,使用設置在傳輸線131內的外部導體(如傳輸線806)將偏壓電極804電耦接至PVWG 150。 PVWG 150及其部件在本揭示案文本的前面進行了詳細描述。在一些實施例中,可以選擇介電材料和層厚度,使得介電材料層的電容C e 在約5nF至約50nF之間,如在約7nF至約10nF之間。
通常,處理腔室800的處理空間826中的低中性填充壓力導致佈置在其中的表面之間(如在ESC基板支撐件805的介電材料與設置在ESC基板支撐件805的基板接收表面上的基板803之間)有較差的(poor)熱傳導,此會降低ESC基板支撐件805在加熱或冷卻基板803中的效率。因此,在一些製程中,將導熱的惰性熱傳氣體(通常為氦)引入到設置在基板803的非裝置側表面和ESC基板支撐件805的基板接收表面之間的體積(未圖示)中,以改善它們之間的熱傳遞。由熱傳氣體源(未圖示)提供的熱傳氣體經由氣體連通路徑(未圖示)流向背側體積,氣體連通路徑穿過支撐基部807設置並進一步穿過ESC基板支撐件805設置。
處理腔室800進一步包括處理腔室控制器126。在此的處理腔室控制器126包括中央處理單元(CPU)833、記憶體834和支援電路835。處理腔室控制器126控制用於處理基板803的處理程序,其包括本案所述之基板偏壓方法。CPU 833是通用電腦處理器,經配置用於工業設置,以控制處理腔室和與其相關的子處理器。本案所述之記憶體834可包括隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動,或其他的數位儲存格式(本地端或遠端的)。 支援電路835通常耦接至CPU 833且包括快取、時脈電路、輸入/輸出子系統、電源供應及類似物以及其組合。軟體指令(程式)可以經編碼並儲存在用於對CPU 833內的處理器下指令的記憶體834內。處理腔室控制器126中的CPU 833可讀取的軟體程式(或電腦指令)決定處理腔室800中的部件可施行哪些任務。較佳地,在處理腔室控制器126中的CPU 833可讀取的程式包括程式碼,當處理器(CPU 833)執行該程式碼時,該程式碼施行監控和執行本案所述之電極偏壓方案有關的任務。該程式將包括用於控制處理腔室800內的各種硬體和電氣部件以施行用於實施本案所述之電極偏壓方案的各種處理任務和各種處理程序的指令。
PVWG 150在負載(如複合負載130)上建立脈衝電壓波形,藉由使用偏壓電極804形成脈衝電壓波形。PVWG 150包括奈秒脈衝產生器814和電流返回輸出級(output stage)815,在圖8A和圖8B中示意性地繪示奈秒脈衝產生器814和電流返回輸出級815。藉由以預定速率重複地關閉和開啟其內部開關,奈秒脈衝產生器814在預定長度的規則性再現(regularly recurring)時間間隔期間,在其輸出(亦即接地)上維持預定的實質恆定的正電壓。圖8A繪示奈秒脈衝產生器814的簡化的、功能等效的示意圖。在圖8A中,將奈秒脈衝產生器814減少到最少的部件組合,此對於理解其在偏壓電極804處建立所需脈衝電壓波形的作用很重要。該等部件通常包括內部電壓 源、高重複率開關和反激(flyback)二極體等。需要理解的是,實際的奈秒脈衝產生器可包括任意數量的內部部件,且可以基於比圖8A更為複雜的電路。因此,圖8A的示意圖僅提供了奈秒脈衝產生器814及其電子電路的功能等效表示,以盡可能地解釋其操作的基本原理、其與處理空間中的電漿的交互作用、及其在偏壓電極804處建立脈衝電壓波形(如輸入脈衝電壓波形140)的角色。從圖8A所示的示意圖可以推斷,當開關S1斷開(關(Off))位置移動到閉合(開(on))位置時,它將奈秒脈衝產生器的輸出連接到其內部電壓源,該內部電壓源產生實質恆定的輸出電壓。在一或多個實施例中,反激二極體(其亦可以由不同的緩衝器(snubber)電路替代)的目的是抑制或「緩衝(snub)」由開關S1的斷開引起的可能的電壓尖峰,然後快速釋放累積在電感元件中的磁能。該等電感元件包括:(A)外部電導體,諸如具有組合的電感L transm 的傳輸線806,以及(B)PVWG 150的部件,其包括連接奈秒脈衝產生器814和電流返回輸出級815的內部電導體,其具有組合電感L internal 。奈秒脈衝產生器814可主要用作電荷注入器(電流源),而不用作恆定電壓源;因此,不必對輸出電壓的穩定性施加嚴格的要求,因為即使開關保持在閉合(開(on))位置時,輸出電壓亦可以隨時間變化。此外,在某些配置中,奈秒脈衝產生器814基本上是一個來源,而不是吸收源(sinking supply),因為它只在一個方向上使電流通過(例如,輸出可以充電,但不 能使電容器放電)。另外,當開關保持在斷開(關(off))位置時,奈秒脈衝產生器的輸出上的電壓V0不受內部電壓源控制,而是由其內部部件與其他電路元件的相互作用來決定。
電流返回輸出級815的一端815B接地,並且另一端815A透過內部電導體連接到奈秒脈衝產生器的正輸出並同時連接到外部電導體,該外部電導體耦接到產生器耦接組件133的一側(圖1B)。電流返回輸出級815可以由以下元件組成:串聯連接的電阻器和電感器、開關或電氣元件(包括並聯電容器)的更複雜的組合,其允許正電流流向地面。
傳輸線131將PVWG 150的輸出電連接至吸附極(如偏壓電極804)。PVWG 150的輸出是端815A,其中奈秒脈衝產生器814的輸出透過內部電導體連接到電流返回輸出級815。連接到產生器耦接組件133的偏壓電極側和連接到偏壓電極804的傳輸線131的電導體可包括:(a)同軸傳輸線806,其可包括具有電感器L flex 的彈性同軸纜線,該彈性同軸纜線與具有電感器L rigid 的剛性同軸傳輸線串聯;(b)絕緣高壓耐電暈安裝線,(c)裸線,(d)金屬棒,(e)電連接器,或(f)(a)-(e)中電氣元件的任意組合。注意,內部電導體可包括與外部電導體相同的基本元件。
偏壓電極804通常是金屬板,該金屬板嵌入到靜電吸盤中且藉由介電材料的薄層與電漿分隔開。吸盤極可 以是嵌入在靜電吸盤部分(亦即,ESC基板支撐件805)內的偏壓電極804。外部導體(如傳輸線806)和偏壓電極804具有對地的一些結合的雜散電容Cs
圖8B繪示本案提出的脈衝電壓偏壓方案的功能等效的簡化電子電路840,其包括處理空間中的電漿。該等電路用於模擬脈衝電壓波形產生器(如PVWG 150)與處理腔室800相互作用的主要態樣;解釋基本操作原理以及在偏壓電極(如偏壓電極804)處建立脈衝電壓波形中脈衝電壓波形產生器的角色;描述在脈衝電壓波形的不同相位期間發生的伴隨物理現象;並且大致解釋了脈衝電壓偏壓方案的基本操作原理。為了清楚起見,在本揭示案全文中使用以下定義:(1)除非指定參考點,否則所有電勢均以接地為參考點;(2)任何物理點(如基板或偏壓電極)處的電壓同樣被定義為該點相對於接地(零電勢點)的電勢;(3)陰極護皮暗指電子排斥的、離子加速的護皮,其對應於相對於電漿的負基板電勢;(4)護皮電壓(有時亦稱作「護皮電壓降」)Vsh被定義為電漿與相鄰表面(如基板或腔室壁的相鄰表面)之間的電勢差的絕對值;以及(5)基板電勢是面向電漿的基板表面處的電勢。
首先,靜電吸盤中的介電層和放置在其表面上的經處理的基板(例如,電容大於10nF的0.3至0.8mm厚的矽摻雜板)將吸附極(如偏壓電極804)與電漿分開,並且在圖8B中的電路中由具有電容Ce(例如,~7nF至10nF)的單個吸盤電容器843(實際上是串聯的兩個電容器)表 示。換句話說,基板(通常由半導體和/或介電材料的薄層製成)可被認為是ESC介電層的一部分,並且每當提到吸盤電容Ce時,暗指Ce是ESC(亦即,CESC(~介電層電容))和基板(亦即,Cw)的組合的串聯電容。由於基板電容Cw通常非常大(>10nF),或者基板可以導電(無限電容),因此串聯電容主要由實際CESC決定。
其次,偏壓電極804、PVWG 150和將偏壓電極804與PVWG 150連接的外部電導體(如傳輸線131)具有:(A)一些組合的到接地的雜散電容,其由具有電容Cs(例如,~500pF)的單個雜散電容器842表示;以及(B)一些電感,其由用於內部電導體和PVWG 150的其他元件的電感器L internal 以及用於外部電導體(如傳輸線806)的L external (亦即,845A和845B)表示。電流返回輸出級815在電路840中由電阻器R ros (例如~150Ohm)和電感器L ROS 表示,它們亦可以可選地包括開關S2
如圖8B所示,PVWG 150亦可以包括與電流返回輸出級815並聯連接的旁路電阻器Rbypass和電流感測電路821。電流感測電路821包括電流感測電阻器Rsense(亦即,項目139)和開關S3,其可以用於感測在脈衝的一或多個相位期間流過電流返回輸出級815的電流。
第三,可利用標準的電子電漿模型,該模型將處理空間中的整個電漿表示為三個串聯元件。例如,與基板相鄰的電子排斥陰極護皮844(有時我們亦稱為「電漿護皮」或稱為「護皮」)。陰極護皮在圖8B中是由傳統的3 部分電路元件表示的,該傳統的3部分電路元件包括:(a)二極體DSH,其在斷開時表示護皮塌陷,(b)電流源Ii(例如,~0.5A至5A),其表示在護皮存在的情況下流向基板的離子電流,和(c)電容器CSH(例如,對於高深寬比應用而言,~100pF至300pF),其表示在偏壓循環的主要部分(~90%)(亦即,離子電流相位)的護皮,在此期間發生離子加速和蝕刻。
主體電漿846在圖8B中由~5-10歐姆的單個電阻器表示。在腔室壁處形成的電子排斥壁護皮在圖8B中由三部分電路元件表示,該電路元件包括:(a)二極體D w ,(b)表示到壁的離子電流的電流源I iw(例如,~5A至10A),和(c)電容器Cw(例如,~5nF至10nF),當沒有電子排斥陰極護皮並且壁護皮電容器由奈秒脈衝產生器推動通過ESC的大電流充電時,電容器Cw主要在ESC再充電相位502期間表示壁護皮。因為陰極護皮比壁護皮遠厚得多(由於高壓),且總壁面積比基板面積遠大得多,所以假設Cw≫CSH。接地金屬壁的內表面被認為覆蓋一介電材料薄層,在圖8B中用大電容Ccoat(例如~300-1000nF)表示。
在一些實施例中,如圖8A和圖8B所示,該系統包括高壓模組(HVM)816,該高壓模組用於將基板吸附(如「電夾持」)至ESC基板支撐件的基板接收表面,如圖8A所示。吸附基板允許用氦氣(He)填充基板接收表面和基板的非裝置側面之間的間隙,如此做是為了在兩者之 間提供良好的熱接觸並藉由調節ESC基板支撐件的溫度來控制基板溫度。將HVM所產生的DC吸附電壓與PVWG 150在偏壓電極804處產生的脈衝電壓相結合將導致脈衝電壓波形的額外電壓偏移等於DC吸附電壓。藉由選擇適當大的阻塞電容器Chvm和Rhvm2,可以使HVM 816對PVWG 150的操作的影響可忽略不計。電阻Rhvm2示意性地繪示位於將HVM 816連接到傳輸線131內的點之部件內的電阻器。簡化電子電路840中的阻塞電容器Chvm的主要功能是保護PVWG 150不受DC電源供應Vhvm產生的HVM DC電壓的影響,其因此使在Chvm上的電壓壓降並且不會干擾PVWG 150的輸出。選擇Chvm的值,使得當只有阻斷(block)HVM DC電壓時,它不會對脈衝偏壓產生器的高頻輸出電壓產生任何負載。藉由選擇足夠大的Chvm(如40-80nF),Chvm對於400kHz訊號是近乎透明的,例如,因為它比系統中的任何其他相關的電容都大得多,並且相比於其他相關的電容器(如,吸盤(chuck)電容Ce、及護皮電容CSH)上的電壓降而言此元件上的電壓降非常小。接著,阻塞電阻器Rhvm的目的是阻斷高頻脈衝偏壓產生器的電壓並且最小化其在HVM DC電壓供應中感應出的電流。此阻塞電阻器Rhvm2需要足夠大,以有效地最小化通過它的電流。例如,Rhvm2>1MOhm通常足夠大,而可以忽略從脈衝偏壓產生器到HVM的400kHz電流。所產生的0.5-1mA量級的平均感應電流實際上比HVM電源供應的典型極限(約為5mA DC電流)遠小得 多。Chvm1和Rhvm1以及Rhvm2一起形成用於脈衝電壓的電流抑制/濾波電路,使得脈衝電壓不會感應通過HVM 816的電流。
在一些實施例中,反饋迴路100耦接到PVWG 150內的一或多個點或者耦接到沿著設置在PVWG 150與阻塞電容器Chvm之間的電導體的一或多個點,該電導體設置在產生器耦接組件133內。例如,反饋迴路100的一或多個輸入通道110經由連接而耦接到沿著設置在PVWG 150與阻塞電容器Chvm之間的電導體的一或多個點。此外,在一些實施例中,一或多個輸入通道110經由連接而電耦接到沿著設置在處理腔室800中的阻塞電容器Chvm和偏壓電極804之間的電導體的一或多個點。例如,一或多個輸入通道110電耦接至沿著設置在阻塞電容器Chvm與處理腔室800中的偏壓電極804之間的電導體的一或多個點。或者,在其他實施例中,一或多個輸入通道110耦接到沿著設置在阻塞電容器Chvm兩側上的電導體的一或多個點。例如,第一一或多個輸入通道110電耦接至沿著設置在PVWG 150和阻塞電容器Chvm之間的電導體的點,而第二一或多個輸入通道110耦接至沿著設置在阻擋電容器Chvm和處理腔室800中的偏壓電極804之間的電導體的點。
脈衝波形實例
圖9A繪示在偏壓電極804處建立的脈衝電壓波形950的實例。圖9A中所示的脈衝電壓波形950導致圖9B中所示的基板電壓波形951,且因此能夠在電漿處理期間 使護皮電壓在基板處理時間的約90%中保持幾乎恆定。圖9A和9B所示的脈衝電壓波形950和951通常係基於可從圖8B所示的簡化電路840產生的波形。圖9A至圖9B所示的波形僅旨在繪示可以與本案所述之方法之一一起使用的脈衝電壓波形的簡化示意圖,其可以在基板的電漿處理期間使用。由PVWG 150產生的實際波形可能係更為複雜,並且含有許多未在圖9A至圖9B中圖示的精密標度特徵(例如,由存在感應元件所引起的高頻振盪)。然而,某些類型的精密標度特徵的實例可以在圖6A至圖6B和圖7A至圖7B中看到。然而,該等精密標度特徵對於理解決定本案提出的脈衝電壓偏壓方案和控制方法所產生的實際脈衝電壓波形的大致形狀的基本物理現象不是必需的。
在圖9A中,脈衝電壓波形950包括在電壓偏移的頂部上以週期T(如2.5微秒)重複的一週期性系列的短正脈衝。每個週期(重複循環)內的波形包括以下:
(1)正電壓跳變,以對系統的雜散電容器充電並使陰極護皮塌陷(亦即護皮塌陷相位501),在此期間護皮電容器Csh放電並且基板電勢達到局部電漿電勢的位準(如圖9B中所示的)。護皮塌陷相位961能夠藉由在ESC再充電相位962期間從電漿提供的電子對吸盤電容器Ce快速充電。開關S1(參見圖8B)在相位961的持續時間內閉合並維持在閉合(開(On))位置,而允許奈秒脈衝產生器(如PVWG 150)在其輸出端維持實質恆定的正電壓並供應電流到系統。相位961的持續時間T1遠小於離子電流 相位964的持續時間T4(如下所述)或遠小於整個週期T,且通常為數十奈秒(如20-50ns)量級。此是因為在相位961期間的電漿電流是由電子承載的(亦就是說,電子雲正在往基板移動,並逐漸掃過離子空間電荷,從而消除護皮電壓降)且電子速度遠大於離子速度,此是由於兩種物質之間的質量比非常大。
(2)在ESC再充電相位962期間,藉由快速注入與在離子電流相位964期間在基板表面上累積的總電荷相等的值和相反極性的電荷,來對吸盤電容器Ce再充電(如下所述)。與相位961期間一樣,PVWG 150在其輸出端維持實質恆定的正電壓(開關S1保持在「開(On)」位置)。與相位961相似,相位962的持續時間T2遠比離子電流相位964的持續時間T4短得多(下面所述),或者遠比整個週期T短得多,通常為數十奈秒(如30-80ns)量級。此是因為在相位962期間的電漿電流亦由電子承載-亦即,在沒有陰極護皮的情況下,電子到達基板並累積表面電荷,從而對電容器Ce充電。
(3)負電壓跳變(VOUT),使處理腔室的雜散電容器放電,在護皮形成相位963期間重新形成護皮並設置護皮電壓(VSH)的值。圖8B中的開關S1在護皮形成相位963的開始時斷開(open),且電感元件迅速地(例如,在約10奈秒內)將其儲存的磁能釋放到吸盤電容器Ce和雜散電容器Cs中。電感元件可包括由電感L internal 表示的PVWG 150的內部部件(如內部導體),以及由電路840 中的電感L interconnect L external 表示的外部導體(如傳輸線806)。在釋放磁能期間,相應的電流流過反激二極體或其他具有抑制(或「緩衝」)可能的電壓尖峰的類似功能的不同緩衝電路。我們在此注意到,如果沒有反激二極體(或具有「緩衝」可能的電壓尖峰的類似功能的其他部件),則磁能將需要通過電阻性電流返回輸出級釋放,而導致在R1上有不切實際的大負電壓(例如-20kV,其可能會損壞脈衝偏壓產生器240的內部部件)持續幾奈秒,而不是導致崩潰到接近零的值。在釋放出磁能並且通過電感L interconnect L external 的電流降為零(以及通過L internal )後,電流反轉方向,並從電漿和雜散電容器流經電流返回輸出級((反向偏壓的)反激二極體會阻斷電流流過其自身)到接地,從而使雜散電容器Cs放電,並對護皮電容器Csh充電(亦即重新形成護皮)。護皮形成(Csh的充電)的開始可以在圖9B中清楚地標識為基板電勢開始下降到局部電漿電勢以下的點。與相位961相似,相位963的持續時間T3遠比離子電流相位964的持續時間T4短得多(下面所述),或者遠比整個週期T短得多,通常為100-300ns量級。此是因為在相位963期間的電漿電流同樣由電子承載-亦即,電子雲正在離開基板並逐漸暴露出離子空間電荷,從而形成護皮並產生護皮電壓降。我們注意到(1)T3主要由雜散電容以及包含電流返回輸出級的元件(例如電阻器)的值決定;(2)負電壓跳變VOUT和已建立的護皮電壓VSH由Vm(相位961-962期間的奈秒脈衝產生器輸 出電壓的幅度)及總脈衝寬度Ttot=Trise+Tp=T1+T2決定。
(4)具有持續時間T4的長(約為循環持續時間T的85-90%)離子電流相位964,在此期間PVWG 150同樣不會在其輸出端維持正電壓(開關S1保持在關(Off)位置)且離子電流通過電流返回輸出級從電漿流向地面。離子電流導致正電荷在基板表面累積,並逐漸使護皮和吸盤電容器放電,從而緩慢降低護皮電壓降並使基板電勢更接近於零。此導致圖9B所示的基板電壓波形951中的電壓降△VSH。產生的護皮電壓降是為什麼脈衝電壓波形950需要移至以上(1)-(3)中所述之下一個循環的原因,在此期間PVWG 150去除離子電流相位期間累積的電荷(或恢復初始ESC電賀)並重新建立所需的護皮電壓VSH。請注意,只要存在電子排斥的陰極護皮和來自主體電漿的不平衡淨電流(等於離子電流),就會累積表面電荷和護皮電壓降。此是因為,由於護皮電場使電子排斥基板,因此來自主體電漿的離子電流沒有被主體電漿的電子電流平衡。因此,在護皮形成相位963期間亦發生表面電荷累積和電壓下降的產生,在此相位期間從開始就存在非零的護皮電壓降。
從上面的(1)-(4)可以看出,構成脈衝電壓波形(如脈衝電壓波形950)的單個電壓脈衝的「電子電流」相位961-963的結合持續時間約為200-400ns,相當於約10-15%的相對較短的工作循環。脈衝電壓波形 950的短工作循環特性是大的離子電子質量比的結果,此對於所有電漿是典型的。因此,在本案討論的脈衝電壓偏壓方案中,PVWG 150僅在每個循環的一小部分期間才與電漿主動相互作用,允許在其餘時間中使陰極護皮自然地發展。藉由有效使用基本的電漿特性,此偏壓方案能夠在高達~90%的處理時間內維持幾乎恆定的護皮電壓,從而產生單個峰IEDF(如圖9C中的IEDF 970)。相反地,在傳統的偏壓方案中,施加的RF電壓在整個RF週期內調變陰極護皮,從而一直過度地(unduly)改變護皮壓降,而產生雙峰IEDF。
本案討論的脈衝電壓偏壓方案能夠維持特定的基板電壓波形(如圖9B中所示的基板電壓波形951),可以將其描述為在負電壓偏移972的頂部上的一週期性系列的短正脈衝971。在每個脈衝期間(總持續時間為T5=T1+T2+T3),基板電勢達到局部電漿電勢且護皮短暫塌陷。然而,對於每個循環(循環持續時間T)的約90%,護皮電壓降幾乎維持恆定,並近似等於最負的(most negative)基板電勢VSH的絕對值(圖9B),此從而決定基板表面處的平均離子能量。在偏壓循環的護皮塌陷相位961期間,來自奈秒脈衝產生器(如814)的電流在處理電漿和雜散電容器Cs(其以並聯連接)之間分流,約根據比率CSH/Cs分流且沒有十分顯著。因此且由於Cw通常非常大,所以在相位961期間在壁護皮上累積的電壓降相對較小。如此一來,等於壁護皮電壓降和壁介電塗層上預期的小電壓降之 和的近壁電漿電勢Vw保持接近於零。因此,等於近壁電漿電勢和主體電漿上的電壓降之和的局部(近基板)電漿電勢Vpl主要由後者(主體電漿上的電壓降)決定,且局部(近基板)電漿電勢Vpl略高於零。再者,在ESC再充電相位962期間,沒有電子排斥陰極護皮,且壁護皮電容器被PVWG 150推動通過ESC的大電流充電到相當大的電壓(如幾百伏特)。由於近壁電漿電勢的增加,以及在主體電漿上存在相當大的壓降(由相同的大電流引起),局部(近基板)電漿電勢Vpl以及基板電勢Vsub經歷高達所建立的護皮電壓VSH的約1/3的顯著增加。最終,在護皮形成相位963期間,通過處理電漿的電流再次(如在相位961中)由CSH/Cs比決定,且相對較小(亦迅速衰減),在主體電漿上的所得電壓降亦是如此。因此,局部(近基板)電漿電勢保持近似等於近壁電漿電勢,且當壁護皮主要由離子電流放電到腔室壁時,它們都鬆弛(relax)到更接近相位963結束時的接近零值。由於在相位961-963期間發生局部電漿電勢擾動,在相位963結束時,已建立的護皮電壓VSH僅構成基板電壓波形951中總體負跳變的~75%。負跳變V'SH定義給定的Vm和Ttot的最大護皮電壓(僅在Cw接近無限且Rpl接近零時才可達到),且它接近脈衝電壓波形950中的負跳變或V'SH~VOUT。後者是因為在相位963期間,吸盤電容器僅將其初始電荷的一小部分(
Figure 109102592-A0305-02-0054-4
CSH/Ce<<1)轉移到護皮,從而在電極與基板之間 維持幾乎恆定的電勢差。在實踐中可以使用VSH/VOUT~0.75-0.8的關係從測量到的VOUT估計VSH
如本案和下文進一步討論的,在本案提供的本揭示案的一或多個實施例中,提供了反饋迴路100和使用其的方法以檢測和調整PVWG 150的輸出以實現具有所需波形特性的脈衝電壓波形(如脈衝電壓波形950和/或基板電壓波形951)。除了可檢測和調整的上述脈衝波形特性以外,亦可檢測和調整其他脈衝波形特性,例如,可包括脈衝波形中的一或多個脈衝波形期間的脈衝波形的形狀或斜率、一或多個相位的週期(如T1、T2、T3、T4和T5)以及脈衝波形的其他特性。
方法實例
圖10是根據一或多個實施例的用於處理脈衝電壓波形的方法1000的流程圖。在操作1010,輸入脈衝電壓波形140由在輸入通道110中找到的部件處理以形成輸出波形144。在輸入通道110的一種配置中,使用第一分壓比對輸入脈衝電壓波形作分壓以產生第一分壓的電壓波形。例如,輸入通道1101擷取輸入脈衝電壓波形1401B,且輸入通道1101的調節電路1111B的分壓器112接收輸入脈衝電壓波形並產生第一分壓的電壓波形。
在操作1020,對來自分壓器112之分壓的電壓波形作低通濾波以產生經濾波的電壓波形。在一個實例中,輸入通道1101的低通濾波器114從分壓器112接收第一分壓的電壓波形,並產生經濾波的電壓波形,然後形成輸出 波形1441B。操作1020是可選的,且可以從方法1000中省略。此外,在方法1000的一些實施例中,可在省略操作1010的同時施行操作1020。
在已經施行了操作1010和/或1020並且已經由每個輸入通道1101產生了輸出波形1441B之後,然後施行操作1030。在操作1030,在資料擷取控制器123上運行的算法決定每個輸入通道(如輸入通道1101)接收並由其對應的擷取通道122處理的一或多個波形特性。例如,擷取通道1221從輸入通道1101接收輸出波形,且算法從輸出波形1441B決定一或多個波形特性。
在一些實施例中,在操作1030期間,來自每個輸入通道110的輸出波形144由耦接到其相應的擷取通道122的驅動器(未圖示)接收。在一個實例中,來自輸入通道1101的輸出波形1441B由擷取通道1221的驅動器接收。驅動器用於將從輸入通道110接收的輸出波形轉換為差分訊號(differential signal)。在此配置中,差分訊號接著由耦接到擷取通道122或在擷取通道122內的ADC(未圖示)接收。ADC將差分訊號從類比域轉換為數位域,且將ADC的輸出數位訊號提供給耦接至擷取通道122的處理器121。資料擷取控制器123的處理器藉由分析從ADC提供的輸出數位訊號來決定輸出波形的一或多個波形特性。例如,處理器121分析輸出數位訊號以決定從輸入通道110接收的輸出波形144內以下的一或多個:幅度、脈衝寬度和脈衝的DC偏移。
操作1030可額外包括將在一時間週期從對應的輸入通道所接收的測量值組合。例如,資料擷取控制器123可在第一週期從擷取通道1221接收「Z」個數位化波形,其中Z是等於2或更大的整數。第一週期可對應於輸入脈衝電壓波形的「M」個循環數,其中M是等於1或更大的整數。擷取通道1221可將Z個輸出波形組合。例如,擷取通道1221可以平均對Z個輸出波形相應的資料平均。
操作1030亦可另外包括資料擷取控制器123,其執行以下至少一個:1)將與決定的經調節的電壓波形的一或多個波形特性相關的資訊傳輸到控制器(如反饋處理器125的控制器),以及2)將與擷取通道產生的第二數位化電壓波形相關的資訊傳輸到第二控制器(如控制器126、127、128或191)。
在操作1040,根據從一或多個輸入通道110接收的一或多個波形特性來產生一或多個控制參數。例如,資料擷取控制器123將與一或多個波形特性相對應的資訊傳輸到反饋處理器125,且反饋處理器125根據一或多個波形特性產生一或多個控制參數。再次參考先前的輸入通道配置實例,在操作1040的一個實例中,在施行了所需的操作1010-1030之後,處理器從輸入通道1101、1102和1103接收輸出波形,將從分別由擷取通道1221、1222和1223所接收和處理的波形得到的一或多個波形特性對應的資訊傳輸到反饋處理器125。反饋處理器125可接著從一或多個接收到的波形特性中產生一或多個控制參數。在一個實施 例中,一或多個控制參數可包括一指示,該指示基於接收到的波形特性和儲存在反饋處理器125的記憶體或耦接到反饋處理器125的記憶體中的目標波形特性之間的比較來調整DC充電電壓、調整脈衝寬度以及調整脈衝電壓波形的幅度。所儲存的目標波形特性可以是由反饋迴路100在先前的時間點上產生的波形特性、由反饋迴路100在一段時間內產生的一系列波形特性的平均值、由使用者產生並輸入到記憶體中的理想化的波形特性(如,基於模擬的波形特性)、或由其他所需手段產生的波形特性。
或者或甚者,資料擷取控制器123可將一或多個波形特性相對應的資訊傳送給單獨的控制器(如控制器127、控制器128、控制器191和/或處理腔室控制器126)且單獨的控制器根據一或多個波形特性產生一或多個控制參數。類似地,在一個實施例中,由控制器產生的一或多個控制參數可包括例如一指示,該指示基於接收到的波形特性與儲存在控制器的記憶體中目標波形特性之間的比較來調整脈衝寬度以及調整脈衝電壓波形的幅度。所儲存的目標波形特性可以是由反饋迴路100在先前的時間點上產生的波形特性、由反饋迴路100在一段時間內產生的一系列波形特性的平均值、由使用者產生並輸入到記憶體中的理想化的波形特性(如,基於模擬的波形特性)、或由其他所需手段產生的波形特性。
在操作1050,反饋處理器125或單獨的控制器將一或多個控制參數相對應的資訊傳輸到PVWG 150。此 外,在一些實施例中,資料擷取控制器123可將一或多個波形特性相對應的資訊傳送給控制器128,且控制器128基於所決定的波形特性和一或多個目標波形特性對應的資訊之比較來產生一或多個控制參數。因此,在一個實施例中,在操作1050,控制器128將一或多個控制參數相對應的資訊傳輸到PVWG 150和/或另一控制器。
在操作1060期間,基於接收到的一或多個控制參數,從PVWG 150傳送經調節的脈衝電壓波形。例如,PVWG 150產生提供給偏壓電極804之調整後的脈衝電壓波形。
在操作1070,可選地,分別地或額外地調整電漿處理腔室製程變數,其中電漿處理腔室製程變數可包括用於吸附電源供應的設定點。例如,調整用於吸附電源供應(如HVM 816)的設定點可包括增加或減小由吸附電源供應輸出的吸附電壓。吸附電源供應的設定點之調整將調整PVWG 150產生的後續脈衝電壓波形的DC偏移。可調整用於吸附電源供應的設定點,使得該設定點是約-5000V至約5000V之間的DC電壓。在一些實施例中,方法1000可省略操作1060。
在方法1000的一些實施例中,連續地(serially)施行調整後的脈衝電壓波形,直到獲得具有所需的脈衝波形特性(如目標波形特性)的脈衝電壓波形。在一些實施例中,施行操作1010-1050或操作1010-1060多次,直到達到一個波形循環內的脈衝電壓波 形的一或多個目標波形特性。例如,控制器128和/或反饋處理器125可基於由資料擷取控制器123決定的更新的波形特性來改變一或多個控制參數。藉由連續地(continuously)處理一或多個輸入通道110所擷取的輸入脈衝電壓波形來擷取更新的波形特性。在一個實例中,可增加脈衝寬度和/或幅度,直到脈衝寬度和/或幅度達到儲存在反饋處理器的記憶體中或耦接到資料擷取控制器123或在資料擷取控制器123中的記憶體中的對應目標值。此外,可以藉由改變一或多個控制參數來連續地(continuously)調整調整後的脈衝電壓波形,直到達到DC偏移電壓的最大極限。例如,可連續地(serially)改變一或多個控制參數,直到達到最大DC偏移電壓。
在一些實施例中,建立調整後的脈衝電壓波形包括改變一或多個控制參數,直到達到算法收斂的最大時間極限。例如,反饋處理器125監控PVWG 150花費多長時間來產生具有一或多個目標波形特性的調整後的脈衝電壓波形。當PVWG 150未能產生能夠在時間極限內實現目標脈衝波形特性之調整後的脈衝電壓波形時,反饋處理器125可指示PVWG 150改變另一波形特性。或者或慎者,建立調整後的脈衝電壓波形包括以下步驟:改變一或多個控制參數,直到達到脈衝電壓波形的脈衝寬度之預先決定的最大極限。此外,建立調整後的脈衝電壓波形包括以下步驟:改變一或多個控制參數,直到達到脈衝電壓波形的脈衝寬度的最小極限。脈衝寬度的時間極限和最大極限通 常包括儲存在記憶體(如記憶體124或126A)中並由處理器(如反饋處理器125或控制器128)檢索的(retrieved)先前決定的值,以用於比較一或多個輸入通道110接收的一或多個脈衝電壓波形。
圖11是繪示根據一或多個實施例的用於控制脈衝電壓波形的方法1100的流程圖。在操作1110,由第一輸入通道110產生輸出波形144。例如,輸入通道1101擷取輸入脈衝電壓波形1401B,並從輸入脈衝電壓波形1401B產生輸出波形1441B。輸入通道1101可包括分壓器112和低通濾波器114,且產生第一輸出波形的步驟包括以下步驟:使用分壓器112從輸入脈衝電壓波形產生分壓的電壓波形,並且使用低通濾波藉由對分壓的波形作低通濾波來產生經濾波的電壓波形。在另一實例中,輸入通道1101省略了低通濾波器114,且產生輸出波形的步驟包括以下步驟:使用分壓器112從輸入脈衝電壓波形產生分壓的電壓波形。
在操作1120,由第二輸入通道110產生第二輸出波形。例如,輸入通道1102擷取輸入脈衝電壓波形1402,並從輸入脈衝電壓波形1402產生輸出波形1442。輸入通道1102可包括分壓器112和低通濾波器114,且產生輸出波形1442的步驟包括以下步驟:使用分壓器112從輸入脈衝電壓波形產生分壓的電壓波形,並且使用低通濾波藉由對分壓的波形作低通濾波來產生經濾波的電壓波形。
在操作1130,由第三輸入通道110產生第三輸出波形。例如,在一個實施例中,輸入通道1103擷取輸入脈衝電壓波形1403,並從輸入脈衝電壓波形1403產生輸出波形1443。輸入通道1103可包括低通濾波器114,且產生輸出波形1443的步驟包括以下步驟:使用低通濾波器114藉由對輸入脈衝電壓波形1403作低通濾波來產生經濾波的電壓波形。
在操作1140,決定一或多個波形特性。例如,擷取通道1221、1222和1223從輸入通道110、1102和1103接收它們各自的輸出波形1441、1442和1443,並將輸出波形提供給資料擷取控制器123,以基於從輸出波形1441、1442和1443接收的不同類型的電壓波形資訊來決定一或多個波形特性。在一些實施例中,由擷取通道1221決定的一或多個波形特性不同於由擷取通道1222決定的一或多個波形特性,且由擷取通道1223決定的一或多個波形特性不同於由擷取通道1221和擷取通道1222決定的一或多個波形特性。此外,在一個實例中,資料擷取控制器123結合擷取通道1221從相應的測量波形決定振幅(Ampl)和脈衝寬度W,資料擷取控制器123結合擷取通道1222從相應的測量波形決定離子偏移,以及資料擷取控制器123與擷取通道1223結合從相應的接收的輸出波形決定最大離子電流。
在操作1150,基於從輸出波形1441、1442和1443中接收的資訊而從資料擷取控制器123所決定的波形 特性產生一或多個控制參數。例如,資料擷取控制器123將與一或多個波形特性相對應的資訊傳輸到反饋處理器125(或獨立的控制器),且反饋處理器125(或獨立的控制器)從一或多個所決定的波形特性來產生一或多個控制參數。在操作1150期間,反饋處理器125接收所決定的一或多個波形特性,然後藉由使用一或多個算法基於一或多個接收的波形特性來產生一或多個控制參數。在一個實施例中,一或多個控制參數可包括一指示,該指示基於從兩個或更多個不同輸入通道接收到的波形特性和儲存在反饋處理器125的記憶體或耦接到反饋處理器125的記憶體中的目標波形特性之間的比較來調整DC充電電壓、調整脈衝寬度以及調整脈衝電壓波形的幅度。
在操作1160,如以上在操作1050中類似地討論的,反饋處理器125將與所產生的控制參數相對應的資訊傳輸到PVWG 150。
此外,操作1170大體上類似於操作1060的操作,且因此基於所接收的一或多個控制參數從PVWG 150傳送經調節的脈衝電壓波形。例如,PVWG 150產生提供給偏壓電極804之調整後的脈衝電壓波形。另外,在操作1170,亦可選地調整用於吸附電源供應的設定點。
在方法1100的一些實施例中,施行操作1110-1170多次,直到獲得具有期望的脈衝波形特性(如目標波形特性)之調整後的脈衝電壓波形。在一些實施例 中,施行操作1110-1170複數次,直到達到在一個波形循環內的脈衝電壓波形的一或多個目標波形特性。
雖然前面所述係針對本揭示案的實施例,但在不背離本揭示案的基本範圍下,可設計本揭示案的其他與進一步的實施例,且本揭示案的範圍由以下專利申請範圍所界定。
50:電漿處理系統
100:反饋迴路
1101:輸入通道
1102:輸入通道
1103:輸入通道
110N:輸入通道
111:調節電路
1112:調節電路
1113:調節電路
1111A:調節電路
1111B:調節電路
111N:調節電路
122:擷取通道
1221~122N:擷取通道
123:資料擷取控制器
124:記憶體
125:反饋處理器
125A:資料通訊介面
127:控制器
128:控制器
130:複合負載
131:傳輸線
132:參考線
133:產生器耦接組件
134:電流監測器
139:電流感測電阻器
150:PVWG
191:控制器
1221:擷取通道
1222:擷取通道
1223:擷取通道
1401A:輸入脈衝電壓波形
1401B:輸入脈衝電壓波形
1402:輸入脈衝電壓波形
1403:輸入脈衝電壓波形
140N:輸入脈衝電壓波形
1441A:輸出波形
1441B:輸出波形
1442:輸出波形
144N:輸出波形

Claims (29)

  1. 一種用於控制一脈衝電壓波形的反饋迴路,該反饋迴路包括一資料擷取系統,該資料擷取系統包括:一第一輸入通道,該第一輸入通道包含一第一調節電路,該第一調節電路經配置從一第一輸入電壓波形產生一第一經調節的電壓波形;及一快速資料擷取模組,該快速資料擷取模組包含:一第一擷取通道,該第一擷取通道電耦接到該第一輸入通道的該第一調節電路,且該第一擷取通道經配置從該第一經調節的電壓波形產生一第一數位化電壓波形;及一資料擷取控制器,該資料擷取控制器經配置以藉由分析該第一數位化電壓波形來決定該第一經調節的電壓波形的一或多個波形特性,其中由一脈衝電壓波形產生器建立該脈衝電壓波形,使用一產生器耦接組件將該脈衝電壓波形產生器經由一電導體電耦接至一偏壓電極,且該第一輸入通道的一輸入端電耦接至該產生器耦接組件的一端。
  2. 如請求項1所述之反饋迴路,進一步包括一反饋處理器,該反饋處理器經配置處理與由該快速資料擷取模組所處理的該第一經調節的電壓波形有關的資訊。
  3. 如請求項2所述之反饋迴路,其中該反饋處 理器是以下各者中的一個:一外部處理器,經由一資料通訊介面連接到該快速資料擷取模組;一內部處理器,整合在該快速資料擷取模組內;或一控制器,用於經由該資料通訊介面連接到該快速資料擷取模組的一基板處理腔室。
  4. 如請求項1所述之反饋迴路,其中該偏壓電極設置在一電漿處理腔室內的一基板支撐組件內。
  5. 如請求項1所述之反饋迴路,進一步包括一記憶體,該記憶體包括指令,當該快速資料擷取模組執行該等指令時,該等指令使該快速資料擷取模組處理該第一經調節的電壓波形,以決定該第一經調節的電壓波形的該一或多個波形特性。
  6. 如請求項5所述之反饋迴路,進一步包括一反饋處理器,該反饋處理器包含一記憶體,該記憶體包含指令,當該反饋處理器執行該等指令時,該等指令使該反饋處理器使用該第一經調節的電壓波形的所決定的該一或多個波形特性來產生一或多個控制參數。
  7. 如請求項6所述之反饋迴路,其中由該反饋處理器所執行的該等指令進一步經配置使該反饋處理器將與所產生的該一或多個控制參數有關的資訊傳輸至該脈衝電壓波形產生器。
  8. 如請求項7所述之反饋迴路,其中該脈衝電壓波形產生器進一步包括一記憶體,該記憶體包括指令,當該脈衝電壓波形產生器執行該等指令時,該等指令使 該脈衝電壓波形產生器基於所產生的該一或多個控制參數來建立一調整後的脈衝電壓波形。
  9. 如請求項8所述之反饋迴路,其中該第一輸入電壓波形是一調整後的第一輸入電壓波形,且由該反饋處理器所執行的該等指令進一步經配置使該反饋處理器產生該一或多個控制參數,直到以下各種情況中的至少一者:該第一經調節的電壓波形的所決定的該一或多個波形特性已經達到其目標值或極限;達到DC充電電壓的一最大極限;達到一最大功率極限;達到算法收斂的一最大時間極限;達到一脈衝寬度的一最大極限;及達到一脈衝寬度的一最小極限。
  10. 如請求項5所述之反饋迴路,其中由該快速資料擷取模組所執行的該等指令進一步經配置使該快速資料擷取模組施行以下至少一者:將與該第一經調節的電壓波形的所決定的該一或多個波形特性有關的資訊傳輸到一第一控制器;及將與該第一數位化電壓波形有關的資訊傳輸到一第二控制器。
  11. 如請求項10所述之反饋迴路,其中該第一控制器是用於一基板處理腔室的一控制器,且該第一控制器進一步經配置基於與該第一經調節的電壓波形的所 決定的該一或多個波形特性有關的資訊來調整用於該基板處理腔室之一吸附電源供應的一設定點。
  12. 如請求項1所述之反饋迴路,其中該第一輸入通道的該第一調節電路包括一第一分壓器。
  13. 如請求項12所述之反饋迴路,其中該第一分壓器包括第一分壓器級聯(cascade)和一第二分壓器級聯。
  14. 如請求項13所述之反饋迴路,其中該第一分壓器級聯的一分壓比在約10比1至約100比1的一範圍內,且該第二分壓器級聯的一分壓比在約20比1至約120比1的一範圍內。
  15. 如請求項1所述之反饋迴路,其中該第一輸入通道的該第一調節電路包括一第一低通濾波器。
  16. 如請求項15所述之反饋迴路,其中該第一低通濾波器包括一第一濾波器級聯和一第二濾波器級聯,且其中該第一低通濾波器具有一頻率響應曲線,該頻率響應曲線包含一平線區(plateau)和一截斷頻率。
  17. 如請求項16所述之反饋迴路,其中該平線區在1MHz至約7MHz之間,且該截斷頻率在約5MHz至約10MHz的一範圍內。
  18. 一種用於控制一脈衝電壓波形的反饋迴路,該反饋迴路包括一資料擷取系統,該資料擷取系統包括:一第一輸入通道,該第一輸入通道包含一第一調節電 路,該第一調節電路經配置從一第一輸入電壓波形產生一第一經調節的電壓波形;一第二輸入通道,該第二輸入通道包含一第二調節電路,該第二調節電路經配置從一第二輸入電壓波形產生一第二經調節的電壓波形;及一快速資料擷取模組,該快速資料擷取模組包含:一第一擷取通道,該第一擷取通道電耦接到該第一輸入通道的該第一調節電路,且該第一擷取通道經配置從該第一經調節的電壓波形產生一第一數位化電壓波形;一第二擷取通道,該第二擷取通道電耦接到該第二輸入通道的該第二調節電路,且該第二擷取通道經配置從該第二經調節的電壓波形產生一第二數位化電壓波形;及一資料擷取控制器,該資料擷取控制器經配置藉由分析該第一數位化電壓波形與該第二數位化電壓波形中的至少一者來決定該第一經調節的電壓波形與該第二經調節的電壓波形中的至少一者之一或多個波形特性,其中由一脈衝電壓波形產生器建立該脈衝電壓波形,使用一產生器耦接組件將該脈衝電壓波形產生器經由一電導體電耦接至一偏壓電極,且該第一輸入通道的一輸入端電耦接至該產生器耦接組件的一端。
  19. 如請求項18所述之反饋迴路,其中該偏壓電極設置在一電漿處理腔室內的一基板支撐組件內。
  20. 如請求項19所述之反饋迴路,其中使用產生器耦接組件將該脈衝電壓波形產生器經由電導體電耦接到該偏壓電極,且該第二輸入通道的一輸入端電耦接到一電流監測器的一輸出和一電流感測電阻器的一非接地端中的一者,其中該電流監測器經配置感測該電導體中流動的一電流,及該電流感測電阻器放置在該脈衝電壓波形產生器內。
  21. 如請求項18所述之反饋迴路,進一步包括一記憶體,該記憶體包括指令,當該快速資料擷取模組執行該等指令時,該等指令使該快速資料擷取模組處理該第一經調節的電壓波形與該第二經調節的電壓波形中的至少一者,以決定該第一經調節的電壓波形與該第二經調節的電壓波形中的至少一者之該一或多個波形特性。
  22. 如請求項21所述之反饋迴路,進一步包括一反饋處理器,該反饋處理器包含一記憶體,該記憶體包含指令,當該反饋處理器執行該等指令時,該等指令使該反饋處理器使用該第一經調節的電壓波形與該第二經調節的電壓波形中的至少一者之所決定的該一或多個波形特性來產生一或多個控制參數。
  23. 如請求項22所述之反饋迴路,其中由該反饋處理器所執行的該等指令進一步經配置使該反饋處理器將與所產生的該一或多個控制參數有關的資訊傳輸至該脈衝電壓波形產生器。
  24. 如請求項23所述之反饋迴路,其中該脈衝電壓波形產生器進一步包括一記憶體,該記憶體包括該等指令,當該脈衝電壓波形產生器執行該等指令時,該等指令使該脈衝電壓波形產生器基於所產生的該一或多個控制參數來建立一調整後的脈衝電壓波形。
  25. 如請求項24所述之反饋迴路,其中該第一輸入電壓波形與該第二輸入電壓波形是調整後的輸入電壓波形,且由該反饋處理器所執行的指令進一步經配置使該反饋處理器產生該一或多個控制參數,直到以下各種情況中的至少一者:該第一經調節的電壓波形與該第二經調節的電壓波形中的至少一者之所決定的該一或多個波形特性已經達到其目標值或極限;達到DC充電電壓的一最大極限;達到一最大功率極限;達到算法收斂的一最大時間極限;達到一脈衝寬度的一最大極限;及達到一脈衝寬度的一最小極限。
  26. 如請求項25所述之反饋迴路,其中由該快速資料擷取模組所執行的該等指令進一步經配置使該快速資料擷取模組施行以下至少一者:將與該第一經調節的電壓波形與該第二經調節的電壓波形中的至少一者之所決定的該一或多個波形特性有關的資訊傳輸到一第一控制器;及 將與該第一數位化電壓波形和該第二數位化電壓波形中的至少一者有關的資訊傳輸到一第二控制器。
  27. 如請求項26所述之反饋迴路,其中該第一控制器是用於一基板處理腔室的一控制器,且該第一控制器進一步經配置基於與該第一經調節的電壓波形與該第二經調節的電壓波形中的至少一者之所決定的該一或多個波形特性有關的資訊來調整用於該基板處理腔室之一吸附電源供應的一設定點。
  28. 如請求項18所述之反饋迴路,其中至少以下各者中的一者:該第一輸入通道的該第一調節電路包括一第一分壓器和一第一低通濾波器中的至少一者;及該第二輸入通道的該第二調節電路包括一第二分壓器和一第二低通濾波器中的至少一者。
  29. 如請求項18所述之反饋迴路,其中該第一輸入通道的該第一調節電路包括一第一分壓器;該第二輸入通道的該第二調節電路包括一第二分壓器;及該第一分壓器的一分壓比不同於該第二分壓器的該分壓比。
TW109102592A 2019-01-22 2020-01-22 用於控制脈衝電壓波形的反饋迴路 TWI838453B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962795545P 2019-01-22 2019-01-22
US62/795,545 2019-01-22

Publications (2)

Publication Number Publication Date
TW202044321A TW202044321A (zh) 2020-12-01
TWI838453B true TWI838453B (zh) 2024-04-11

Family

ID=71608425

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109102592A TWI838453B (zh) 2019-01-22 2020-01-22 用於控制脈衝電壓波形的反饋迴路

Country Status (6)

Country Link
US (4) US11699572B2 (zh)
JP (2) JP7451540B2 (zh)
KR (1) KR20210107716A (zh)
CN (2) CN113169026B (zh)
TW (1) TWI838453B (zh)
WO (1) WO2020154310A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
KR102630261B1 (ko) 2014-10-17 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
WO2020050932A1 (en) 2018-09-04 2020-03-12 Applied Materials, Inc. Formulations for advanced polishing pads
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20210107716A (ko) * 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7291091B2 (ja) * 2020-03-16 2023-06-14 株式会社京三製作所 高周波電源装置及びその出力制御方法
JP2023533284A (ja) 2020-07-09 2023-08-02 イーグル ハーバー テクノロジーズ,インク. イオン電流ドループ補償
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) * 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
EP4142133A1 (en) * 2021-08-30 2023-03-01 Murata Manufacturing Co., Ltd. An electrical device comprising an ac voltage divider and capacitors arranged in integrated components
CN117751421A (zh) * 2021-09-09 2024-03-22 应用材料公司 用于对等离子体中的离子能量分布进行数字控制的方法和装置
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024129517A1 (en) * 2022-12-14 2024-06-20 Lam Research Corporation Systems and methods for controlling an lf rf pulse generator to increase selectivity

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5815388A (en) * 1996-06-21 1998-09-29 Sierra Applied Sciences, Inc. Polarity reversing circuit having energy compensation
TW200506388A (en) * 2003-08-14 2005-02-16 Advanced Energy Ind Inc Sensor array for measuring plasma characteristics in plasma processing environments
TW201415523A (zh) * 2012-08-28 2014-04-16 Advanced Energy Ind Inc 用於校正切換模式離子能量分佈系統之系統與方法
TW201533797A (zh) * 2013-11-05 2015-09-01 Tokyo Electron Ltd 電漿處理裝置
US20150319838A1 (en) * 2014-05-02 2015-11-05 Reno Technologies, Inc. Multi-stage heterodyne control circuit
US20170084432A1 (en) * 2012-02-22 2017-03-23 Lam Research Corporation Multiple control modes
TW201737300A (zh) * 2010-12-07 2017-10-16 蘭姆研究公司 基於射頻電壓之電漿處理系統控制裝置
US20170358431A1 (en) * 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing

Family Cites Families (565)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
EP1038042A1 (en) 1997-10-15 2000-09-27 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
WO2000017920A1 (fr) 1998-09-18 2000-03-30 Tokyo Electron Limited Procede de traitement au plasma
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
WO2001009918A1 (en) 1999-08-02 2001-02-08 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP4718093B2 (ja) 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1435654A3 (en) 2000-05-10 2004-07-14 Ibiden Co., Ltd. Electrostatic chuck
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP3976480B2 (ja) * 2000-07-18 2007-09-19 株式会社日立製作所 プラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
KR100842947B1 (ko) 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
JPWO2002059954A1 (ja) 2001-01-25 2004-10-14 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
EP1253216B1 (en) 2001-04-27 2003-11-12 European Community Method and apparatus for sequential plasma treatment
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
JP2005508078A (ja) 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
DE112004002262T5 (de) 2003-11-28 2006-10-26 Advantest Corp. Digitale QP Detektionsvorrichtung, Spektrumanalysator aufweisend dieselbe und ein Verfahren zur digitalen QP Detektierung
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN102256432B (zh) 2004-06-21 2014-10-29 东京毅力科创株式会社 等离子体处理装置和方法
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
WO2006049085A1 (ja) 2004-11-04 2006-05-11 Ulvac, Inc. 静電チャック装置
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
ATE344973T1 (de) 2005-03-10 2006-11-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
ATE500604T1 (de) 2005-03-30 2011-03-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP3910210B2 (ja) 2005-05-13 2007-04-25 松下電器産業株式会社 誘電体バリア放電ランプ点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
WO2007082388A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
CN101589450B (zh) 2006-12-12 2013-08-28 Oc欧瑞康巴尔斯公司 生成靶溅射以在衬底上产生涂层的设备和在其上实施电压脉冲的方法
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
WO2009012735A1 (de) 2007-07-23 2009-01-29 Hüttinger Elektronik Gmbh + Co. Kg Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
CN101874203A (zh) 2007-11-26 2010-10-27 东京毅力科创株式会社 微细结构体检测装置以及微细结构体检测方法
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
WO2009111473A2 (en) 2008-03-06 2009-09-11 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US20110298376A1 (en) 2009-01-13 2011-12-08 River Bell Co. Apparatus And Method For Producing Plasma
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
SG175695A1 (en) 2009-08-07 2011-12-29 Kyosan Electric Mfg Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US9313872B2 (en) 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
EP2580368B1 (en) 2010-06-11 2015-11-18 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP2611465A4 (en) 2010-08-31 2014-06-04 Theraclone Sciences Inc NEUTRALIZING ANTI-VIRUS ANTIBODIES FOR HUMAN IMMUNODEFICIENCY (HIV)
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
KR102023784B1 (ko) 2011-03-04 2019-09-20 도쿄엘렉트론가부시키가이샤 질화규소막 에칭 방법
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US20130006555A1 (en) * 2011-06-30 2013-01-03 Advanced Energy Industries, Inc. Method and apparatus for measuring the power of a power generator while operating in variable frequency mode and/or while operating in pulsing mode
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TWI661746B (zh) 2011-10-05 2019-06-01 應用材料股份有限公司 電漿處理設備及其蓋組件(一)
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
CN104067375B (zh) 2012-02-01 2016-05-11 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
JP5922218B2 (ja) 2012-02-20 2016-05-24 東京エレクトロン株式会社 電源システム及びプラズマ処理装置
US10128090B2 (en) * 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
JP6201753B2 (ja) 2012-03-30 2017-09-27 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
PL3403995T3 (pl) 2012-08-15 2021-07-12 Lockheed Martin Energy, Llc Heksacyjanki żelaza o wysokiej rozpuszczalności
KR101860182B1 (ko) 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
JP6116176B2 (ja) * 2012-09-28 2017-04-19 株式会社ダイヘン 高周波電源装置及びその制御方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9396960B2 (en) 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6078347B2 (ja) * 2013-01-08 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US20160004475A1 (en) 2013-02-28 2016-01-07 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
KR20150128965A (ko) 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US9711332B2 (en) * 2013-05-09 2017-07-18 Lam Research Corporation Systems and methods for tuning an impedance matching network in a step-wise fashion for multiple states of an RF generator
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
WO2014197611A1 (en) 2013-06-04 2014-12-11 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
JP6441927B2 (ja) 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
CN105793955B (zh) 2013-11-06 2019-09-13 应用材料公司 通过dc偏压调制的颗粒产生抑制器
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
EP3069445B1 (en) 2013-11-14 2023-04-05 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US9374021B2 (en) * 2013-12-16 2016-06-21 Rockwell Automation Technologies, Inc. PWM output voltage measurement apparatus and method
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10522343B2 (en) 2014-03-02 2019-12-31 Tokyo Electron Limited Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6295119B2 (ja) * 2014-03-25 2018-03-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
CN105474381B (zh) 2014-07-23 2018-06-05 应用材料公司 可调谐温度受控的基板支撑组件
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
JP6309398B2 (ja) * 2014-08-29 2018-04-11 株式会社ダイヘン 高周波電源
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
JP6698033B2 (ja) 2014-12-25 2020-05-27 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
WO2016131061A1 (en) 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10373755B2 (en) 2015-11-30 2019-08-06 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
CN108369921B (zh) 2015-12-07 2023-12-12 应用材料公司 使用静电夹盘夹持及解夹持基板的方法及装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
SG11201808603VA (en) 2016-03-31 2018-10-30 Tokyo Electron Ltd Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
JP6541623B2 (ja) * 2016-06-20 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置、及び波形補正方法
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11227745B2 (en) 2018-08-10 2022-01-18 Eagle Harbor Technologies, Inc. Plasma sheath control for RF plasma reactors
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
TWI757334B (zh) 2016-09-06 2022-03-11 日商東京威力科創股份有限公司 準原子層蝕刻方法
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
CN110268808A (zh) 2016-12-30 2019-09-20 鹰港技术股份有限公司 高压感应加法器
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
EP4266579A3 (en) 2017-02-07 2023-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
SG11201908533PA (en) 2017-03-17 2019-10-30 Tokyo Electron Ltd Surface modification control for etch metric enhancement
WO2018183874A1 (en) 2017-03-31 2018-10-04 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
TWI775862B (zh) 2017-05-30 2022-09-01 美商泰坦先進能源解決公司 電池壽命評估和容量恢復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
CN110998820B (zh) 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10586529B2 (en) 2017-09-14 2020-03-10 International Business Machines Corporation Processing of speech signal
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10475622B2 (en) 2017-09-26 2019-11-12 Advanced Energy Industries, Inc. System and method for plasma ignition
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
KR102387008B1 (ko) 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
US10991554B2 (en) 2017-11-16 2021-04-27 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
JP7235761B2 (ja) * 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
JP2021503702A (ja) 2017-11-17 2021-02-12 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理システムにおける変調供給源の改良された印加
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11342159B2 (en) * 2017-12-07 2022-05-24 Lam Research Corporation RF pulsing within pulsing for semiconductor RF plasma processing
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11137372B2 (en) 2018-03-08 2021-10-05 Eagle Harbor Technologies, Inc. Eddy current nondestructive evaluation device with rotating permanent magnets and pickup coils
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
WO2019212799A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Rf grounding configuration for pedestals
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
WO2019245729A1 (en) 2018-06-18 2019-12-26 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
KR20230048459A (ko) 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
WO2020146436A1 (en) 2019-01-08 2020-07-16 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US20220084787A1 (en) 2019-01-09 2022-03-17 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
US20200373114A1 (en) 2019-05-24 2020-11-26 Eagle Harbor Technologies, Inc. Klystron Driver
US20210029815A1 (en) 2019-07-02 2021-01-28 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
EP4035267A4 (en) 2019-09-25 2023-11-01 Eagle Harbor Technologies, Inc. HIGH VOLTAGE PULSE SHARPENING OF A NON-LINEAR TRANSMISSION LINE WITH ENERGY RECOVERY
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5815388A (en) * 1996-06-21 1998-09-29 Sierra Applied Sciences, Inc. Polarity reversing circuit having energy compensation
TW200506388A (en) * 2003-08-14 2005-02-16 Advanced Energy Ind Inc Sensor array for measuring plasma characteristics in plasma processing environments
TW201737300A (zh) * 2010-12-07 2017-10-16 蘭姆研究公司 基於射頻電壓之電漿處理系統控制裝置
US20170084432A1 (en) * 2012-02-22 2017-03-23 Lam Research Corporation Multiple control modes
TW201415523A (zh) * 2012-08-28 2014-04-16 Advanced Energy Ind Inc 用於校正切換模式離子能量分佈系統之系統與方法
TW201533797A (zh) * 2013-11-05 2015-09-01 Tokyo Electron Ltd 電漿處理裝置
US20150319838A1 (en) * 2014-05-02 2015-11-05 Reno Technologies, Inc. Multi-stage heterodyne control circuit
US20170358431A1 (en) * 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing

Also Published As

Publication number Publication date
US20230326717A1 (en) 2023-10-12
CN118315254A (zh) 2024-07-09
CN113169026B (zh) 2024-04-26
CN113169026A (zh) 2021-07-23
US20200234923A1 (en) 2020-07-23
US11699572B2 (en) 2023-07-11
US20200234922A1 (en) 2020-07-23
US10923321B2 (en) 2021-02-16
WO2020154310A1 (en) 2020-07-30
US20200234921A1 (en) 2020-07-23
JP2022523653A (ja) 2022-04-26
TW202044321A (zh) 2020-12-01
JP2024081653A (ja) 2024-06-18
JP7451540B2 (ja) 2024-03-18
US10916408B2 (en) 2021-02-09
KR20210107716A (ko) 2021-09-01

Similar Documents

Publication Publication Date Title
TWI838453B (zh) 用於控制脈衝電壓波形的反饋迴路
TWI838371B (zh) 處理基板的處理腔室與方法
US11848176B2 (en) Plasma processing using pulsed-voltage and radio-frequency power
TWI835163B (zh) 用於基板處理的脈衝電壓增壓
TW202301913A (zh) 離子電流補償的設備及方法