JP2022523653A - パルス状電圧波形を制御するためのフィードバックループ - Google Patents

パルス状電圧波形を制御するためのフィードバックループ Download PDF

Info

Publication number
JP2022523653A
JP2022523653A JP2021542106A JP2021542106A JP2022523653A JP 2022523653 A JP2022523653 A JP 2022523653A JP 2021542106 A JP2021542106 A JP 2021542106A JP 2021542106 A JP2021542106 A JP 2021542106A JP 2022523653 A JP2022523653 A JP 2022523653A
Authority
JP
Japan
Prior art keywords
voltage waveform
waveform
voltage
feedback loop
data acquisition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021542106A
Other languages
English (en)
Other versions
JP7451540B2 (ja
JPWO2020154310A5 (ja
Inventor
レオニド ドルフ,
エヴゲニー カメネツキー,
ジェームズ ロジャーズ,
オリヴィエ ルエール,
ラジンダー ディンサ,
ヴィアチェスラフ プロトニコフ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022523653A publication Critical patent/JP2022523653A/ja
Publication of JPWO2020154310A5 publication Critical patent/JPWO2020154310A5/ja
Application granted granted Critical
Publication of JP7451540B2 publication Critical patent/JP7451540B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Abstract

本開示の実施形態は、ほぼ一定のシース電圧を維持し、ひいては、基板の表面で単一エネルギー性IEDFを生成するために使用され得るフィードバックループを説明する。したがって、本明細書に記載されるシステムは、結果的に、IEDFの形状、及び基板の表面に形成されるフィーチャのプロファイルに対して精密な制御を可能にする。【選択図】図1B

Description

[0001]本明細書に記載された実施形態は、概して、半導体製造で使用されるプラズマ処理チャンバに関し、より具体的には、プラズマ処理チャンバ内で形成されたプラズマへの電力の供給を制御する装置及び方法に関する。
[0002]高アスペクト比フィーチャを確実に製造することは、半導体デバイスの次世代型の超大規模集積(VLSI:very large scale integration)及び極超大規模集積(ULSI:ultra large scale integration)において重要な技術課題のうちの1つである。高アスペクト比フィーチャを形成する1つの方法は、基板の材料層(例えば、誘電体層)に高アスペクト比の開口部を形成するために、プラズマ支援エッチングプロセス(例えば、反応性イオンエッチング(RIE:reactive ion etch)プラズマプロセス)を使用することである。典型的なRIEプラズマプロセスでは、RIE処理チャンバ内でプラズマが形成され、プラズマからのイオンが基板の表面に向けて加速され、基板の表面に形成されたマスク層の下方に配置された材料層に開口が形成される。
[0003]典型的な反応性イオンエッチング(RIE)プラズマ処理チャンバは、高周波(RF)バイアス発生器を含む。RFバイアス発生器は、RF電圧を、「静電チャック」(ESC:electrostatic chuck)アセンブリに埋め込まれた金属ベースプレートのような、より一般的には「カソード」と呼ばれる「電力電極」(例えば、バイアス電極)に供給する。電力電極は、ESCアセンブリの一部である誘電体材料(例えば、セラミック材料)の厚い層を介して、処理システムのプラズマに容量連結される。電力電極へのRF電圧の印加は、処理中にESCアセンブリの基板支持面に配置された基板の処理表面に電子反発プラズマシース(「カソードシース」とも呼ばれる)を形成する。プラズマシースの非線形のダイオード状の性質が、印加されたRF電界の整流を引き起こし、その結果、直流(DC)電圧降下(すなわち、「自己バイアス」)が基板とプラズマとの間に現れ、基板電位がプラズマ電位に対して負になる。この電圧降下は、基板に向けて加速されるプラズマイオンの平均エネルギーを決定し、ひいては、エッチング異方性を決定する。より具体的には、マスク層及び停止層に対するイオン方向性、フィーチャプロファイル、及びエッチング選択性は、イオンエネルギー分布関数(IEDF:Ion Energy Distribution Function)によって制御される。RFバイアスを有するプラズマでは、IEDFは、典型的に2つのピークを有する。一方は低エネルギーにおいてであり、他方は高エネルギーにおいてであり、その間に幾らかのイオンポピュレーション(ion population)がある。IEDFの2つのピークの間にイオンポピュレーションが存在するということは、基板とプラズマとの間の電圧降下が、RFバイアス周波数で振動するという事実を反映している。より低い周波数(例えば、2MHz)のRFバイアス発生器を使用してより高い自己バイアス電圧を達成する場合、これらの2つのピーク間のエネルギーの差が重要であり得る。そして、低いエネルギーピークにおけるイオンに起因するエッチングプロファイルがより等方性であるため、エッチングされたフィーチャ壁の湾曲がもたらされる可能性がある。高エネルギーイオンと比較して、低エネルギーイオンは、(例えば、帯電効果に起因して)エッチングされたフィーチャの底の角部に到達する効果がより低いが、マスク材料のスパッタリングはさほど引き起こさない。これは、ハードマスク開口又は誘電体モールドエッチングのような、高アスペクト比エッチング用途において重要なことである。フィーチャサイズが減少し続け、アスペクト比が増加し、その一方でフィーチャプロファイルの制御要件がより厳しくなるにつれて、処理中の基板表面に良好に制御されたIEDFが存在することがより望ましい。
[0004]パルス状電圧波形発生器(PVWG:pulsed voltage waveform generator)を使用して、特定の基板電圧波形を維持するために、半導体プラズマチャンバ内の静電チャック(ESC)アセンブリに埋め込まれた電極でパルス状電圧波形を生成し、プラズマ処理中の基板においてシース電圧及びIEDFを制御することができる。半導体プラズマチャンバが負荷として使用される場合、負荷のリアルタイムな変化(例えば、プラズマ密度の流動、チャンバ壁条件、基板温度、化学的解離の程度と状態)によって、発生した波形を制御する困難が起こり得る。したがって、PVWG制御パラメータ(例えば、DC電源の充電電圧又は任意の波形発生器によって制御されるパルス幅)に対してリアルタイム調整を実行し、ひいては、負荷の変化に関わらず所定の電圧波形を維持するためには、波形に関するリアルタイム情報が非常に役立つことがある。リアルタイム制御と共に実行されるこのようなリアルタイム測定及び分析は、しばしば「閉フィードバックループ動作」と呼ばれる。しかしながら、パルス状電圧波形の測定及び分析において、その非常に高い振幅(例えば、プラズマ処理で頻繁に見られるように、数kVから数十kVである)に起因して、且つ半導体プラズマチャンバのような負荷の複雑な特性に起因して、ある種の困難が生じる。PVWGが、複雑な負荷(離散的な、容量性、誘導性、抵抗性、及び非線形の素子(例えば、プロセスチャンバ内の基板表面におけるプラズマシース)の直列的及び/又は並列的組み合わせ、並びに分散素子(例えば、伝送線路の一部分)を含み得る)に接続される場合、発生する波形は、理論的に予測される(期待される)波形よりもはるかに複雑な構造を有し、高周波振動を含む場合があり、これにより、プラズマプロセスを繰り返し制御する能力に影響が出ることがある。
[0005]したがって、当該技術分野では、IEDFの形状及び基板の表面に形成されたフィーチャのプロファイルに対して精密な制御を可能にするために、ほぼ一定のシース電圧の維持を可能にし、ひいては、基板の表面において所望の且つ反復可能な単一エネルギー性IEDFを生成する、新規なバイアス方法が必要とされている。
[0006]本開示の上述のフィーチャを詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、実施形態を参照することによって得ることができる。そのうちの幾つかの実施形態は添付の図面で例示されている。しかし、添付図面は例示的な実施形態のみを示すものであり、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容され得ることに留意されたい。
一実施形態に係る、プラズマ処理チャンバに取り付けられるように構成されたフィードバックループの概略図である。 一実施形態に係る、図1Aに示されたフィードバックループの一例を示す概略図である。 一実施形態に係る、図1Aに示されたフィードバックループの一例を示す概略図である。 一実施形態に係る、図1Aに示されたフィードバックループの一例を示す概略図である。 1つ又は複数の実施形態に係る、フィードバックループ内に配置され得る分圧器の概略図である。 1つ又は複数の実施形態に係る、フィードバックループ内に配置され得るローパスフィルタの概略図である。 一実施形態に係る、図3に示されたローパスフィルタの周波数応答曲線を示す図である。 1つ又は複数の実施形態に係る、フィードバックループ内の構成要素によって生成された調整電圧波形の例を示す。 一実施形態に係る、様々な種類の測定電圧波形の例を示す。 1つ又は複数の実施形態に係る、一連の測定電圧波形の例を示す。 1つ又は複数の実施形態に係る、一連の測定された電圧波形バーストの例を示す。 一実施形態に係る、本明細書に記載された方法を実施するように構成された処理チャンバの概略断面図である。 一実施形態に係る、図8Aに示される処理チャンバと共に使用され得る、パルス状電圧バイアススキームの機能的に等価な近似回路図である。 一実施形態に係る、バイアス電極において確立されるパルス状電圧波形の一例を示す。 一実施形態に係る、基板において確立されたパルス状電圧波形の一例を示す。 一実施形態に係る、単一ピークIEDFの例を示す。 一実施形態に係る、本明細書に記載されたパルス状電圧バイアススキームを使用して、基板を処理する方法のフロー図である。 一実施形態に係る、本明細書に記載されたパルス状電圧バイアススキームを使用して、基板を処理する方法のフロー図である。
[0025]理解を容易にするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。さらなる記述がなくても、一実施形態の要素及び特徴を他の実施形態に有益に組み込むことができると考えられる。
[0026]本明細書に提供される開示の実施形態は、データ収集システムを備えた、パルス状電圧波形を制御するためのフィードバックループであって、第1の入力電圧波形から第1の調整電圧波形を生成するように構成された第1の調整回路を含む第1の入力チャネル、及び高速データ収集モジュールを備えているフィードバックループを含み得る。高速データ収集モジュールは、第1の入力チャネルの第1の調整回路に電気的に連結され、第1の調整電圧波形から第1のデジタル化電圧波形を生成するように構成された第1の収集チャネル、及び第1のデジタル化電圧波形を分析することによって、第1の調整電圧波形の1つ又は複数の1サイクル波形特性を決定するように構成されたデータ収集コントローラを含み得る。フィードバックループは、高速データ収集モジュールによって処理された第1の調整電圧波形に関する情報を処理するように構成されたフィードバックプロセッサをさらに含み得る。幾つかの実施形態では、パルス状電圧波形は、プラズマ処理チャンバ内に配置された基板支持アセンブリ内に配置されたバイアス電極に電気的に連結されたパルス状電圧波形発生器によって確立される。
[0027]本明細書に提供される開示の実施形態は、データ収集システムを備えた、パルス化電圧波形を制御するためのフィードバックループであって、第1の入力電圧波形から第1の調整電圧波形を生成するように構成された第1の調整回路を含む第1の入力チャネル、第2の入力電圧波形から第2の調整電圧波形を生成するように構成された第2の調整回路を含む第2の入力チャネル、及び高速データ収集モジュールを備えている、フィードバックループをさらに含み得る。高速データ収集モジュールは、第1の入力チャネルの第1の調整回路に電気的に連結され、第1の調整電圧波形から第1のデジタル化電圧波形を生成するように構成された第1の収集チャネル、第2の入力チャネルの第2の調整回路に電気的に連結され、第2の調整電圧波形から第2のデジタル化電圧波形を生成するように構成された第2の収集チャネル、並びに第1のデジタル化電圧波形及び第2のデジタル化電圧波形のうちの少なくとも1つを分析することによって、第1の調整電圧波形及び第2の調整電圧波形のうちの少なくとも1つの1つ又は複数の1サイクル波形特性を決定するように構成されたデータ収集コントローラを含み得る。幾つかの実施形態では、パルス状電圧波形は、プラズマ処理チャンバ内に配置された基板支持アセンブリ内に配置されたバイアス電極に電気的に連結されたパルス状電圧波形発生器によって確立される。幾つかの実施形態では、パルス状電圧波形発生器は、発生器連結アセンブリを用いて、電気導体を介してバイアス電極に電気的にさらに連結され、第1の入力チャネルの入力端は、発生器連結アセンブリの端部に電気的に連結され、第2の入力チャネルの入力端は、電流モニタの出力部及び電流感知抵抗器の非接地端のうちの1つに電気的に連結され、電流モニタは、電気導体に流れる電流を感知するように構成され、電流感知抵抗器は、パルス状電圧波形発生器内に配置される。
[0028]本明細書に提供される開示の実施形態は、制御され且つ反復可能なパルス状電圧波形を生成するために、パルス状電圧波形発生器との動作に適した閉フィードバックループスキームを含む。したがって、本明細書で説明する実施形態は、基板のプラズマ支援処理又はプラズマ強化処理を実行するために使用される多くの様々な種類のプラズマ支援処理チャンバ又はプラズマ強化処理チャンバで使用することができる。本明細書で提供される開示の範囲を限定することを意図しているわけではないが、幾つかの実施形態では、プラズマ処理中にパルス電圧バイアススキームを用いて、基板処理時間の最大約90%にまでほぼ一定のシース電圧を維持し、その結果、任意の形状を有するIEDFを生成するために使用することもできる単一の(狭い)ピークIEDFが得られる。さらに、本明細書で開示される実施形態のうちの1つ又は複数では、プラズマ処理方法は、複数のサイクル(例えば、周期Ts(例えば、2.5マイクロ秒)で反復する短い正のパルスの一連の周期)をさらに含むパルス状電圧波形を含み、各パルスの全周期Tpは、通常、数十ナノ秒(例えば、10から100ナノ秒)程度であり、複数のサイクルのうちの各サイクルは1つ又は複数のパルスに対応する。
[0029]図1Aは、プラズマ処理システム50内に見い出される1つ又は複数の電気構成要素に電気的に連結されたフィードバックループ100を概略的に示す。概して、フィードバックループ100は、1つ又は複数の入力チャネル110、及び高速データ収集モジュール120を含む。1つ又は複数の入力チャネル110は、高速データ収集モジュール120に電気的に連結されている。幾つかの実施形態では、高速データ収集モジュール120は、生成されたパルス化電圧波形(例えば、高電圧ナノ秒基板電圧波形)を制御且つ維持するように構成され、これにより、プラズマ処理中の基板においてシース電圧及びIEDFが制御される。さらに、高速データ収集モジュール120は、1つ又は複数の収集チャネル122を含む。
[0030]1つ又は複数の入力チャネル110の入力端が、プラズマ処理システム50内の接続点135に連結されている。したがって、プラズマ処理システム50の処理チャンバ内に位置決めされた電極(例えば、基板支持体内に配置されたバイアス電極)で確立されたパルス状電圧波形の特性を制御するために、制御パラメータが、プラズマ処理システム50内のパルス状波形発生器(例えば、図1Bのパルス状電圧波形発生器(PVWG)150)に供給され得るように、1つ又は複数の入力チャネル110によって受信されて次いで調整される入力パルス状電圧波形140が、高速データ収集モジュール120内の構成要素及びフィードバックプロセッサ125内の構成要素によって処理され得る。1つ又は複数の入力チャネル110は、入力パルス状電圧波形140を受信し、入力パルス状電圧波形140から出力波形144を生成する。以下の記載でより詳細に説明されるように、入力パルス状電圧波形140は、入力チャネル110によって処理され、調整された波形を含む出力波形144が生成され得る。例えば、入力チャネル110は、調整回路を介して、受信された入力パルス状電圧波形140を調整し、出力波形144を生成し得る。したがって、例えば、出力波形144の振幅及び/又は形状は、入力パルス状電圧波形140の振幅及び/又は形状と異なる場合がある。入力チャネル110によって受信されたパルス状電圧波形(すなわち、入力パルス状電圧波形140)の特性は、プラズマ処理システム50の複合負荷130(図1B)に連結された1つ又は複数の回路内に形成された且つ/又は配置された誘導性素子、容量性素子、又は抵抗性素子に対する接続点135の位置に応じて、異なる特性を有し得ることに留意されたい。幾つかの実施形態では、フィードバックループ100内の入力チャネル110は、それぞれの入力チャネル内にある構成要素(例えば、分圧構成要素又はフィルタリング構成要素)の種類の違いにより、それぞれ様々な入力信号の減衰度を有し得る。したがって、本明細書では、入力チャネル110は、受信された入力パルス状電圧波形140の減衰又は調整の程度に基づいて、高減衰、中減衰、若しくは低減衰、又は未減衰のチャネルとして言及され得る。
[0031]図1Bは、図1Aに示すフィードバックループ100の一例を概略的に示す。これには、高速データ収集モジュール120の対応する収集チャネル122にそれぞれ電気的に連結された複数の入力チャネル110が含まれる。図1Bに示されるように、入力チャネル110は、発生器連結アセンブリ133の両側に配置された導電性素子に連結された接続点に連結され得る。これらすべてを組み合わせて使用して、PVWG150の出力部を複合負荷130に接続する。概して、PVWG150の出力部を複合負荷130に接続するために使用される導電性素子及び発生器連結アセンブリ133は、本明細書では、伝送線131内の素子として言及されることが多い。したがって、PVWG150は、伝送線131及び基準線132を介して複合負荷130に電気的に接続されている。概して、本明細書で使用される「電気導体」又は「導体」という用語は、以下を含み得る。(a)剛性同軸伝送線と直列の可撓性同軸ケーブルを含み得る同軸伝送線、(b)絶縁性高圧耐コロナフックアップ線、(c)裸線、(d)金属棒、(e)電気コネクタ、又は(f)(a)~(e)の電気素子の任意の組み合わせ。図1Bは、複数の入力チャネル110が、PVWG150の出力部を複合負荷130及び/又はPVWG150内の1つ又は複数の回路に接続するために使用される1つ又は複数の導電性素子のうちの1つに連結された接続点に連結される構成を概略的に示すが、この構成は、本明細書で提供される開示の範囲を限定することを意図していない。なぜなら、入力チャネル110の数、及び/又はプラズマ処理システム50内で様々な入力チャネル110を接続することができる種々の位置の数は、所望のチャンバ処理用途を制御する必要に応じて、増減させることができるからである。
[0032]図1Bに図示されるように、プラズマ処理システム50は、図8A及び8Bに概略的に図示される処理チャンバ800のPVWG150などの、パルス状電圧波形発生器(PVWG)を含む。さらに、プラズマ処理システム50は、複合負荷130を含む。複合負荷130は、プラズマ処理中のバイアス電極(例えば、図8Aのバイアス電極804)におけるパルス状電圧波形を含むか、及び/又はパルス状電圧波形が生成されることによって形成される。フィードバックループ100は、概して、PVWG150によって生成されたパルス状電圧波形の1つ又は複数の特性を調整することによって、パルス状電圧波形を制御するように構成されている。
[0033]入力チャネル110のうちの1つ又は複数は、例えば、入力チャネル110内の調整回路111、及び入力チャネル110内の調整回路111などの調整回路111を含み得る。さらに、1つ又は複数の入力チャネル110は、調整出力波形144を生成するように構成されている。幾つかの実施形態では、調整回路111は、それぞれ、分圧器(例えば、図2の分圧器112)、ローパスフィルタ114(例えば、図3のローパスフィルタ)、分圧器とローパスフィルタ114の両方、又は場合によっては、本明細書では未減衰調整回路(unattenuated conditioning circuit)と呼ばれる、分圧器もローパスフィルタ114もない状態を含んでもよい。一例では、フィードバックループ100は、少なくとも3つの調整回路111を含み、1つの調整回路は高比率分圧器を含み、別の調整回路は中比率分圧器を含み、また別の調整回路はフィルタのみを含むので、分圧器を含まない。入力チャネル110の調整回路111が、分圧器及びさらにローパスフィルタを含む実施形態では、分圧器112は、ローパスフィルタ114に電気的に連結される。さらに、当該実施形態では、分圧器は、入力パルス状電圧波形140から分割電圧波形を生成し、ローパスフィルタは、分割電圧波形からフィルタリングされた電圧波形を生成する。ローパスフィルタが省略された実施形態では、分圧器が、入力パルス状電圧波形140から分割電圧波形を生成し、分割電圧波形が、高速データ収集モジュール120に通信される。当該実施形態では、分割電圧波形は、調整電圧波形であり、これが出力波形144である。分圧器及びローパスフィルタが両方とも入力チャネル110から省略された実施形態では、入力パルス状電圧波形140も出力波形144である。分圧器が省略されているが、ローパスフィルタが調整回路111内に存在する実施形態では、ローパスフィルタが、入力パルス状電圧波形140からフィルタリングされた電圧波形を生成し、フィルタリングされた波形が、高速データ収集モジュール120へと通信される。
[0034]図1Bは、入力チャネル110~110を示す。ここで、Nは、概して、図1Bに示されるように、1より大きい数(例えば、3より大きい数)である。入力チャネル110~110の各々は、プラズマ処理システム50内の種々の点に接続されてもよい。例えば、入力チャネル110は、発生器連結アセンブリ133の両側に配置された電気導体に接続されてもよく、これには、阻止キャパシタ(例えば、図8BのキャパシタCHVM)が含まれてもよい。例えば、入力チャネル110は、複合負荷130と発生器連結アセンブリ133との間に連結されるか、又は入力チャネル110は、PVWG150の出力部と発生器連結アセンブリ133との間に連結される。入力チャネル110がPVWG150の出力部と発生器連結アセンブリ133との間で連結される実施形態では、入力チャネル110は入力パルス状電圧波形1401Aを受信し、調整回路111は出力波形(例えば、調整波形)1441Aを生成する。一実施例では、受信又は測定された入力パルス状電圧波形1401Aは、各々の電圧パルスの種々の位相に正及び負の電圧レベルを含む電圧パルス(すなわち、パルスが、破線ゼロボルト基準線の上と下にある)、並びに入力パルス状電圧波形1401A内のパルスの様々な位相内の高周波振動(例えば、パルスの領域を強調する破線円を参照)を含み、これらは、調整回路1111A内の分圧器などの構成要素によって調整されると、分圧器の使用によって少なくともより低い電圧レベルで供給される出力波形1441Aを形成する。入力チャネル110が複合負荷130と発生器連結アセンブリ133との間で連結される実施形態では、入力チャネル110が、入力パルス状電圧波形1401Bを受信し、調整回路111が、出力波形(例えば、調整波形)1441Bを生成する。図1Bに図示されるように、入力パルス状電圧波形1401Aは、プラズマ処理システム50内の伝送線路131に沿ったその各接続点の位置により、入力パルス状電圧波形1401Bとは異なる波形特性を有する。代替的に、一実施例では、図1Bに示されるように、受信又は測定された入力パルス状電圧波形1401Bは、入力パルス状電圧波形1401B(例えば、パルス領域I1B)内のパルスの位相内の高周波振動を含む正電圧パルス(すなわち、パルスは、破線ゼロボルト基準線より上にある)を含み、これは、調整回路1111B内にある分圧器及びローパスフィルタなどの構成要素によって調整されると、低減された電圧レベルのフィルタリングされた波形である出力波形1441Bを形成する。
[0035]さらに図1Bを参照すると、入力チャネル110は、PVWG150内の電流モニタ134に接続されるか、又はPVWG150に取り付けられる。したがって、入力チャネル110は、入力パルス状電圧波形1402を受信し、調整回路1112は、出力波形(調整波形)1442を生成する。一実施例では、図1Bに示されるように、受信又は測定された入力パルス状電圧波形140は、各々の電圧パルスの種々の位相に正及び負の電圧レベルを含む電圧パルス、並びに入力パルス状電圧波形140内の各パルスの位相のうちの少なくとも1つの中の高周波振動(例えば、パルスの領域を強調する破線円を参照)を含み、これらは、分圧器及びローパスフィルタなどの構成要素によって調整されると、調整回路111内に、低減された電圧レベルのフィルタリングされた波形である出力波形144を形成する。
[0036]さらに、入力チャネル110は、PVWG150の電流検出抵抗器139に接続される。したがって、入力チャネル110は、入力パルス状電圧波形140を受信し、調整回路111は、出力波形(調整波形)144を生成する。一実施例では、図1Bに示されるように、受信又は測定された入力パルス状電圧波形140は、入力パルス状電圧波形140内の各パルスの位相のうちの少なくとも1つの中に正電圧パルス及び高周波数振動を含み(例えば、破線の円を参照)、これらは、ローパスフィルタなどの調整回路111内の構成要素によって調整されると、フィルタリングされた波形である出力波形144を形成する。
[0037]幾つかの実施形態では、入力チャネル110から110などのさらなる入力チャネルが、プラズマ処理システム50内の他の接続点に接続されて、供給されたパルス状電圧波形及び/又はプラズマ処理チャンバ内で実行されているプラズマ処理の状態に関するさらなる情報を受信する。さらに、調整回路111は、対応する出力波形144を生成するように構成されている。入力チャネル110は、入力されたパルス状電圧波形140を受信し、調整回路111は、出力波形(調整波形)144を生成する。したがって、調整回路111などの調整回路111のいずれかは、分圧器112(図2)とローパスフィルタ114(図3)の任意の組み合わせを含んでもよく、又は分圧器112もローパスフィルタ114も含まなくてもよい。
[0038]図1Bに図示されるように、入力パルス状電圧波形1401A~140は、それぞれ互いに異なる。したがって、対応する出力波形1441A~144も互いに異なる。したがって、入力チャネル110の入力端がプラズマ処理システム50に接続される位置に応じて、入力パルス状電圧波形及び出力波形の特性が変化し、したがって、各々の入力チャネルの接続位置の選択が、フィードバックループ100が受信する情報に影響を与え、これにより、フィードバックループ100がパルス状電圧波形を制御する能力に影響が及び得る。
高速データ収集モジュール
[0039]高速データ収集モジュール120は、概して、アナログ電圧波形(例えば、調整波形144)を受信し、デジタル化電圧波形を送信するように構成されている。高速データ収集モジュール120は、第1の入力チャネル110のそれぞれの調整回路111にそれぞれ電気的に連結された1つ又は複数の収集チャネル122を含み、高速データ収集モジュール120は、受信された調整電圧波形(例えば、出力波形144)からデジタル化電圧波形を生成するように構成され、高速データ収集モジュール120のデータ収集コントローラ123は、第1のデジタル化電圧波形を分析することによって、調整電圧波形(例えば、出力波形144)の1つ又は複数の波形特性を決定するように構成されている。図1Bに示すように、高速データ収集モジュール120は、複数の収集チャネル122~122、データ収集コントローラ123、及びメモリ124(例えば、不揮発性メモリ)を備えている。収集チャネル122が入力チャネル110のうちの対応するチャネルから出力波形144を受信するように、各々の収集チャネル122は、入力チャネル110のうちの対応するチャネルの出力部に電気的に連結されている。例えば、収集チャネル122は、入力チャネル110の出力端に電気的に連結され、入力チャネル110の入力端の接続点の位置に応じて、出力波形1441A又は1441Bのいずれかを受信する。さらに、収集チャネル122は、入力チャネル110の出力端に電気的に連結され、出力波形144を受信する。加えて、又は代替的に、収集チャネル122は、入力チャネル110の出力端に電気的に連結され、出力波形144を受信する。収集チャネル122は、入力チャネル110の出力端に電気的に連結され、出力波形144を受信する。
[0040]幾つかの実施形態では、高速データ収集モジュール120は、データ通信インターフェース125Aを介してフィードバックプロセッサ125に連結されている。フィードバックプロセッサ125は、データ収集コントローラ123内のプロセッサによって実行される1つ又は複数のアルゴリズムによって決定される1つ又は複数の波形特性を使用して、1つ又は複数の制御パラメータを生成するように構成されている。メモリ124に記憶される1つ又は複数のアルゴリズムは、命令を含んでおり、当該命令は、高速データ収集モジュール内のプロセッサ121によって実行されると、高速データ収集モジュールに、出力波形144(例えば、1つ又は複数の調整電圧波形)を処理させ、受信された出力波形144の1つ又は複数の波形特性を決定させる。以下でさらに説明するように、フィードバックプロセッサ125は、命令を含むメモリを備えており、当該命令は、フィードバックプロセッサ125内のプロセッサ(CPU)によって実行されると、フィードバックプロセッサ125に、高速データ収集モジュール120から供給された決定済みの1つ又は複数の波形特性を使用させて、1つ又は複数の制御パラメータを生成させる。フィードバックプロセッサによって実行される命令は、フィードバックプロセッサに、生成された1つ又は複数の制御パラメータに関する情報をPVWG150へと送信させるようにさらに構成され得るPVWG150は、命令を含むメモリをさらに備え得、当該命令は、PVWG150内のプロセッサによって実行されると、PVWG150に、フィードバックプロセッサ125によって生成された1つ又は複数の制御パラメータに基づいて、調整されたパルス状電圧波形を確立させる。
[0041]上述のように、各々の収集チャネル122は、対応する入力チャネル110によって出力される対応する出力波形144を処理して、出力波形からデジタル化電圧波形を生成する。例えば、収集チャネル122は、出力波形1441A又は1441Bを処理して、デジタル化電圧波形を生成する。さらに、収集チャネル122は、出力波形144を処理して、デジタル化電圧波形を生成し、収集チャネル122は、出力波形144を処理して、デジタル化電圧波形を生成する。追加的に、又は代替的に、収集チャネル122は、出力波形144を処理して、デジタル化電圧波形を生成する。
[0042]データ収集モジュール120は、データ収集コントローラ123をさらに含む。データ収集コントローラ123は、それぞれの収集チャネル122の出力部に電気的に連結され、それぞれの収集チャネル122からデジタル化電圧波形を受信するように構成されている。さらに、データ収集コントローラ123のメモリ124内に記憶されたアルゴリズムは、それぞれのデジタル化電圧波形を分析することによって、それぞれの調整波形(例えば、出力波形144)の1つ又は複数の波形特性を決定するように適合されている。当該分析には、デジタル化電圧波形で受信された情報と、メモリ124に記憶された1つ又は複数の波形特性に関する情報との比較が含まれてもよく、これについては以下でさらに説明される。
[0043]データ収集コントローラ123は、アナログ/デジタル変換器(ADC:analog-to-digital converter)(図示せず)、プロセッサ121(図1C)、通信インターフェース(図示せず)、クロック(図示せず)、及び任意選択のドライバ(図示せず)のうちの1つ又は複数を含み得る。プロセッサは、任意の汎用演算プロセッサであってもよい。さらに、プロセッサは、フィールドプログラマブルゲートアレイ(FPGA:Field Programmable Gate Array)であってもよい。ADCは、出力波形144内の信号をアナログ領域からデジタル領域へと変換し、ADCの出力デジタル信号は、処理のためにプロセッサ121に供給される。データ収集コントローラ123のプロセッサ121は、ADCから供給される出力デジタル信号を分析することによって、出力波形の1つ又は複数の波形特性を決定する。
[0044]様々な実施形態では、データ収集モジュール120は、メモリ124をさらに含む。メモリ124は、任意の不揮発性メモリであってもよい。さらに、データ収集コントローラ123は、メモリ124に電気的に連結され、波形特性をメモリ124内に記憶させるように構成されている。様々な実施形態では、メモリ124は、データ収集コントローラ123によって実行可能な命令を含み、当該命令は、データ収集コントローラ123に、受信された出力波形144を分析させ、且つ/又は受信された出力波形144の分析に基づいて決定された波形特性に対応する情報を送信させる。
[0045]様々な実施形態では、メモリ124は、データロガー124A、波形アナライザ124B、及び実行可能命令124Cのうちの1つ又は複数を含む。データ収集コントローラ123は、メモリ124のデータロガー124A内に波形特性に対応する情報を記憶するように構成され得る。例えば、データロガー124Aは、波形特性に対応する情報を記憶するために、データ収集コントローラ123によってアクセス可能なデータベースを含み得る。波形アナライザ124Bは、データ収集コントローラ123によって実行可能な命令を含み、当該命令は、実行されると、データ収集コントローラ123に、出力波形144を解析させ、波形特性を決定させる。実行可能な命令124Cは、データ収集コントローラ123によって実行可能であり、当該命令は、実行されると、データ収集コントローラ123に、波形特性又は波形特性に対応する情報を、フィードバックプロセッサ125、コントローラ127、コントローラ128、及びコントローラ191のうちの1つ又は複数へと送信させる。一実施形態では、実行可能な命令124Cは、データ収集コントローラ123によって実行されると、データ収集コントローラ123に、データロガー124A内に波形特性を記憶させ、とりわけ、1つ又は複数の閾値に関連して波形特性を解析させる。
[0046]データ収集コントローラ123は、対応するそれぞれの収集チャネル122からのデジタル化電圧波形を、並列的に受信且つ/又は解析するように構成されている。代替的に、データ収集コントローラ123は、対応するそれぞれの収集チャネル122からのデジタル化電圧波形を、直列的に受信且つ/又は解析するように構成されている。
[0047]上述のように、データ収集モジュール120は、フィードバックプロセッサ125に電気的に(有線又は無線で)連結され得る。フィードバックプロセッサ125は、任意の汎用演算プロセッサであってもよい。幾つかの実施形態では、フィードバックプロセッサ125は、概して、データ通信インターフェースを介して高速データ収集モジュール120に接続された外部プロセッサ、高速データ収集モジュール120内に統合された内部プロセッサ、又はデータ通信インターフェースを介して高速データ収集モジュールに接続された、基板処理チャンバ用のコントローラ(例えば、処理チャンバコントローラ126)のうちの1つである。データ収集モジュール120は、受信された出力波形144のうちの1つ又は複数に対応する情報をフィードバックプロセッサ125へと通信することができる。例えば、データ収集モジュール120は、受信された出力波形144のうちの1つ又は複数の検出された且つ/又は処理された1つ又は複数の波形特性に関する情報をフィードバックプロセッサ125に通信することができる。さらに、フィードバックプロセッサ125は、プラズマ処理システム50と通信可能に連結されてもよい。様々な実施形態では、上述のように、フィードバックプロセッサ125は、メモリを含むか、又はメモリに連結されており、当該メモリは、本明細書に記載された方法のうちの1つ又は複数の部分を実行するようにフィードバックプロセッサ125内のプロセッサに命令するためのソフトウェアアルゴリズムをさらに含む。
[0048]1つ又は複数の実施形態では、データ収集モジュール120は、処理チャンバ(例えば、図8Aの処理チャンバ800)の処理チャンバコントローラ126、又は処理チャンバを含む処理システムと電気的に(配線又は無線で)連結されてもよい。例えば、データ収集モジュール120は、処理チャンバコントローラ126(図1D)とのデータの送受信を行う。例えば、データ収集モジュール120は、1つ又は複数の波形特性に関する情報を処理チャンバコントローラ126へと通信する。さらに、処理チャンバコントローラ126は、プラズマ処理システム50と通信可能に連結されてもよい。様々な実施形態では、処理チャンバコントローラ126は省略される。処理チャンバコントローラ126は、コントローラCPU(図示せず)、不揮発性メモリ(図示せず)、グラフィカルユーザインタフェース(GUI)(図示せず)、並びにCPUに命令するために符号化され、メモリ内に記憶され得る他の有用なハードウェア及びソフトウェアコンポーネントを別個に含んでもよい。処理チャンバコントローラ126のメモリ内に記憶されたアルゴリズムは、命令を含み得、当該命令は、コントローラCPUによって実行されると、データ収集コントローラ123によって決定された1つ又は複数の波形特性に関する情報に基づいて、様々な処理チャンバ設定点(例えば、チャッキング電源上のチャッキング電圧設定点)の調整を引き起こす。
[0049]1つ又は複数の実施形態では、データ収集モジュール120は、取り外し可能なメモリデバイスを含むコントローラ127に電気的に(有線又は無線で)連結される。例えば、データ収集モジュール120は、コントローラ127とのデータの送受信を行う。例えば、データ収集モジュール120は、1つ又は複数の波形特性に関する情報をコントローラ127の取り外し可能なメモリデバイスへと通信する。
[0050]様々な実施形態では、データ収集モジュール120は、通信インターフェースを介して外部コンピューティングデバイスに電気的に(有線又は無線で)連結される。データ収集モジュール120は、外部コンピューティングデバイス(例えば、高速データ収集モジュール120の外部のコンピューティングデバイス)とのデータの送受信を行う。例えば、データ収集モジュール120は、コントローラ128(図1B~1D)などのコンピューティングデバイスのコントローラと通信(例えば、データの送受信)する。データ収集モジュール120は、1つ又は複数の検出された且つ/又は処理された波形特性をコントローラ128へと通信することができる。コントローラ128は、とりわけ、パーソナルコンピュータ(PC)、又はモバイルコンピューティングデバイスであってもよい。さらに、コントローラ128は、データ収集モジュール120及び/又はプラズマ処理システム50と(例えば、イーサネット接続を介して)通信可能に連結されてもよい。様々な実施形態では、コントローラ128は省略される。
[0051]以下の説明及び図5Aから5Dの説明でより詳細に説明されるように、1つ又は複数の波形特性を決定することは、概して、パルスの1サイクルの期間(Tcycle)、パルスの立ち上がり時間(Trise)、パルスの立ち下がり時間(Tfall)、基準電圧からのパルスのオフセット(例えば、ゼロボルト)、パルスの振幅、パルス幅、直流(DC)電圧オフセット、及びパルス状電圧波形の位相(例えば、イオン電流位相(本明細書では「イオン電流」波形特性とも呼ばれる))のうちの1つ又は複数の位相の間に生成されるパルス波形特性のうちの1つ又は複数を決定することを含むが、これに限定されない。加えて、又は代替的に、1つ又は複数の波形特性を決定することは、図7Bに例示されているように、パルスのバースト(例えば、Ton周期、Toff周期)の波形特性を決定することを含む。
[0052]図1Cは、1つ又は複数の実施形態に係る、フィードバックループ100Aの一部を示す。フィードバックループ100Aは、図1Bのフィードバックループ100と似たように構成されている。例えば、フィードバックループ100Aは、収集チャネル122、データ収集コントローラ123A、及びメモリ124を備えた高速データ収集モジュール120を含む。しかしながら、図1Bのフィードバックループ100と比べると、フィードバックプロセッサ125は、処理チャンバコントローラ126と一体化され得る。一体化されたフィードバックプロセッサ125は、この場合、プロセッサ126B、及びメモリ126Aを含み得、メモリ126Aは、データロガー、及びアルゴリズムソルバー(例えば、制御パラメータを決定するためのソフトウェア命令)を含む。図1Cに示す構成では、フィードバックプロセッサ125の機能は処理チャンバコントローラ126内の構成要素によって実行されるので、フィードバックループ100は、個々のフィードバックプロセッサ125を含まない場合がある。
[0053]図1Dは、1つ又は複数の実施形態に係る、フィードバックループ100Bの一部を示す。フィードバックループ100Bは、図1Bのフィードバックループ100と似たように構成されている。例えば、フィードバックループ100Bは、収集チャネル122と、メモリ124’とを含む高速データ収集モジュール120を含む。しかしながら、図1Bのフィードバックループ100と比べると、フィードバックループ100Bは、フィードバックプロセッサ125を含まない。例えば、図1Dの実施形態では、データ収集コントローラ123Bは、図1Bのデータ収集コントローラ123及び図1Bのフィードバックプロセッサ125の機能性を備える。当該実施形態では、データ収集コントローラ123Bは、出力波形144を分析して波形特性を決定することと、制御パラメータを決定してそれをPVWG150へと通信することとの両方を行うように機能する。
[0054]1つ又は複数の実施形態では、データ収集コントローラ123は、デジタルインターフェースを介して、コントローラ191内のモニタ129に連結される。例えば、データ収集コントローラ123は、とりわけ、デジタルビジュアルインタフェース(DVI)、高品位マルチメディアインタフェース(HDMI)、又はビデオグラフィックスアレイ(VGA)を介して、コントローラ191及びモニタ129に連結される。データ収集コントローラ123は、1つ又は複数の波形特性に対応する情報をモニタ129へと通信し、ユーザが見るためにモニタ129上に表示される。
[0055]図2は、1つ又は複数の実施形態に係る、調整回路111の分圧器(例えば、分圧器112)の概略図である。図2に示されるように、分圧器112は、第1のカスケード分圧器210、及び第2の分圧器カスケード212を含み得る。分圧器112は、信号線221及び接地基準線223のような1つ又は複数の電気接続を介してローパスフィルタ114に電気的に連結される。第1のカスケード分圧器210は、抵抗器R1、抵抗器R2、キャパシタC1、及びキャパシタC2を含む。第2のカスケード分圧器212は、抵抗器R4、抵抗器R5、キャパシタC3、及びキャパシタC4を含む。抵抗器R1の抵抗、抵抗器R2の抵抗、キャパシタC1のキャパシタンス、及びキャパシタC2のキャパシタンスは、第1の分圧比を生成するように選択される。例えば、第1の分圧比は、約1対20から約1対60の範囲であり得る。さらに、抵抗器R1の抵抗は、抵抗器R2の抵抗よりも大きく、キャパシタC2のキャパシタンスは、キャパシタC1のキャパシタンスよりも大きい。例えば、抵抗器R1の抵抗は、約950キロオームであってもよく、抵抗器R2の抵抗は、約20キロオームであってもよい。代替的に、抵抗器R1の抵抗は、950キロオームより小さくても大きくてもよく、抵抗器R2の抵抗は、20キロオームより小さくても大きくてもよい。加えて、キャパシタC2のキャパシタンスは、約650pFであってもよく、キャパシタC1のキャパシタンスは、約15pFであってもよい。代替的に、キャパシタC2のキャパシタンスは、650pFより小さくても大きくてもよく、キャパシタC1のキャパシタンスは、15pFより小さくても大きくてもよい。
[0056]抵抗R4の抵抗、抵抗R5の抵抗、キャパシタC3のキャパシタンス、及びキャパシタC4のキャパシタンスは、第2の分圧器カスケード212の第2の分圧比を生成するように選択される。幾つかの実施形態では、第2の分圧比は、第1の分圧器比よりも大きい。例えば、第2の分圧比は、約1対80から約1対120の範囲であってもよい。さらに、抵抗器R4の抵抗は、抵抗器R5の抵抗よりも大きく、キャパシタC4のキャパシタンスは、キャパシタC1のキャパシタンスよりも大きい。例えば、抵抗器R4の抵抗は、約1000キロオームであってもよく、抵抗器R5の抵抗は、約10キロオームであってもよい。代替的に、抵抗器R4の抵抗は1000キロオームより小さくても大きくてもよく、抵抗器R5の抵抗は10キロオームより小さくても大きくてもよい。加えて、キャパシタC4のキャパシタンスは、約1.5nFであってもよく、キャパシタC3のキャパシタンスは、約15pFであってもよい。代替的に、キャパシタC4のキャパシタンスは、1.5nFよりも小さくても大きくてもよく、キャパシタC3のキャパシタンスは、15pFよりも小さくても大きくてもよい。
[0057]幾つかの実施形態では、第1のカスケード分圧器210は、抵抗器R3を介して第2の分圧器カスケード212に連結される。抵抗器R3の抵抗は、例えば、約200キロオームであってもよい。代替的に、抵抗器R3の抵抗は、約200キロオームより大きくても小さくてもよい。抵抗器R3は、入力パルス状電圧波形140からの高周波数をフィルタ除去することができる。キャパシタC1~C4、並びに抵抗器R1、R2、R4、及びR5の値を変更することにより、分圧器112の分圧比を変化させることができる。例えば、R1とR2との差及びC1とC2との差を大きくし、且つ/又はR4とR5との差及びC3とC4とのの差を大きくすると、分圧比が大きくなり、R1とR2との差及びC1とC2の差を小さくし、且つ/又はR4とR5との差及びC3とC4との差を小さくすると、分圧比が小さくなる。幾つかの実施形態では、第1の分圧器カスケード210は、約1対10から約1対100の範囲の分圧比を有し、第2の分圧器カスケード212は、約1対20から約1対120の範囲の分圧比を有する。
[0058]入力チャネル110が分圧器112及びローパスフィルタ114を含む実施形態では、ローパスフィルタ114の入力部は、ローパスフィルタ114が分圧器112から分割電圧波形を受信するように、分圧器112の出力部に電気的に連結される。さらに、ローパスフィルタ114は、プラトー及びカットオフ周波数を含む周波数応答曲線を有する。例えば、ローパスフィルタ114のフィルタ応答は、約-3dBの帯域幅周波数未満の周波数でプラトーを有する。ローパスフィルタ114のプラトーは、DCから約7MHzの範囲内にあり、カットオフ周波数は、約5MHzから約10MHzの範囲内にある。図4は、ローパスフィルタ114の周波数応答曲線(例えば、周波数応答曲線400)の一例を示す。図示するように、フィルタ応答曲線は、約7.3MHzの帯域幅(例えば、-3dB)周波数未満の周波数でプラトーを有する。代替的に、帯域幅周波数は、約7.3MHzよりも大きくても小さくてもよい。
[0059]図3は、1つ又は複数の実施形態に係る、調整回路111のローパスフィルタ(例えば、ローパスフィルタ114)の概略図である。図3に図示されるように、ローパスフィルタ114は、フィルタカスケード222、及びフィルタカスケード224を含む。フィルタカスケード222は、2段チェビシェフフィルタであってもよい。例えば、フィルタカスケード222は、約0.1dBのストップ帯域減衰を有する2段チェビシェフフィルタであってもよい。代替的に、0.1dBより低い又はそれより大きいストップ帯域減衰を有する2段チェビシェフフィルタを利用してもよい。フィルタカスケード222は、抵抗器R6及びR7、キャパシタC6及びC5、並びに演算増幅器225を含む。抵抗器R6及びR7の抵抗は同じであり得る。例えば、抵抗器R6及びR7の抵抗は、約50オームであってもよい。しかしながら、他の抵抗値を利用してもよい。さらに、一実施例では、キャパシタC6のキャパシタンスは、約360pFであってもよく、キャパシタC5のキャパシタンスは、約147pFであってもよい。しかしながら、他のキャパシタンス値を利用してもよい。加えて、フィルタカスケード222は、約15MHzのカットオフ周波数を有してもよい。代替的に、フィルタカスケード222は、約15MHzより小さい又はそれより大きいカットオフ周波数を有してもよい。
[0060]フィルタカスケード224の入力部は、フィルタカスケード222の出力部に電気的に連結される。フィルタカスケード224は、LCLフィルタカスケードである。さらに、フィルタカスケード224は、5次バタワースフィルタであってもよい。加えて、フィルタカスケード224は、フィルタカスケード222のカットオフ周波数未満のカットオフ周波数を有してもよい。例えば、フィルタカスケード224は、約7.3MHzのカットオフ周波数を有してもよい。代替的に、フィルタカスケード224は、約7.3MHzより小さいか又はそれより大きいカットオフ周波数を有してもよい。フィルタカスケード224は、抵抗器R8及びR9、インダクタL1、L3、及びL3、並びにキャパシタC10及びC11を含む。抵抗器R8の抵抗は、約400キロオームであり得るが、他の抵抗値を利用してもよい。さらに、インダクタL1のインダクタンスは、約5.4uHであってもよく、インダクタL2のインダクタンスは、約17.5uHであってもよく、インダクタL3のインダクタンスは、約5.4uHであってもよい。しかしながら、他のインダクタンス値を利用してもよい。さらに、インダクタL2のインダクタンスは、インダクタL1及びL2のインダクタンスよりも大きい。さらに、インダクタL1のインダクタンスは、インダクタL3のインダクタンスと等しくてもよい。キャパシタC10及びC11のキャパシタンスは同じであってもよい。例えば、キャパシタC10及びC11のキャパシタンスは、約88pFであってもよい。しかしながら、他のキャパシタンス値を利用してもよい。さらに、抵抗器R8及びR9の抵抗は、約400キロオームであってもよい。しかし、他の抵抗値を用いてもよい。
[0061]幾つかの実施形態では、フィルタカスケード222及びフィルタカスケード224は、それぞれ、プラトー及びカットオフ周波数を含む周波数応答曲線を有し、プラトーは1MHzから約7MHzの間であり、カットオフ周波数は約5MHzから約10MHzの範囲内である。
[0062]図5A、図5B、図5C、及び図5Dは、それぞれ、出力波形(すなわち、調整波形)1441A、1441B、144、及び144を示す。図5A、図5B、図5C、及び図5Dに示される出力波形は、電圧オフセットの上に、周期「Tcycle」(例えば、2.5マイクロ秒)で繰り返される短パルスの一連の周期を含む。1つのプラズマ処理例では、後述するように、短パルスは、周期「Tcycle」の約10%しか形成しない。
[0063]以上で簡単に説明し、図5Aに示すように、出力波形1441Aが、収集チャネル1221によって分析されて、パルスの1サイクルの期間(Tcycle)、パルスの立ち上がり時間(Trise)、パルスの立ち下がり時間(Tfall)、及び基準電圧からのパルスのDC電圧オフセット(例えば、ゼロボルト)のうちの1つ又は複数を含む波形特性が決定される。一実施形態では、発生器連結アセンブリ133のバイアス電極804(図8A)側に連結される入力チャネル110の調整回路1111Aは、出力波形1441Aを形成するために使用される分圧器112のみを含む。この構成では、ローパスフィルタ114がないため、パルスタイミング特性(例えば、周期、立ち上がり時間、立ち下がり時間等)の正確な決定を達成することができる。さらに、幾つかの実施形態では、バイアス電極804(図8A)及びHVM816(図8B)の高DC電圧電源VHVMを使用することによって基板に印加されるチャッキング力は、バイアス電極804(図8A)上のDC電圧と基板803上のDC電圧との間の差を測定することによって決定することができる。
[0064]さらに、図5Bに図示されるように、出力波形1441Bが、収集チャネル122によって分析され、パルスの振幅「Ampl」、パルス幅「W」、及びDC電圧オフセットを含む波形特性が決定され得る。決定されたパルス幅「W」は、半値全幅と均等であり得る。一実施形態では、発生器連結アセンブリ133の発生器側に連結された入力チャネル110の入力端を有する入力チャネル110の調整回路1111Bは、出力波形1441Bを形成するために使用される、分圧器112及びローパスフィルタ114を含む。この構成では、シース電圧(Vsh)(図10B)及びイオンエネルギー(Ei)の決定は、スケーリングファクタ(α)を事前に決定することにより、プラズマ処理中に達成することができる。ここで、
Figure 2022523653000002
である。さらに、幾つかの実施形態では、基板に印加されるチャッキング力は、バイアス電極804(図8A)上のDC電圧と基板803上のDC電圧との間の差を測定することによって決定することができる。さらに、パルス波形のイオン電流位相内で生成されるイオン電流(Iion)の特性を決定することができる。これについては、後述する。
[0065]図5Cに図示されるように、出力波形144は、収集チャネル122によって分析され、イオン電流オフセットを含む波形特性が決定され得る。一実施形態では、入力端が電流モニタ134(図1B及び図9B)に連結された入力チャネル110の調整回路111は、分圧器112及びローパスフィルタ114を含み、これらを使用して、出力波形144が形成される。この構成では、イオン電流オフセットに関する情報の決定は、式Iion=(イオン電流オフセット)/ファクタを使用することによって、プラズマ処理中に達成することができる。ここで、「Iion」はイオン電流であり、「ファクタ」は電流モニタ134のアンペアあたりのボルト出力特性である。
[0066]加えて、又は代替的に、出力波形144(図5D)は、収集チャネル122によって分析され、プラズマ処理中の最大イオン電流を含む波形特性が決定され得る。一実施形態では、入力端がPVWG150内の電流感知抵抗器139に連結された入力チャネル110の調整回路111は、出力波形144を形成するために使用されるローパスフィルタ114のみを含む。この構成では、分圧器112がないために、イオン電流(Iion)の大きさの正確な決定が達成され得る。最大イオン電流「Max」の決定は、式Iion=(MAx)/Rsenseを使用することによって、プラズマ処理中に達成することができる。ここで、「Rsense」は、Rsense抵抗の値である。
[0067]フィードバックプロセッサ125は、高速データ収集モジュール120から波形特性のうちの1つ又は複数に関する情報を受信し、対応する制御パラメータを生成することができる。フィードバックプロセッサ125は、制御パラメータをPVWG150へと通信し、PVWG150は、受信した制御パラメータに基づいて、複合負荷130上に確立されたパルス状電圧波形を調整する。例えば、PVWG150は、受信した制御パラメータに基づいて、複合負荷130上に確立されたパルス状電圧波形の振幅及び/又は幅を増加させ得る。パルス状電圧波形を生成するために利用されるパラメータの調整により、基板処理中の処理チャンバ内の望ましくない変化又は処理変数ドリフトを緩和することができる。例えば、パルス状電圧波形の生成に利用されるパラメータを調整することにより、プラズマ処理中の基板におけるシース電圧及びイオンエネルギー分布関数に対する変化を緩和することができる。これについては、より詳細に後述する。シース電圧(Vsh)及びイオンエネルギー分布関数に対する変化は、負荷の変化、プラズマ密度のドリフト、チャンバ壁の状態の変化、基板温度の変化、並びに/又は化学的解離の程度及び状態の変化に応じて生じ得る。
[0068]図1Bをさらに参照すると、PVWG150は、発生器連結アセンブリ133を介して、複合負荷130上にパルス状電圧波形を確立する。PVWG150は、データ収集モジュール120によって決定された波形特性(例えば、振幅、パルス幅、DCオフセット、及びイオン電流)から導出される制御パラメータに基づいて、パルス状電圧波形を確立することができる。最も単純な事例では、データ収集モジュール120によって決定された波形特性をユーザに提供(例えば、コントローラ127のモニタに表示)することができ、ユーザは、次いで、PVWG150によって使用される制御パラメータを調整して、決定された波形特性に基づいて、PVWG150によって生成される1つ又は複数のパルス波形のパルス状波形特性を改善する。フィードバックプロセッサ125、コントローラ128、又は処理チャンバコントローラ126から制御パラメータを交互に受け取ってもよい。制御パラメータは、後続して生成される調整パルス電圧波形を形成するためにPVWG150によって使用される情報を含み得、制御パラメータは、第1の調整電圧波形の決定された1つ又は複数の波形特性がそれらの目標値又は限度に達したこと、DC充電電圧の最大限度に達したこと、最大電力限度に達したこと、アルゴリズム収束のための最大時間限度に達したこと、パルス幅の最大限度に達したこと、及びパルス幅の最小限度に達したことのうちの1つ又は複数を含み得るが、これらに限定されない。制御パラメータは、所定のレートでPVWG150に通信され得る。所定のレートは、毎秒約10回の送信であってもよい。代替的に、所定のレートは、毎秒10回の送信よりも少なくてもよく、又は多くてもよい。
[0069]加えて、又は代替的に、処理チャンバコントローラ126は、高速データ収集モジュール120から1つ又は複数の波形特性を受信し、次いで、対応する制御パラメータを生成することができる。処理チャンバコントローラ126は、制御パラメータをPVWG150へと通信することができる。代替的に、処理チャンバコントローラ126が、制御パラメータをフィードバックプロセッサ125へと通信してもよく、フィードバックプロセッサ125が、制御パラメータをPVWG150へと通信する。PVWG150は、決定された制御パラメータに基づいて、PVWG150によって出力された入力パルス状電圧波形140を調整する。PVWG150は、PVWG150によって出力されるパルス状電圧波形の振幅及び/又は幅を増加させることができる。さらに、処理チャンバコントローラ126は、目標振幅及びパルス幅、並びに制御パラメータのための値を、処理レシピごとに少なくとも1回提供するように構成され得る。さらに、高速データ収集モジュール120は、ある送信レートで、出力波形144の振幅、パルス幅、及びDCオフセットのうちの1つ又は複数を通信することができる。例えば、送信レートは、毎秒約10回の送信であり得る。しかしながら、毎秒10回の送信よりも多い又は少ない送信レートが利用されてもよい。
[0070]さらに、又は代替的に、コントローラ128は、高速データ収集モジュール120から振幅、パルス幅、DCオフセット、及びイオン電流のうちの1つ又は複数を受信し、次いで、対応する制御パラメータを生成することができる。代替的に、高速データ収集モジュール120は、処理された波形をコントローラ128へと通信することができ、コントローラ128は、波形から1つ又は複数の制御パラメータを決定することができる。コントローラ128は、制御パラメータをPVWG150へと通信し、PVWG150は、決定された制御パラメータに基づいて、PVWG150によって出力されたパルス状電圧波形を調整する。代替的に、コントローラ128は、制御パラメータをフィードバックプロセッサ125へと通信し、フィードバックプロセッサ125は、PVWG150へと制御パラメータを送信し、PVWG150は、決定された制御パラメータに基づいて、PVWG150によって出力されるパルス状電圧波形を調整する。
[0071]図6A及び6Bは、調整回路及び高速データ収集モジュールを含むデータ収集システムの実施形態を用いて測定された、信号源1B(発生器連結アセンブリの発生器端部)から生じた、入力且つ調整(例えば、分割及びフィルタ処理)された電圧波形の1つの波形サイクルの一部の例を含む。この期間にわたって記録された波形の一部に含まれるデジタル情報をデータ収集コントローラ123によって分析して、振幅(Ampl)、半値全幅(W)、及びoffset等の電圧波形特性を決定することができる。具体的には、図6Aは、入力パルス状電圧波形1401B及び分割波形610の1つの波形サイクルの一部を示す。分割された波形は、入力パルス状電圧波形1401Bを電圧分割することによって生成することができる。例えば、図1Bを参照すると、調整回路1111Bの分圧器は、入力パルス状電圧波形1401Bを分圧する。図6Bは、入力パルス状電圧波形1401B及び出力波形1441Bの1つの波形サイクルの一部を示す。出力波形1441Bは、分割された波形610をローパスフィルタリングすることによって生成され得る(図6A)。様々な実施形態では、出力波形1441Bが、データ収集コントローラ123によって分析され、1つ又は複数の波形特性が決定され得る。
[0072]図7Aは、入力パルス状電圧波形1401Bの複数のサイクルを示す。より具体的には、図7Aは、調整回路及び高速データ収集モジュールを含むデータ収集システムの実施形態を用いて測定された、信号源1B(発生器連結アセンブリの発生器端部)から生じた入力電圧波形の複数サイクル(パルス)の例を含む。図1Bに関して説明されるように、入力パルス状電圧波形1401Bは、入力チャネル1101Bによって受信され、収集チャネル120によって分析され、1つ又は複数の波形特性が決定され得る。この期間にわたって記録された波形に含まれるデジタル情報をデータ収集コントローラ123によって分析して、振幅(Ampl)、オフセット(offset)、パルス周期(T)、(パルス繰返し周波数(f=1/T)等の電圧波形特性を決定することができる。
[0073]図7Bは、入力パルス状電圧波形1401Bの複数のバースト710を示す。各バースト710は、オン時間720及びオフ時間732を含むバースト期間を有する。さらに、入力パルス状電圧波形1401Bの周波数は、バースト周期に基づき、バーストデューティサイクルは、オン時間720及びバースト周期に基づいている。より具体的には、図7Bは、調整回路及び高速データ収集モジュールを含むデータ収集システムの実施形態を用いて測定された、信号源1B(発生器連結アセンブリの発生器端部)から生じる入力パルス状電圧波形の複数バースト(それぞれ複数の波形サイクルを含む)の例を含む。この期間にわたって記録された波形に含まれるデジタル情報をデータ収集コントローラによって分析して、オフセット(offset)、バースト周期(T=Ton+Toff)、バースト周波数(f=1/T)、及びバーストバーストデューティサイクル(Duty=Ton/T)等の電圧波形特性を決定することができる。
プラズマ処理チャンバの実施例
[0074]図8Aは、処理チャンバ800の概略断面図であり、処理チャンバ800内でプラズマ処理中に複合負荷130が形成される。一実施形態によれば、処理チャンバ800は、本明細書で提案されるバイアススキームを実施するように構成されている。一実施形態では、処理チャンバは、反応性イオンエッチング(RIE)プラズマチャンバなどのプラズマ処理チャンバである。他の幾つかの実施形態では、処理チャンバは、プラズマ強化堆積チャンバ(例えば、プラズマ化学気相堆積(PECVD)チャンバ、又はプラズマ強化原子層堆積(PEALD)チャンバ)である。幾つかの他の実施形態では、処理チャンバは、プラズマトリートメントチャンバ、又はプラズマベースのイオン注入チャンバ、例えばプラズマドーピング(PLAD)チャンバである。ここでは、処理チャンバは、高周波(RF)電源に電気的に連結された誘導連結プラズマ源(ICP)を含む。幾つかの実施形態では、プラズマ源は、容量連結プラズマ(CCP)源(例えば、基板支持体に対向する、処理空間内に配置された源電極であって、RF電源に電気的に連結された源電極)である。
[0075]処理チャンバ800は、チャンバリッド823、1つ又は複数の側壁822、及び処理空間826を画定するチャンバベース824を含むチャンバ本体813を特徴とする。チャンバリッド823を通して配置されるガス注入口828は、ガス注入口828と流体連通している処理ガス源819から処理空間826に1つ又は複数の処理ガスを供給するために使用される。ここでは、プラズマ発生器は、処理ガスからの処理プラズマ801を点火し且つ維持するように構成され、処理空間826の外側のチャンバリッド823に近接して配置された1つ又は複数の誘導コイル817を含む。1つ又は複数の誘導コイル817は、RF整合回路830を介してRF電源818に電気的に連結される。プラズマ発生器は、処理ガス、並びに誘導コイル817及びRF電源818によって発生する電磁場を用いて、処理プラズマ801を点火し且つ維持するために使用される。処理空間826は、真空排出口820を通して、1つ又は複数の専用真空ポンプに流体的に連結される。真空排出口820は、処理空間826を準大気状態に維持し、そこから処理ガス及び/又は他のガスを排気する。処理空間826内に配置される基板支持アセンブリ836は、チャンバベース824を貫通して密封的に延在する支持シャフト838上に配置される。
[0076]基板803は、1つ又は複数の側壁822の開口(図示せず)を通して、処理空間826内にローディングされ、そこから取り除かれる。1つ又は複数の側壁822の開口は、基板803のプラズマ処理中に、ドア又はバルブ(図示せず)で密封される。ここで、リフトピンシステム(図示せず)を用いて、基板803が、ESC基板支持体805の受容面へと移送され、該受容面から移送される。
[0077]基板支持アセンブリ836は、支持ベース807、及び支持ベース807に熱的に連結され、支持ベース807上に配置されたESC基板支持体805を含む。典型的には、支持ベース807を使用して、基板処理中、ESC基板支持体805、及びESC基板支持体805上に配置された基板803の温度を調節する。幾つかの実施形態では、支持ベース807は、内部に1つ又は複数の冷却チャネル(図示せず)が配置されており、冷却チャネルは、冷却剤源(図示せず)(例えば、比較的高い電気抵抗を有する冷媒源又は水源)に流体的に連結され、流体連通する。幾つかの実施形態では、ESC基板支持体805は、ヒータ(図示せず)、例えば、その誘電体材料に埋め込まれた抵抗加熱素子を含む。ここで、支持ベース807は、耐食性金属(例えば、アルミニウム、アルミニウム合金、又はステンレス鋼)のような耐食性熱伝導材料で形成され、接着剤又は機械的手段によって基板支持体に連結される。典型的に、ESC基板支持体805は、誘電材料(例えば、耐食性金属酸化物材料又は金属窒化物材料などのバルク焼結セラミック材料)から形成され、これは、例えば、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化チタン(TiO)、窒化チタン(TiN)、酸化イットリウム(Y)、これらの混合物、又はこれらの組合せである。本明細書の実施形態では、ESC基板支持体805は、その誘電体材料に埋め込まれたバイアス電極804をさらに含む。ある構成では、バイアス電極804は、基板803をESC基板支持体805の支持面に固定(チャック)し、本明細書に記載されたパルス状電圧バイアススキームを用いて、基板803を処理プラズマ801に対してバイアスするために使用されるチャッキングポールである。典型的には、バイアス電極804は、1つ又は複数の導電性部品(例えば、1つ又は複数の金属メッシュ、箔、プレート、又はこれらの組合せ)から形成される。ここで、バイアス電極804は、HVM816に電気的に連結される。HVM816は、同軸伝送線線806(例えば、同軸ケーブル)のような電気導体を用いて、約-5000Vから約5000Vの間のチャッキング電圧(例えば、静的DC電圧)をバイアス電極804に供給する。
[0078]支持ベース807は、絶縁プレート811によってチャンバベース824から電気的に絶縁され、接地プレート812が絶縁プレート811とチャンバベース824との間に介在している。幾つかの実施形態では、処理チャンバ800は、石英管810又はカラーをさらに含む。石英管810又はカラーは、基板支持アセンブリ836を取り囲み、ESC基板支持体805及び/又は支持ベース807が、腐食性処理ガス若しくはプラズマ、洗浄ガス若しくはプラズマ、又はそれらの副産物と接触することを防止する。典型的には、石英管810、絶縁プレート811、及び接地プレートは、ライナ808によって外接される。ここでは、ESC基板支持体805の基板受容面とほぼ同一平面上にあるプラズマスクリーン809は、ライナ808と1つ又は複数の側壁822との間の空間内にプラズマが形成されることを防止する。
[0079]バイアス電極804は、ESC基板支持体805の誘電体材料の層によって、ESC基板支持体805の基板受容面、ひいては基板803から離隔されている。この構成では、バイアス電極804と誘電体材料の層とによって、平行プレート状の構造が形成される。該誘電体材料は、約5nFから約50nFの間の実効キャパシタンスを有し得る。典型的には、誘電性材料の層は、約0.1mmから約0.5mmの間など、約0.1mmから約1mmの間(例えば、約0.3mm)の厚さを有する。ここで、バイアス電極804は、伝送線131内に配置される伝送線806などの外部導体を用いて、PVWG150に電気的に連結される。PVWG150及びその構成要素は、本開示の本文において以上で詳細に説明されている。幾つかの実施形態では、誘電体材料及び層の厚さは、誘電体材料の層のキャパシタンスCが、約5nFから約50nFの間(例えば、約7から約10nFの間)であるように選択され得る。
[0080]概して、処理チャンバ800の処理空間826内の中立充填圧力(neutral fill pressure)が低いと、その中に配置された表面間の熱伝導が悪くなり、例えば、ESC基板支持体805の誘電体材料とESC基板支持体805の基板受容面に配置された基板803との間の熱伝導が悪くなって、ESC基板支持体805が基板803を加熱又は冷却する効果が低下する。したがって、幾つかのプロセスでは、熱伝導性の不活性熱伝達ガス、典型的にはヘリウムが、基板803の非デバイス側表面とESC基板支持体805の基板受容面との間にある空間(図示せず)に導入され、これらの面の間の熱伝達を改善する。熱伝達ガス源(図示せず)によって供給される熱伝達ガスは、ガス連通路(図示せず)を通って背面空間へと流れる。ガス連通路は、支持ベース807を通して、さらにESC基板支持体805を通して配置される。
[0081]処理チャンバ800は、処理チャンバコントローラ126をさらに含む。ここでのコントローラ126は、中央処理装置(CPU)833、メモリ834、及び支持回路835を含む。処理チャンバコントローラ126を使用して、基板803を処理するために用いられるプロセスシーケンス(本明細書に記載された基板バイアス方法を含む)を制御する。CPU833は、処理チャンバと関連するサブプロセッサを制御するための、産業環境で使用するように構成された汎用コンピュータプロセッサである。本明細書に記載されたメモリ834は、ランダムアクセスメモリ、読み出し専用メモリ、フロッピー若しくはハードディスクドライブ、又はローカル若しくはリモートの他の適切な形態のデジタルストレージを含み得る。支持回路835は、従来からCPU833に連結されており、キャッシュ、クロック回路、入出力サブシステム、電源等、及びこれらの組み合わせを備える。ソフトウェア命令(プログラム)及びデータは、CPU833内のプロセッサに命令するために、メモリ834内に符号化且つ記憶され得る。処理チャンバコントローラ126内のCPU833によって読み取り可能なソフトウェアプログラム(又はコンピュータ命令)は、どのタスクが処理チャンバ800内の構成要素によって実行可能であるかを決定する。好ましくは、処理チャンバコントローラ126内のCPU833によって読み取り可能なプログラムは、コードを含み、該コードは、プロセッサ(CPU833)によって実行されると、本明細書に記載された電極バイアススキームのモニタリング及び実行に関するタスクを実行する。プログラムは、命令を含んでおり、該命令を用いて、処理チャンバ800内の様々なハードウェア及び電気構成要素を制御して、本明細書に記載された電極バイアススキームを実施するために使用される様々なプロセスタスク及び様々なプロセスシーケンスを実行する。
[0082]PVWG150は、バイアス電極804の使用によって形成されるパルス状電圧波形を負荷(例えば、複合負荷130)上に確立する。PVWG150は、図8A及び図8Bに概略的に示されたナノ秒パルス発生器814及び電流リターン出力段815を含む。ナノ秒パルス発生器814は、所定の速度で内部スイッチを繰り返し開閉することによって、所定の長さの、規則的に繰り返される時間間隔の間、その出力(すなわち、接地への出力)にわたって、所定の実質的に一定の正の電圧を維持する。図8Aは、ナノ秒パルス発生器814の簡略化された、機能的に等価な概略図を示す。図8Aでは、ナノ秒パルス発生器814は、最小限の組み合わせの構成要素に減らされており、これらの構成要素は、バイアス電極804で所望のパルス状電圧波形を確立するにあたってその役割を理解するのに重要である。これらの構成要素には、概して、とりわけ、内部電圧源、高反復率スイッチ、及び還流ダイオードを含む。実際のナノ秒パルス発生器は、任意の数の内部構成要素を含み得、図8Aの回路よりも複雑な電気回路に基づき得ることを理解されたい。その代わり、図8Aの概略図は、ナノ秒パルス発生器814及びその電気回路の構成要素の機能的に等価な図のみを、動作の基本原理、処理空間内のプラズマとの相互作用、及びバイアス電極804においてパルス状電圧波形(例えば、入力パルス状電圧波形140)を確立する際の役割を説明するのに必要とされる程度に提供している。図8Aに示される概略図から判断することができるように、スイッチS1が開(オフ)位置から閉(オン)位置に移動すると、ナノ秒パルス発生器の出力部が、実質的に一定の出力電圧を発生させるその内部電圧源に接続される。1つ又は複数の実施形態では、異なるスナバ回路(snubber circuit)と置換も可能な還流ダイオードの目的は、スイッチS1の開放によって生じ得る電圧スパイクを抑制すること、又は「スナッブ(止める)」することであり、この後、誘導性素子に蓄積される磁気エネルギーが急速に放出される。これらの誘導性素子には、(A)組み合わされたインダクタンスLtransmを有する伝送線806のような外部電気導体、及び(B)ナノ秒パルス発生器814及び電流リターン出力段815を組み合わされたインダクタンスLinternalと接続する内部電気導体を含む、PVWG150の構成要素が含まれる。ナノ秒パルス発生器814は、主に、電荷注入器(電流源)として使用され、定電圧源としては使用されないことがある。したがって、スイッチが閉(オン)位置にあるときでも、出力電圧は時間が経つと変化し得るので、出力電圧の安定性に厳しい要件を課す必要はない。さらに、幾つかの構成では、ナノ秒パルス発生器814は、1つの方向に電流を流すのみ(例えば、出力は、キャパシタを充電することができるが、放電することはできない)なので、基本的に、ソーシングであるが、シンキングサプライ(sinking supply)ではない。加えて、スイッチが開(Off)位置にあるとき、ナノ秒パルス発生器の出力部を流れる電圧Vは、内部電圧源によって制御されず、代わりに、その内部構成要素と他の回路要素との相互作用によって決定される。
[0083]電流リターン出力段815は、一端815Bが接地に接続され、他端815Aが、内部電気導体を介してナノ秒パルス発生器の正の出力部に接続され、それと同時に、発生器連結アセンブリ133の一方の側に連結された外部電気導体に接続される(図1B)。電流リターン出力段815は、抵抗器、直列に接続された抵抗器とインダクタ、スイッチ、又は接地に向かう正の電流の流れを可能にする、より複雑な組合せの電気素子(並列キャパシタを含む)から構成されてもよい。
[0084]伝送線131は、PVWG150の出力部をチャッキングポール(例えば、バイアス電極804)に電気的に接続する。PVWG150の出力部は端815Aであり、ナノ秒パルス発生器814の出力部は、内部電気導体を介して電流リターン出力段815に接続される。発生器連結アセンブリ133のバイアス電極側及びバイアス電極804に接続される伝送線131の電気導体は、(a)インダクタンスLrigidを有する剛性同軸伝送線と直列の、インダクタンスLflexを有する可撓性同軸ケーブルを含み得る同軸伝送線806、(b)絶縁性高圧耐コロナフックアップ線、(c)裸線、(d)金属棒、(e)電気コネクタ、又は(a)~(e)の電気素子の任意の組み合わせを含み得る。内部電気導体は、外部電気導体と同じ基本素子を含み得ることに留意されたい。
[0085]バイアス電極804は、通常、静電チャックに埋め込まれ、且つ誘電体材料の薄い層によってプラズマから分離された金属板である。チャッキングポールは、静電チャック部分(すなわち、ESC基板支持体805)内に埋め込まれたバイアス電極804であり得る。伝送線806のような外部導体、及びバイアス電極804は、接地に対する幾らかの組み合わせ浮遊キャパシタンスCを有する。
[0086]図8Bは、処理空間内のプラズマを含む、本明細書で提案されるパルス状電圧バイアススキームの、機能的に等価で単純化された電気回路840を示す。これらの回路は、パルス状電圧波形発生器(例えば、PVWG150)と処理チャンバ800との相互作用の主な態様をモデル化するために使用され、バイアス電極(例えば、バイアス電極804)においてパルス状電圧波形を確立するにあたっての、動作の基本原理及びパルス状電圧波形発生器の役割を説明し、パルス状電圧波形の種々の位相の間に起こる付帯的な物理現象を説明し、パルス状電圧バイアススキームの動作の基本原理を概して説明する。明確にするために、以下の定義が、本開示全体にわたって使用される。(1)基準が指定されない限り、すべての電位は接地を基準とする。(2)任意の物理点(基板又はバイアス電極等)における電圧は、接地に対するこの物理点の電位(ゼロ電位点)として同様に定義される。(3)カソードシースは、プラズマに対する負の基板電位に対応する電子反発性イオン加速シースであると示唆される。(4)シース電圧(「シース電圧降下」とも呼ばれる)Vshは、プラズマと隣接する面(例えば、基板又はチャンバ壁の面)との間の電位差の絶対値として定義される。(5)基板電位は、プラズマに面する基板表面における電位である。
[0087]最初に、静電チャック内の誘電体層、及びその表面に配置された処理済の基板(例えば、>10nFの静電容量を有する0.3~0.8mm厚のドープされたシリコンスラブ)は、チャッキングポール(例えば、バイアス電極804)をプラズマから分離し、図8Bの回路では、キャパシタンスC(例えば、~7から10nF)を有する単一チャックキャパシタ843(実際には、直列接続された2つのキャパシタである)によって表されている。言い換えれば、基板(典型的に、半導体及び/又は誘電体材料の薄い層から作られる)は、電気的にESC誘電体層の一部であると見なしてもよく、チャックキャパシタンスC(すなわち、アイテム843)を参照するときはいつでも、Cは、ESCと基板(すなわち、C)との組み合わされた直列キャパシタンス(すなわち、CESC(~誘電体層キャパシタンス)であると示唆される。基板キャパシタンスCは、典型的に非常に大きく(>10nF)、又は基板は、導電性(無限容量)であり得るので、直列キャパシタンスは、主に実際のCESCによって決定される。
[0088]第2に、バイアス電極804、PVWG150、及びバイアス電極804をPVWG150と接続する外部電気導体(例えば、伝送線131)は、(A)キャパシタンスC(例えば、~500pF)を有する単一浮遊キャパシタ842によって表される、接地に対する幾らかの組み合わせ浮遊キャパシタンス、並びに(B)幾つかのインダクタンスであって、PVWG150の内部電気導体と他の構成要素のためのインダクタLinternal、及び伝送線806のような外部電気導体のためのインダクタンスLinterconnectとLexternal(すなわち、アイテム845A及び845B)によって表される、幾つかのインダクタンスを有する。電流リターン出力段815は、回路840において、抵抗器Rros(例えば、~150オーム)及びインダクタLROSによって表され、これは、任意選択的にスイッチS2も含んでもよい。
[0089]図8Bに示されるように、PVWG150は、バイパス抵抗器Rbypass、及び電流リターン出力段815と並列に接続される電流感知回路821を含み得る。電流感知回路821は、電流感知抵抗器Rsense(すなわち、アイテム139)、及びパルスの1つ又は複数の位相の間に電流リターン出力段815を流れる電流を感知するように使用され得るスイッチS3を含む。
[0090]第3に、処理空間内のプラズマ全体を3つの直列素子として表す標準型電気プラズマモデルを利用することができる。例えば、基板に隣接する電子反発性カソードシース844(「プラズマシース」又は単に「シース」とも呼ぶこともある)である。カソードシースは、図8Bでは、従来型の3部構成の回路素子によって表され、(a)開いたときにシースの崩壊を表すダイオードDSH、(b)シースの存在下で基板に流れるイオン電流を表す電流源I(例えば、~0.5から5A)、(c)イオン加速及びエッチングが生じるバイアスサイクルの主要期間(~90%)、すなわち、イオン電流相(例えば、短パルスが供給された後の相)のためのシースを表すキャパシタCSH(例えば、高アスペクト比用途の場合、~100から300pF)を含む。
[0091]バルクプラズマ846は、図8Bでは、~5から10オームの単一抵抗器によって表される。チャンバ壁で形成される電子反発性壁シースは、図8Bでは、3部構成の回路素子によって表され、(a)ダイオードD、(b)壁へのイオン電流を表す電流源Iiw(例えば、~5から10A)、及び(c)キャパシタC(例えば、~5から10nF)であって、電子反発性カソードシースがなく、壁シースキャパシタが、ナノ秒パルス発生器によってESCを通して押し出される大電流によって充電されているときの、主にESC再充電相中の壁シースを表す、キャパシタCを含む。カソードシースは、(高電圧のために)壁シースよりもはるかに厚く、壁の全面積は基板の面積よりもはるかに大きいので、C≫CSHであると推定される。接地された金属壁の内部表面は、誘電体材料の薄い層でコーティングされていると考えられ、これは、図8Bでは大きなキャパシタCcoat(例えば、~300から1000nF)によって表される。
[0092]幾つかの実施形態では、図8A及び図8Bに示されるように、システムは、高電圧モジュール(HVM:high-voltage module)816を含む。HVM816は、図8Aに示されるように、基板をESC基板支持体の基板受容面へ「電気的にクランプ」するようなチャッキングに使用される。基板をチャッキングすることにより、基板受容面と基板の非デバイス側との間の間隙をヘリウムガス(He)で充填することが可能となり、これは、両者の間に良好な熱接触をもたらし、ESC基板支持体の温度を調節することで基板温度制御を可能にするために行われる。HVMによって発生したDCチャッキング電圧と、バイアス電極804においてPVWG150によって発生したパルス状電圧とを組み合わせた結果、DCチャッキング電圧に等しいパルス状電圧波形の追加の電圧オフセットが生じる。適切に大きな阻止キャパシタChvm及びRhvm2を選択することによって、HVM816がPVWG150の動作に与える影響を取るに足らない程度にすることができる。抵抗器Rhvm2は、HVM816を伝送線131内のある点に接続する構成要素内に配置された抵抗器を概略的に示す。単純化された電気回路840内の阻止Chvmの主な機能は、PVWG150をDC電源Vhvmによって発生したHVM DC電圧から保護することであり、これにより、HVM DC電圧はChvmにわたって降下し、PVWG150の出力を乱さない。HVM DC電圧のみを阻止しながらも、パルス状バイアス発生器の高周波出力電圧に何ら負荷を与えないように、Chvmの値が選択される。十分に大きなChvm(例えば、40から80nF)を選択することによって、Chvmは、例えば、システム内の任意の他の関連するキャパシタンスよりもはるかに大きく、この素子にわたる電圧降下が、他の関連するキャパシタ(例えば、チャックキャパシタンスCやシースキャパシタンスCSH)にわたる電圧降下と比べて非常に小さいという点で、400kHz信号に対してほぼ透過的である。その代わり、阻止抵抗器Rhvm2の目的は、高周波パルス状バイアス発生器の電圧を阻止し、それがHVM DC電圧供給部内に誘起する電流を最小源にすることである。この阻止抵抗器Rhvm2は、それを流れる電流を効率的に最小化するのに十分な大きさでなければならない。例えば、パルス状バイアス発生器からHVM内への400kHz電流を取るに足らない程度にするには、Rhvm2>1メガオームが、典型的に十分な大きさである。結果として生じる、およそ0.5から1mAの平均誘起電流は、HVM電源の典型的な限度(約5mAのDC電流)よりもはるかに小さい。Chvm1及びRhvm1、並びにRhvm2は、共に、パルス状電圧のための電流抑制/フィルタリング回路を形成し、その結果、パルス状電圧が、HVM816を通して電流を誘起しない。
[0093]幾つかの実施形態では、フィードバックループ100は、PVWG150内の1つ又は複数の点に連結され、又はPVWG150と、発生器連結アセンブリ133内に配置された阻止キャパシタChvmとの間に配置される電気導体に沿って連結される。例えば、フィードバックループ100の1つ又は複数の入力チャネル110は、PVWG150と阻止キャパシタChvmとの間に配置された電気導体に沿った1つ又は複数の点への接続を介して連結される。さらに、幾つかの実施形態では、入力チャネル110のうちの1つ又は複数は、阻止キャパシタChvmと処理チャンバ800内のバイアス電極804との間に配置された電気導体に沿った1つ又は複数の点への接続を介して電気的に連結される。例えば、1つ又は複数の入力チャネル110は、阻止キャパシタChvmと処理チャンバ800内のバイアス電極804との間に配置された電気導体に沿った1つ又は複数の点に電気的に連結される。代替的に、他の実施形態では、入力チャネル110のうちの1つ又は複数は、阻止キャパシタChvmの両側に配置された電気導体に沿った1つ又は複数の点に連結される。例えば、第1の1つ又は複数の入力チャネル110は、PVWG150と阻止キャパシタChvmとの間に配置された電気導体に沿った点に電気的に連結され、第2の1つ又は入力チャネル110は、阻止キャパシタChvmと処理チャンバ800内のバイアス電極804との間に配置された電気導体に沿った点に連結される。
パルス波形の例
[0094]図9Aは、バイアス電極804において確立されたパルス状電圧波形950の一例を示す。図9Aに示されるパルス状電圧波形950は、図9Bに示される基板電圧波形951をもたらし、したがって、プラズマ処理中、基板処理時間の約90%にわたってシース電圧をほぼ一定に維持することを可能ならしめ得る。図9A及び図9Bに示されるパルス状電圧波形950及び951は、概して、図8Bに示される簡略化された電気回路840から発生し得る波形に基づく。図9A及び図9Bに示される波形は、基板のプラズマ処理中に使用され得る、本明細書に記載された方法のうちの1つで使用され得るパルス状電圧波形の簡略化された概略図を示すことのみを意図している。PVWG150によって発生する実際の波形は、かなり複雑であり、図9A及び図9Bには示されていない多数の微小スケールの特徴(例えば、誘導性素子の存在によって引き起こされる高周波振動)を含み得る。しかしながら、幾つかの種類の微小スケールの特徴の例は、図6A及び6B、並びに7A及び7Bで確認することができる。しかしながら、本明細書で提案されるパルス状電圧バイアススキーム及び制御方法によって発生する実際のパルス状電圧波形の概略形状を決定する基礎となる物理現象を理解するためには、これらの微小スケールの特徴は必須ではない。
[0095]図9Aでは、パルス状電圧波形950は、電圧オフセットの上に、周期T(例えば、2.5マイクロ秒)で繰り返される短い正の一連の周期を含む。各周期(繰り返し周期)内の波形には、以下が含まれる。
[0096](1)システムの浮遊キャパシタを充電し、カソードシースを崩壊させるための正電圧の跳ね上がり(すなわち、シース崩壊相961)。シース崩壊相961の間、シースキャパシタCSHは放電され、基板電位が、(図9Bに図示されるように)局所プラズマ電位のレベルにもっていかれる。シース崩壊相961は、ESC再充電相962の間にプラズマから供給される電子によってチャックキャパシタCの急速な再充電を可能にする。スイッチS1(図8B参照)は閉じて、相961の期間、閉(オン)位置に留まり、これにより、PVWG150などのナノ秒パルス発生器が、その出力にわたって実質的に一定の正の電圧を維持し、システムに電流を供給することを可能になる。相961の期間T1は、イオン電流相964の期間T4(以下で説明する)又は全体の周期Tよりもはるかに短く、典型的には、およそ数十ナノ秒(例えば、20から50ナノ秒)である。これは、相961の間のプラズマ電流が電子によって運ばれるためである。すなわち、電子雲が、基板に向かって移動し、イオン空間電荷を徐々に掃引するために、シース電圧降下がなくなる。2つの種の間の非常に大きな質量比のゆえに、電子速度はイオン速度よりもはるかに大きい。
[0097](2)イオン電流相964の間に基板表面に蓄積された全電荷に等しい値及び逆極性の電荷を急速に注入することによって、ESC再充電相962の間に、チャックキャパシタCを再充電すること(以下で説明する)。相961の間と同様に、PVWG150は、その出力にわたって実質的に一定の正の電圧を維持する(スイッチS1は、「オン」位置に留まる)。相961と同様に、相962の期間T2は、イオン電流相964の期間T4(以下で説明する)又は全体の周期Tよりもはるかに短く、典型的には、およそ数十ナノ秒(例えば、30から80ナノ秒)である。これは、相962の間のプラズマ電流も電子によって運ばれるためである。すなわち、カソードシースがない場合、電子が基板に到達し、表面電荷を蓄積し、ひいては、キャパシタCを充電する。
[0098](3)処理チャンバの浮遊キャパシタを放電し、シースを再形成し、シース形成相963の間のシース電圧(VSH)の値を設定するための負の電圧の跳ね上がり(VOUT)。図8BのスイッチS1は、シース形成相963の開始時に開き、誘起性素子は、その蓄積された磁気エネルギーを、チャックキャパシタC及び浮遊キャパシタCへと急速に(例えば、約10ナノ秒以内に)放出する。誘導性素子は、インダクタンスLinternalによって表されるPVWG150の内部構成要素(例えば、内部導体)、並びに回路840内のインダクタンスLinterconnect及びLexternalによって表される外部導体(例えば、伝送線806)を含み得る。磁気エネルギーを解放している間、対応する電流は、環流ダイオード(flyback diode)、又は可能な電圧スパイクを抑制(又は「スナッビング(止める)」)する類似の機能を備えた異なるスナバ回路(snubber circuit)を通って流れる。ここで、環流ダイオード(又は、可能な電圧スパイクを「スナッビング」する類似の機能を有する異なる構成要素)がないと、磁気エネルギーを抵抗電流-リターン出力段を通して解放する必要があり、その結果、ほぼゼロの値に収束する代わりに、数ナノ秒間、R1にわたって非現実的に大きな負電圧(例えば、パルス状バイアス発生器240の内部構成要素を損傷する可能性がある-20kV)が生じることに留意されたい。磁気エネルギーが解放され、インダクタンスLinterconnect及びLexternal(並びにLinternal)を通る電流がゼロに降下すると、それは方向を反転させ、プラズマ及び浮遊キャパシタから、電流-リターン出力段を通して接地へと流れ(環流ダイオードは、逆バイアスされ、それ自体を通る電流の流れを遮断する)、ひいては、浮遊キャパシタCを放電し、シースキャパシタCshを充電する(すなわち、シースを再形成する)。シース形成の開始(Cshの充電)は、図9Bでは、基板電位が局所プラズマ電位未満に低下し始める点として明確に識別することができる。相961と同様に、相963の期間T3は、イオン電流相964(以下で説明する)の期間T4よりも、又は全周期Tよりもはるかに短く、典型的にはおよそ100から300ナノ秒である。これは、相963の間のプラズマ電流が、同様に電子によって運ばれるからである。すなわち、電子雲が、基板から離れて移動し、徐々にイオン空間電荷を露出させ、ひいては、シースを形成し、シース電圧降下を生じさせる。(1)T3は、主に浮遊キャパシタンスと、電流-リターン出力段を含む素子(例えば、抵抗器)の値によって決まることと、(2)負電圧の跳ね上がりVOUT及び確立されたシース電圧VSHは、V(相961~962の間のナノ秒パルス発生器出力電圧の大きさ)、及び全パルス幅、Ttot=Trise+Tp=T+Tによって決まることに留意されたい。
[0099](4)PVWG150が、同様に、その出力にわたって正の電圧を維持せず(スイッチS1がオフ位置に留まる)、イオン電流が、電流-リターン出力段を通ってプラズマから接地へと流れる期間T4を有する長い(サイクル期間Tの約85~90%)イオン電流相964。イオン電流は、基板表面に正電荷の蓄積を生じさせ、シース及びチャックキャパシタを徐々に放電し、シース電圧降下をゆっくり減少させ、基板電位をゼロに近づける。これは、図9Bに示される基板電圧波形951における電圧ドループΔVSHをもたらす。発生するシース電圧ドループの故に、パルス状電圧波形950は、上記(1)~(3)で説明した次のサイクルに移る必要があり、この間に、PVWG150は、イオン電流相中に蓄積された電荷を除去(又は初期ESC電荷を回復)し、所望のシース電圧VSHを再度確立する。電子反発性カソードシース及びバルクプラズマからの不平衡正味電流(イオン電流に等しい)があると必ず表面電荷及びシース電圧ドループが蓄積することに留意されたい。これは、電子を反発させて基板から離すシース電界の故に、バルクプラズマからのイオン電流が、バルクプラズマからの電子電流によって平衡化されないからである。したがって、表面電荷蓄積及び電圧ドループ発生は、最初から非ゼロシース電圧ドループが存在するシース形成相963の間にも起きる。
[00100]上記(1)~(4)から分かるように、パルス状電圧波形(例えば、パルス状電圧波形950)の単一電圧パルスを構成する「電子電流」相961~963の組み合わされた期間は、約200から400ナノ秒であり、これは、約10から15%の比較的短いデューティサイクルに対応する。このパルス状電圧波形950の短いデューティサイクル特性は、すべてのプラズマにおいて典型的であるイオン対電子の大きな質量比の結果である。したがって、本明細書で説明するパルス状電圧バイアススキームでは、PVWG150は、各サイクルの短い時間の間だけプラズマと能動的に相互作用し、それにより、残りの時間にわたってカソードシースが自然に発達することが可能になる。基本的なプラズマ特性を効果的に使用することにより、このバイアススキームは、処理時間の最大90%までほぼ一定のシース電圧を維持することを可能にし、単一ピークIEDF(例えば、図9CのIEDF970)をもたらす。逆に、従来のバイアススキームでは、印加されたRF電圧が、RF期間全体を通してカソードシースを調節し、ひいては、全期間にわたってシース電圧ドループ過度に変化させ、結果的にデュアルピークIEDFがもたらされる。
[00101]本明細書に記載されたパルス状電圧バイアススキームは、図9Bに示す基板電圧波形951のような特定の基板電圧波形の維持を可能にし、これは、負電圧オフセット972の上の、短い正のパルス971の一連の周期であると説明することができる。各パルス(T5=T1+T2+T3の全期間を有する)の間、基板電位は局所プラズマ電位に達し、シースは短時間崩壊する。しかしながら、各サイクル(サイクル期間Tを有する)の約90%で、シース電圧ドループは、ほぼ一定のままであり、最も負の基板電位VSH(図9B)の絶対値にほぼ等しく、したがって、基板表面における平均イオンエネルギーが決定される。バイアスサイクルのシース崩壊相961の間、ナノ秒パルス発生器(例えば、814)からの電流は、比CSH/Cにほぼ従って、並列接続された処理プラズマと浮遊キャパシタCとの間で分割され、あまり重要ではない。上記の理由により、また、Cが概して非常に大きいため、相961の間に壁シースにわたって蓄積する電圧ドループは比較的小さい。その結果、壁シース電圧ドループと、壁誘電体コーティングにわたって予想される小さな電圧ドループとの和に等しい壁の近傍のプラズマ電位Vは、ゼロに近いままである。したがって、壁の近傍のプラズマ電位と、バルクプラズマにわたる電圧ドループとの和に等しい局所(基板の近傍の)プラズマ電位Vplは、主に後者によって決定され、ゼロよりわずかに上の値に増加する。その代わり、ESC再充電相962の間、電子反発性カソードシースは存在せず、壁シースキャパシタは、PVWG150によってESCを通して押し出される大電流によって、実質的な電圧(例えば、数百ボルト)に充電される。壁の近傍のプラズマ電位の増加と、(同じ大電流によって引き起こされた)バルクプラズマにわたる同等に大きな電圧ドループの存在とのゆえに、局所(基板の近傍の)プラズマ電位Vpl、及び基板電位Vsubは、確立されたシース電圧VSHの約3分の1までの実質的な増加を経験する。最後に、シース形成相963の間、処理プラズマを通る電流は、再び(相961にように)CSH/C比によって決定され、バルクプラズマにわたって結果として生じる電圧降下と同様に、比較的小さい(また急速に減衰する)。したがって、局所的(基板の近傍の)プラズマ電位は、壁の近傍のプラズマ電位にほぼ等しいままであり、壁シースが主にイオン電流によってチャンバ壁に放電されるので、両者は、相963の終了時に近づくにつれてゼロに近い値に緩和する。相961~963の間の局所プラズマ電位の乱れの結果、確立されたシース電圧VSHは、相963の終了時の基板電圧波形951における全体的な負の跳ね上がりの~75%のみを構成する。負の跳ね上がりV’SHは、(ほぼ無限のCとほぼゼロのRplでのみ達成可能な)所与のV及びTtotに対する最大シース電圧を定義し、パルス状電圧波形950、又はV’SH~VOUTにおける負の跳ね上がりに近い。後者の理由としては、相963の間、チャックキャパシタは、その初期電荷のわずかな部分(∝CSH/C≪1)のみをシースに伝達し、ひいては、電極と基板との間にほぼ一定の電位差を維持することが挙げられる。関係VSH/VOUT~0.75~0.8を実際に使用して、測定されたVOUTからVSHを推定することができる。
[00102]本明細書及び以下でさらに説明されるように、本明細書で提供される開示の実施形態のうちの1つ又は複数では、フィードバックループ100及びそれを使用する方法は、PVWG150の出力を検出且つ調整して、望ましい波形特性を有するパルス状電圧波形(例えば、パルス状電圧波形950及び/又は基板電圧波形951)を達成するために提供される。検出且つ調整され得る上述のパルス波形特性に加えて、さらに他のパルス波形特性を検出且つ調整することができ、他のパルス波形特性には、例えば、パルス相の1つ又は複数の間のパルス波形の形状又は勾配、相のうちの1つ又は複数の周期(例えば、T1、T2、T3、T4、及びT5)、及びパルス波形の他のフィーチャが含まれてもよい。
方法の実施例
[00103]図10は、1つ又は複数の実施形態に係る、パルス状電圧波形を処理するための方法1000のフロー図である。動作1010では、入力パルス状電圧波形140が、入力チャネル110内に見出された構成要素によって処理され、出力波形144が形成される。入力チャネル110の一構成では、入力パルス状電圧波形は、第1の分圧比を使用して分割され、第1の分割電圧波形が生成される。例えば、入力チャネル110が、入力パルス状電圧波形1401Bを取得し、入力チャネル110の調整回路1111Bの分圧器112が、入力パルス状電圧波形を受信し、第1の分割電圧波形を生成する。
[00104]動作1020では、分圧器112から来る分割電圧波形が、ローパスフィルタリングされ、フィルタリングされた電圧波形が生成される。一実施例では、入力チャネル110のローパスフィルタ114は、分圧器112から第1の分割電圧波形を受信し、フィルタリングされた電圧波形を生成し、次いで、出力波形1441Bを形成する。動作1020は、任意選択であってもよく、方法1000から除外されてもよい。さらに、方法1000の幾つかの実施形態では、動作1020は、動作1010が省略されている間に実行されてもよい。
[00105]動作1010及び/又は1020が実行された後、且つ出力波形1441Bが各入力チャネル110によって生成された後、動作1030が実行される。動作1030では、入力チャネル110などの各入力チャネルから受信され、そのそれぞれの収集チャネル122によって処理された1つ又は複数の波形特性が、データ収集コントローラ123で実行されるアルゴリズムによって決定される。例えば、収集チャネル122は、入力チャネル110から出力波形を受信し、アルゴリズムは、出力波形1441Bから1つ又は複数の波形特性を決定する。
[00106]幾つかの実施形態では、動作1030の間、それぞれの入力チャネル110からの出力波形144が、対応する収集チャネル122にそれぞれ連結されたドライバ(図示せず)によって受信される。一実施例では、入力チャネル110からの出力波形1441Bは、収集チャネル122のドライバによって受信される。ドライバを使用して、入力チャネル110から受信した出力波形を差動信号に変換する。この構成では、差動信号は、次いで、収集チャネル122に連結されているか又はその内部にあるADC(図示せず)によって受信される。ADCは、差動信号をアナログ領域からデジタル領域へと変換し、ADCの出力デジタル信号が、収集チャネル122に連結されたプロセッサ121へと供給される。データ収集コントローラ123のプロセッサは、ADCから供給された出力デジタル信号を分析することによって、出力波形の1つ又は複数の波形特性を決定する。例えば、プロセッサ121は、出力デジタル信号を分析して、入力チャネル110から受信した出力波形144内のパルスの振幅、パルス幅、及びDCオフセットのうちの1つ又は複数を決定する。
[00107]動作1030は、対応する入力チャネルからある期間にわたって受信した測定値を組み合わせることをさらに含み得る。例えば、データ収集コントローラ123は、第1の期間にわたって収集チャネル122から「Z」個のデジタル化波形を受信し得る。ここで、Zは、2以上に等しい整数である。第1の期間は、入力パルス状電圧波形の「M」回のサイクルに対応し得る。ここで、Mは、1以上に等しい整数である。収集チャネル122は、Z個の出力波形を組み合わせることができる。例えば、収集チャネル122は、Z個の出力波形に対応するデータを平均化し得る。
[00108]動作1030は、データ収集コントローラ123が、1)調整電圧波形の決定された1つ又は複数の波形特性に関する情報をコントローラ(例えば、フィードバックプロセッサ125のコントローラ)へと送信することと、2)収集チャネルによって生成された第2のデジタル化電圧波形に関する情報を第2のコントローラ(例えば、コントローラ126、127、128、又は191)へと送信すること、のうちの少なくとも1つを実行することをさらに含み得る。
[00109]動作1040では、1つ又は複数の入力チャネル110から受信した1つ又は複数の波形特性から1つ又は複数の制御パラメータが生成される。例えば、データ収集コントローラ123は、1つ又は複数の波形特性に対応する情報をフィードバックプロセッサ125へと送信し、フィードバックプロセッサ125は、1つ又は複数の波形特性から1つ又は複数の制御パラメータを生成する。以前の入力チャネルの構成例をまた参照すると、動作1040の一実施例では、プロセッサは、所望の動作1010から1030が実行された後、入力チャネル110、110、及び110から出力波形を受信し、収集チャネル122、122、及び122によってそれぞれ受信且つ処理された波形から導出された1つ又は複数の波形特性に対応する情報をフィードバックプロセッサ125へと送信する。次いで、フィードバックプロセッサ125は、1つ又は複数の受信した波形特性から1つ又は複数の制御パラメータを生成し得る。一実施形態では、1つ又は複数の制御パラメータは、フィードバックプロセッサ125のメモリ又はフィードバックプロセッサ125に連結されたメモリに記憶された、受信波形特性と目標波形特性との間の比較に基づいて、DC充電電圧を調整し、パルス幅を調整し、及びパルス状電圧波形の振幅を調整する指示を含み得る。記憶された目標波形特性は、事前の瞬間においてフィードバックループ100によって生成された波形特性、ある期間にわたってフィードバックループ100によって生成された一連の波形特性の平均、ユーザによって生成されメモリに入力された理想化された波形特性(例えば、モデルベースの波形特性)、又は他の望ましい手段によって生成された波形特性であってもよい。
[00110]代替的に又は追加的に、データ収集コントローラ123は、1つ又は複数の波形特性に対応する情報を別個のコントローラ(例えば、コントローラ127、コントローラ128、コントローラ191、及び/又は処理チャンバコントローラ126)に通信することができ、別個のコントローラは、1つ又は複数の波形特性から1つ又は複数の制御パラメータを生成する。同様に、一実施形態では、コントローラによって生成された1つ又は複数の制御パラメータは、例えば、パルス幅を調整するための指示を含み得、受信した波形特性とコントローラのメモリに記憶された目標波形特性との比較に基づいて、パルス状電圧波形の振幅を調整する。記憶された目標波形特性は、事前の瞬間においてフィードバックループ100によって生成された波形特性、ある期間にわたってフィードバックループ100によって生成された一連の波形特性の平均、ユーザによって生成されメモリに入力された理想化された波形特性(例えば、モデルベースの波形特性)、又は他の望ましい手段によって生成された波形特性であってもよい。
[00111]動作1050では、フィードバックプロセッサ125又は別個のコントローラは、1つ又は複数の制御パラメータに対応する情報をPVWG150へと送信する。さらに、幾つかの実施形態では、データ収集コントローラ123は、1つ又は複数の波形特性に対応する情報をコントローラ128へと通信することができ、コントローラ128は、決定された波形特性と、1つ又は複数の目標波形特性に対応する情報との比較に基づいて、1つ又は複数の制御パラメータを生成する。したがって、一実施形態では、動作1050では、コントローラ128は、1つ又は複数の制御パラメータに対応する情報をPVWG150及び/又は別のコントローラへと送信する。
[00112]動作1060の間、調節パルス状電圧波形は、受信した1つ又は複数の制御パラメータに基づいて、PVWG150から供給される。例えば、PVWG150は、バイアス電極804に供給される調整されたパルス状電圧波形を生成する。
[00113]動作1070では、任意選択的に、プラズマ処理チャンバ処理変数を個別に又は追加で調整する。プラズマ処理チャンバ処理変数は、チャッキング電源のための設定点を含み得る。例えば、チャッキング電源(例えば、HVM816)のための設定点を調整することは、チャッキング電源によって出力されるチャッキング電圧を増加又は減少させることを含み得る。チャッキング電源のための設定点の調整は、PVWG150によって生成される後続のパルス状電圧波形のDCオフセットを調整することになる。チャッキング電源のための設定点は、約-5000Vから約5000Vの間のDC電圧であるように調節され得る。幾つかの実施形態では、方法1000は、動作1060を省略してもよい。
[00114]方法1000の幾つかの実施形態では、望ましいパルス波形特性(例えば、目標波形特性)を有するパルス状電圧波形を達成するまで、調節パルス状電圧波形を連続的に実行する。幾つかの実施形態では、1つの波形サイクル内のパルス状電圧波形の1つ又は複数の目標波形特性に到達するまで、動作1010から1050又は動作1010から1060を複数回実行する。例えば、コントローラ128及び/又はフィードバックプロセッサ125は、データ収集コントローラ123によって決定された更新された波形特性に基づいて、1つ又は複数の制御パラメータを変更することができる。更新された波形特性は、入力チャネル110のうちの1つ又は複数によって収集された入力パルス状電圧波形を連続的に処理することによって収集される。一実施例では、パルス幅及び/又は振幅が、データ収集コントローラ123に連結されたフィードバックプロセッサのメモリ又はメモリに記憶された対応する目標値に到達するまで、パルス幅及び/又は振幅を増加させてもよい。さらに、DCオフセット電圧の最大限度に到達するまで、制御パラメータの1つ又は複数を変更することによって、調整されたパルス状電圧波形を継続的に調整することができる。例えば、最大DCオフセット電圧に到達するまで、1つ又は複数の制御パラメータを連続して変化されてもよい。
[00115]幾つかの実施形態では、調整されたパルス状電圧波形を確立することは、アルゴリズム収束のための最大時間限度に到達するまで、制御パラメータのうちの1つ又は複数を変更することを含む。例えば、フィードバックプロセッサ125は、PVWG150が、目標波形特性のうちの1つ又は複数を有する調整されたパルス状電圧波形を生成するのにどれくらいの時間を要するかをモニタリングする。PVWG150が、ある時間限度内に目標パルス状波形特性を達成可能な調整されたパルス状電圧波形を生成することに失敗した場合、フィードバックプロセッサ125は、PVWG150に、別の波形特性を変更するように指示することがある。加えて、又は代替的に、調整されたパルス状電圧波形を確立することは、パルス状電圧波形のパルス幅の事前に決定された最大限度に到達するまで、制御パラメータのうちの1つ又は複数を変更することを含む。さらに、調整されたパルス状電圧波形を確立することは、パルス状電圧波形のパルス幅の最小限度に到達するまで、制御パラメータのうちの1つ又は複数を変更することを含む。パルス幅の時間限度及び最大限度は、概して、メモリ(例えば、メモリ124又は126A)に記憶され、1つ又は複数の入力チャネル110によって受信された1つ又は複数のパルス状電圧波形を比較するためにプロセッサ(例えば、フィードバックプロセッサ125又はコントローラ128)によって引き出された、事前に決定された値を含む。
[00116]図11は、1つ又は複数の実施形態に係る、パルス状電圧波形を制御するための方法1100を示すフロー図である。動作1110では、出力波形144は、第1の入力チャネル110によって生成される。例えば、入力チャネル110は、入力パルス状電圧波形1401Bを取得し、入力パルス状電圧波形1401Bから出力波形1441Bを生成する。入力チャネル110は、分圧器112及びローパスフィルタ114を含み得、第1の出力波形を生成することは、分圧器112で入力パルス状電圧波形から分割電圧波形を生成することと、ローパスフィルタ114で分圧波形をローパスフィルタリングすることにより、フィルタリングされた電圧波形を生成することを含む。別の実施例では、入力チャネル110は、ローパスフィルタ114を除外し、出力波形を生成することは、分圧器112で入力パルス状電圧波形から分割電圧波形を生成することを含む。
[00117]動作1120では、第2の出力波形が、第2の入力チャネル110によって生成される。例えば、入力チャネル110は、入力パルス状電圧波形140を取得し、入力パルス状電圧波形140から出力波形144を生成する。入力チャネル110は、分圧器112及びローパスフィルタ114を含み得、出力波形144を生成することは、分圧器112で入力パルス状電圧波形から分割電圧波形を生成することと、ローパスフィルタ114で分圧波形をローパスフィルタリングすることにより、フィルタリングされた電圧波形を生成することを含む。
[00118]動作1130では、第3の出力波形が、第3の入力チャネル110によって生成される。例えば、一実施形態では、入力チャネル110は、入力パルス状電圧波形140を取得し、入力パルス状電圧波形140から出力波形144を生成する。入力チャネル110は、ローパスフィルタ114を含み得、出力波形144を生成することは、ローパスフィルタ114の使用によって入力パルス状電圧波形140をローパスフィルタリングすることによって形成されるフィルタリングされた電圧波形を生成することを含む。
[00119]動作1140では、1つ又は複数の波形特性が決定される。例えば、収集チャネル122、122、及び122は、入力チャネル110、110、及び110からそれぞれの出力波形144、144、及び144を受信し、出力波形144、144、及び144から受信した様々な種類の電圧波形情報に基づいて、1つ又は複数の波形特性を決定するために、出力波形をデータ収集コントローラ123に供給する。幾つかの実施形態では、収集チャネル122によって決定された1つ又は複数の波形特性は、収集チャネル122によって決定された1つ又は複数の波形特性とは異なり、収集チャネル122によって決定された1つ又は複数の波形特性は、収集チャネル122及び収集チャネル122によって決定された1つ又は複数の波形特性とは異なる。さらに、一実施例では、収集チャネル122と組み合わせたデータ収集コントローラ123は、対応する測定波形から振幅(Ampl)及びパルス幅Wを決定し、収集チャネル122と組み合わせたデータ収集コントローラ123は、対応する測定波形からイオン電流オフセットを決定し、収集チャネル122と組み合わせたデータ収集コントローラ123は、対応する受信出力波形から最大イオン電流を決定する。
[00120]動作1150では、1つ又は複数の制御パラメータは、出力波形144、144、及び144内で受信された情報に基づいて、データ収集コントローラ123によって決定される波形特性から生成される。例えば、データ収集コントローラ123は、1つ又は複数の波形特性に対応する情報をフィードバックプロセッサ125(又は別個のコントローラ)へと送信し、フィードバックプロセッサ125(又は別個のコントローラ)は、1つ又は複数の決定された波形特性から1つ又は複数の制御パラメータを生成する。動作1150の間、フィードバックプロセッサ125は、決定された1つ又は複数の波形特性を受信し、次いで、1つ又は複数のアルゴリズムを使用することによって、1つ又は複数の受信された波形特性に基づいて、1つ又は複数の制御パラメータを生成する。一実施形態では、1つ又は複数の制御パラメータは、2つ以上の異なる入力チャネルから受信された波形特性と、フィードバックプロセッサ125のメモリ又はフィードバックプロセッサ125に連結されたメモリに記憶された目標波形特性との比較に基づいて、DC充電電圧を調整し、パルス幅を調整し、パルス状電圧波形の振幅を調整するための指示を含み得る。
[00121]動作1050について上述したのと同様に、動作1160では、フィードバックプロセッサ125は、生成された制御パラメータに対応する情報をPVWG150へと送信する。
[00122]さらに、動作1170は、概して、動作1060と同様であり、したがって、調節パルス状電圧波形は、受信された1つ又は複数の制御パラメータに基づいて、PVWG150から供給される。例えば、PVWG150は、バイアス電極804に供給される調整されたパルス状電圧波形を生成する。加えて、動作1170では、チャッキング電源のための設定点も任意選択的に調整される。
[00123]方法1100の幾つかの実施形態では、動作1110~1170は、望ましいパルス波形特性(例えば、目標波形特性)を有する調整されたパルス状電圧波形が達成されるまで、複数回実行される。幾つかの実施形態では、動作1110~1170は、1つの波形サイクル内のパルス状電圧波形の1つ又は複数の目標波形特性に到達するまで、複数回実行される。
[00124]以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び追加の実施形態を考案してもよい。本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (31)

  1. データ収集システムを備えた、パルス状電圧波形を制御するためのフィードバックループであって、
    第1の入力電圧波形から第1の調整電圧波形を生成するように構成された第1の調整回路を含む第1の入力チャネル、及び
    高速データ収集モジュールであって、
    前記第1の入力チャネルの前記第1の調整回路に電気的に連結され、前記第1の調整電圧波形から第1のデジタル化電圧波形を生成するように構成された第1の収集チャネルと、
    前記第1のデジタル化電圧波形を分析することによって、前記第1の調整電圧波形の1つ又は複数の波形特性を決定するように構成されたデータ収集コントローラと
    を含む高速データ収集モジュール
    を備えている、フィードバックループ。
  2. 前記高速データ収集モジュールによって処理された前記第1の調整電圧波形に関する情報を処理するように構成されたフィードバックプロセッサをさらに備えている、請求項1に記載のフィードバックループ。
  3. 前記フィードバックプロセッサが、データ通信インターフェースを介して前記高速データ収集モジュールに接続された外部プロセッサ、前記高速データ収集モジュール内に統合された内部プロセッサ、又は前記データ通信インターフェースを介して前記高速データ収集モジュールに接続された、基板処理チャンバのためのコントローラのうちの1つである、請求項2に記載のフィードバックループ。
  4. 前記パルス状電圧波形が、プラズマ処理チャンバ内に配置された基板支持アセンブリ内に配置されたバイアス電極に電気的に連結されたパルス状電圧波形発生器によって確立される、請求項1に記載のフィードバックループ。
  5. 前記パルス状電圧波形発生器が、発生器連結アセンブリを使用して、電気導体を介して前記バイアス電極に電気的に連結され、前記第1の入力チャネルの入力端が、前記発生器連結アセンブリの端部に電気的に連結されている、請求項4に記載のフィードバックループ。
  6. 命令を含むメモリをさらに備え、前記命令は、前記高速データ収集モジュールによって実行されると、前記高速データ収集モジュールに、前記第1の調整電圧波形を処理させ、前記第1の調整電圧波形の1つ又は複数の波形特性を決定させる、請求項1に記載のフィードバックループ。
  7. 命令を含むメモリを備えたフィードバックプロセッサをさらに備え、前記命令は、前記フィードバックプロセッサによって実行されると、前記フィードバックプロセッサに、前記第1の調整電圧波形の決定された前記1つ又は複数の波形特性を使用して、1つ又は複数の制御パラメータを生成させる、請求項6に記載のフィードバックループ。
  8. 前記フィードバックプロセッサによって実行される前記命令は、前記フィードバックプロセッサに、生成された前記1つ又は複数の制御パラメータに関する情報をパルス状電圧波形発生器へと送信させるようにさらに構成されている、請求項7に記載のフィードバックループ。
  9. 前記パルス状電圧波形発生器が、命令を含むメモリをさらに備え、前記命令は、前記パルス状電圧波形発生器によって実行されると、前記パルス状電圧波形発生器に、前記生成された1つ又は複数の制御パラメータに基づいて、調整されたパルス状電圧波形を確立させる、請求項8に記載のフィードバックループ。
  10. 前記第1の入力電圧波形が、調整された第1の入力電圧波形であり、前記フィードバックプロセッサによって実行される前記命令は、前記フィードバックプロセッサに、
    前記第1の調整電圧波形の決定された前記1つ又は複数の波形特性がそれらの目標値又は限度に到達、
    DC充電電圧の最大限度に到達、
    最大電力限度に到達、
    アルゴリズム収束の最大時間限度に到達、
    パルス幅の最大限度に到達、及び
    パルス幅の最小限度に到達
    の少なくとも1つまで、前記1つ又は複数の制御パラメータを生成させるようにさらに構成されている、請求項9に記載のフィードバックループ。
  11. 前記高速データ収集モジュールによって実行される前記命令は、前記高速データ収集モジュールに、
    前記第1の調整電圧波形の決定された前記1つ又は複数の波形特性に関する情報を第1のコントローラへと送信すること、及び
    前記第1のデジタル化電圧波形に関する情報を第2のコントローラへと送信すること
    のうちの少なくとも1つをさせるようにさらに構成されている、請求項6に記載のフィードバックループ。
  12. 前記第1のコントローラが、基板処理チャンバのためのコントローラであり、前記第1のコントローラが、前記第1の調整電圧波形の決定された前記1つ又は複数の波形特性に関する情報に基づいて、前記基板処理チャンバのためのチャッキング電源のための設定点を調整するようにさらに構成されている、請求項11に記載のフィードバックループ。
  13. 前記第1の入力チャネルの前記第1の調整回路が、第1の分圧器を備えている、請求項1に記載のフィードバックループ。
  14. 前記第1の分圧器が、第1の分圧器カスケード、及び第2の分圧器カスケードを備えている、請求項13に記載のフィードバックループ。
  15. 前記第1の分圧器カスケードが、約1対10から約1対100の範囲の分圧比を有し、前記第2の分圧器カスケードが、約1対20から約1対120の範囲の分圧比を有する、請求項14に記載のフィードバックループ。
  16. 前記第1の入力チャネルの前記第1の調整回路が、第1のローパスフィルタを備えている、請求項1に記載のフィードバックループ。
  17. 前記第1のローパスフィルタが、第1のフィルタカスケード及び第2のフィルタカスケードを備え、前記第1のローパスフィルタが、プラトー及びカットオフ周波数を含む周波数応答曲線を有する、請求項16に記載のフィードバックループ。
  18. 前記プラトーが、1MHzから約7MHzの間であり、前記カットオフ周波数が、約5MHzから約10MHzの範囲内である、請求項17に記載のフィードバックループ。
  19. データ収集システムを備えた、パルス状電圧波形を制御するためのフィードバックループであって、
    第1の入力電圧波形から第1の調整電圧波形を生成するように構成された第1の調整回路を備えた第1の入力チャネル、
    第2の入力電圧波形から第2の調整電圧波形を生成するように構成された第2の調整回路を備えた第2の入力チャネル、及び
    高速データ収集モジュールであって、
    前記第1の入力チャネルの前記第1の調整回路に電気的に連結され、前記第1の調整電圧波形から第1のデジタル化電圧波形を生成するように構成された第1の収集チャネルと、
    前記第2の入力チャネルの前記第2の調整回路に電気的に連結され、前記第2の調整電圧波形から第2のデジタル化電圧波形を生成するように構成された第2の収集チャネルと、
    前記第1のデジタル化電圧波形及び第2のデジタル化電圧波形のうちの少なくとも1つを分析することによって、前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの1つ又は複数の波形特性を決定するように構成されたデータ収集コントローラと
    を備えた高速データ収集モジュール
    を備えている、フィードバックループ。
  20. 前記パルス状電圧波形が、プラズマ処理チャンバ内に配置された基板支持アセンブリ内に配置されたバイアス電極に電気的に連結されたパルス状電圧波形発生器によって確立される、請求項19に記載のフィードバックループ。
  21. 前記パルス状電圧波形発生器が、発生器連結アセンブリを使用して、電気導体を介して前記バイアス電極に電気的に連結され、前記第1の入力チャネルの入力端が、前記発生器連結アセンブリの端部に電気的に連結されている、請求項20に記載のフィードバックループ。
  22. 前記パルス状電圧波形発生器が、発生器連結アセンブリを使用して、電気導体を介して前記バイアス電極に電気的に連結され、前記第2の入力チャネルの入力端が、電流モニタの出力部及び電流感知抵抗器の非接地端のうちの1つに電気的に連結され、前記電流モニタが、前記電気導体に流れる電流を感知するように構成され、前記電流感知抵抗器が、前記パルス状電圧波形発生器内に配置される、請求項20に記載のフィードバックループ。
  23. 命令を含むメモリをさらに備え、前記命令は、前記高速データ収集モジュールによって実行されると、前記高速データ収集モジュールに、前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つを処理させ、前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの前記1つ又は複数の波形特性を決定させる、請求項19に記載のフィードバックループ。
  24. 命令を含むメモリを備えたフィードバックプロセッサをさらに備え、前記命令は、前記フィードバックプロセッサによって実行されると、前記フィードバックプロセッサに、前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの決定された前記1つ又は複数の波形特性を使用して、1つ又は複数の制御パラメータを生成させる、請求項23に記載のフィードバックループ。
  25. 前記フィードバックプロセッサによって実行される前記命令は、前記フィードバックプロセッサに、生成された前記1つ又は複数の制御パラメータに関する情報をパルス状電圧波形発生器へと送信させるようにさらに構成されている、請求項24に記載のフィードバックループ。
  26. 前記パルス状電圧波形発生器が、前記命令を含むメモリをさらに備え、前記命令は、前記パルス状電圧波形発生器によって実行されると、前記パルス状電圧波形発生器に、前記生成された1つ又は複数の制御パラメータに基づいて、調整されたパルス状電圧波形を確立させる、請求項25に記載のフィードバックループ。
  27. 前記第1の入力電圧波形及び前記第2の入力電圧波形が、調整された入力電圧波形であり、前記フィードバックプロセッサによって実行される命令は、前記フィードバックプロセッサに、
    前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの決定された前記1つ又は複数の波形特性がそれらの目標値又は限度に到達、
    DC充電電圧の最大限度に到達、
    最大電力限度に到達、
    アルゴリズム収束の最大時間限度に到達、
    パルス幅の最大限度に到達、及び
    パルス幅の最小限度に到達
    の少なくとも1つまで、前記1つ又は複数の制御パラメータを生成させるようにさらに構成されている、請求項26に記載のフィードバックループ。
  28. 前記高速データ収集モジュールによって実行される前記命令は、前記高速データ収集モジュールに、
    前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの決定された前記1つ又は複数の波形特性に関する情報を第1のコントローラへと送信すること、及び
    前記第1のデジタル化電圧波形及び前記第2のデジタル化電圧波形のうちの少なくとも1つに関する情報を第2のコントローラへと送信すること
    のうちの少なくとも1つをさせるようにさらに構成されている、請求項27に記載のフィードバックループ。
  29. 前記第1のコントローラは、基板処理チャンバのためのコントローラであり、前記第1のコントローラが、前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの決定された前記1つ又は複数の波形特性に関する前記情報に基づいて、前記基板処理チャンバのためのチャッキング電源のための設定点を調整するようにさらに構成されている、請求項28に記載のフィードバックループ。
  30. 下記のうち少なくとも1つを満たす、請求項19に記載のフィードバックループ、
    (i)前記第1の入力チャネルの前記第1の調整回路が、第1の分圧器及び第1のローパスフィルタのうちの少なくとも1つを含む、
    (ii)前記第2の入力チャネルの前記第2の調整回路が、第2の分圧器及び第2のローパスフィルタのうちの少なくとも1つを含む。
  31. 前記第1の入力チャネルの前記第1の調整回路は、第1の分圧器を備え、
    前記第2の入力チャネルの前記第2の調整回路が、第2の分圧器を備え、
    前記第1の分圧器の分圧比が、前記第2の分圧器の分圧比と異なる、請求項19に記載のフィードバックループ。
JP2021542106A 2019-01-22 2020-01-21 パルス状電圧波形を制御するためのフィードバックループ Active JP7451540B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962795545P 2019-01-22 2019-01-22
US62/795,545 2019-01-22
PCT/US2020/014453 WO2020154310A1 (en) 2019-01-22 2020-01-21 Feedback loop for controlling a pulsed voltage waveform

Publications (3)

Publication Number Publication Date
JP2022523653A true JP2022523653A (ja) 2022-04-26
JPWO2020154310A5 JPWO2020154310A5 (ja) 2023-01-31
JP7451540B2 JP7451540B2 (ja) 2024-03-18

Family

ID=71608425

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021542106A Active JP7451540B2 (ja) 2019-01-22 2020-01-21 パルス状電圧波形を制御するためのフィードバックループ

Country Status (5)

Country Link
US (4) US11699572B2 (ja)
JP (1) JP7451540B2 (ja)
KR (1) KR20210107716A (ja)
CN (1) CN113169026B (ja)
WO (1) WO2020154310A1 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
KR102436416B1 (ko) 2014-10-17 2022-08-26 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) * 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7291091B2 (ja) * 2020-03-16 2023-06-14 株式会社京三製作所 高周波電源装置及びその出力制御方法
CN115769337A (zh) * 2020-07-09 2023-03-07 鹰港科技有限公司 离子电流下降补偿
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) * 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) * 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
EP4142133A1 (en) * 2021-08-30 2023-03-01 Murata Manufacturing Co., Ltd. An electrical device comprising an ac voltage divider and capacitors arranged in integrated components
CN117751421A (zh) * 2021-09-09 2024-03-22 应用材料公司 用于对等离子体中的离子能量分布进行数字控制的方法和装置
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5815388A (en) * 1996-06-21 1998-09-29 Sierra Applied Sciences, Inc. Polarity reversing circuit having energy compensation
JP2002033310A (ja) * 2000-07-18 2002-01-31 Hitachi Ltd プラズマ処理装置
JP2014072043A (ja) * 2012-09-28 2014-04-21 Daihen Corp 高周波電源装置及びその制御方法
JP2014135305A (ja) * 2013-01-08 2014-07-24 Hitachi High-Technologies Corp プラズマ処理装置
JP2014142266A (ja) * 2013-01-24 2014-08-07 Tokyo Electron Ltd 試験装置及びプラズマ処理装置
JP2015185698A (ja) * 2014-03-25 2015-10-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20150319838A1 (en) * 2014-05-02 2015-11-05 Reno Technologies, Inc. Multi-stage heterodyne control circuit
JP2015534717A (ja) * 2012-08-28 2015-12-03 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 切り替えモードイオンエネルギー分布シシテムを較正するためのシステムおよび方法
US20160020072A1 (en) * 2012-08-28 2016-01-21 Advanced Energy Industries, Inc. Ion energy bias control apparatus
JP2016051542A (ja) * 2014-08-29 2016-04-11 株式会社ダイヘン 高周波電源
US20160351375A1 (en) * 2009-11-19 2016-12-01 Lam Research Corporation Arrangement For Plasma Processing System Control Based On RF Voltage
US20170084432A1 (en) * 2012-02-22 2017-03-23 Lam Research Corporation Multiple control modes
US20170358431A1 (en) * 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
JP2017228558A (ja) * 2016-06-20 2017-12-28 東京エレクトロン株式会社 プラズマ処理装置、及び波形補正方法
US20180226225A1 (en) * 2017-02-03 2018-08-09 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor

Family Cites Families (558)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
JP2775345B2 (ja) 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ja) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
KR100560886B1 (ko) 1997-09-17 2006-03-13 동경 엘렉트론 주식회사 가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템및 방법
JP2001520433A (ja) 1997-10-15 2001-10-30 東京エレクトロン株式会社 加速された粒子を発生させる装置並びに方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
TW426888B (en) 1998-09-18 2001-03-21 Tokyo Electron Ltd Plasma processing method
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
CN1241316C (zh) 1999-07-13 2006-02-08 东京电子株式会社 产生感性耦合的等离子的射频电源
JP2003506826A (ja) 1999-08-02 2003-02-18 アドバンスド エナジー インダストリーズ, インコーポレイテッド イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1435655A3 (en) 2000-05-10 2004-07-14 Ibiden Co., Ltd. Electrostatic chuck
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
WO2002052628A1 (fr) 2000-12-26 2002-07-04 Tokyo Electron Limited Procede et appareil de traitement au plasma
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
JPWO2002059954A1 (ja) 2001-01-25 2004-10-14 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
EP1253216B1 (en) 2001-04-27 2003-11-12 European Community Method and apparatus for sequential plasma treatment
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
JP2005508078A (ja) 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
DE112004002262T5 (de) 2003-11-28 2006-10-26 Advantest Corp. Digitale QP Detektionsvorrichtung, Spektrumanalysator aufweisend dieselbe und ein Verfahren zur digitalen QP Detektierung
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
EP2479783B1 (en) 2004-06-21 2018-12-12 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
JP5323317B2 (ja) 2004-11-04 2013-10-23 株式会社アルバック 静電チャック方法
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
ATE344973T1 (de) 2005-03-10 2006-11-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
DE502005011028D1 (de) 2005-03-30 2011-04-14 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
US7852008B2 (en) 2005-05-13 2010-12-14 Panasonic Corporation Dielectric barrier discharge lamp lighting device
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CA2635629A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
WO2008071732A2 (en) 2006-12-12 2008-06-19 Oc Oerlikon Balzers Ag Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
KR20100095560A (ko) 2007-11-26 2010-08-31 도쿄엘렉트론가부시키가이샤 미소 구조체 검사 장치 및 미소 구조체 검사 방법
WO2009073361A1 (en) 2007-11-29 2009-06-11 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
DE112009000518T5 (de) 2008-03-06 2011-05-05 Tokyo Electron Ltd. Verfahren zum Aushärten eines porösen dielektrischen Films mit niedriger Dielektrizitätskonstante
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
SG175695A1 (en) 2009-08-07 2011-12-29 Kyosan Electric Mfg Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR101757920B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
KR101783077B1 (ko) 2010-06-11 2017-09-28 도쿄엘렉트론가부시키가이샤 화학 증착 제어용 장치 및 방법
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
SI3556396T1 (sl) 2010-08-31 2022-09-30 Theraclone Sciences, Inc. Nevtralizacijska protitelesa proti virusu človeške imunske pomanjkljivosti (HIV)
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
TWI478234B (zh) 2011-03-04 2015-03-21 Tokyo Electron Ltd 氮化矽膜之蝕刻方法
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US20130006555A1 (en) * 2011-06-30 2013-01-03 Advanced Energy Industries, Inc. Method and apparatus for measuring the power of a power generator while operating in variable frequency mode and/or while operating in pulsing mode
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TWI762170B (zh) 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
KR102046193B1 (ko) 2012-02-01 2019-11-18 도쿄엘렉트론가부시키가이샤 플라스마 에칭 방법 및 플라스마 에칭 장치
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
KR102038649B1 (ko) 2012-02-20 2019-10-30 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10128090B2 (en) * 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9644221B2 (en) 2012-03-30 2017-05-09 Toray Industries, Inc. Method of producing chemical by continuous fermentation and continuous fermentation apparatus
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
DK3403995T3 (da) 2012-08-15 2021-04-19 Lockheed Martin Energy Llc Jernhexacyanider med høj opløselighed
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR101860182B1 (ko) 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
KR102149718B1 (ko) 2012-11-01 2020-08-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
KR20150128965A (ko) 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US9711332B2 (en) * 2013-05-09 2017-07-18 Lam Research Corporation Systems and methods for tuning an impedance matching network in a step-wise fashion for multiple states of an RF generator
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
WO2014197611A1 (en) 2013-06-04 2014-12-11 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
JP6441927B2 (ja) 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102133895B1 (ko) 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
EP3069445B1 (en) 2013-11-14 2023-04-05 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US9374021B2 (en) * 2013-12-16 2016-06-21 Rockwell Automation Technologies, Inc. PWM output voltage measurement apparatus and method
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
TWI590329B (zh) 2014-03-02 2017-07-01 東京威力科創股份有限公司 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
KR102302723B1 (ko) 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
CN115410804A (zh) 2015-11-30 2022-11-29 鹰港科技有限公司 高压变压器
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
CN109075066B (zh) 2016-03-31 2023-08-04 东京毅力科创株式会社 使用无晶片干式清洗发射光谱来控制干式蚀刻过程的方法
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US11227745B2 (en) 2018-08-10 2022-01-18 Eagle Harbor Technologies, Inc. Plasma sheath control for RF plasma reactors
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
CN109804459B (zh) 2016-09-06 2023-08-04 东京毅力科创株式会社 准原子层蚀刻方法
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3563646A4 (en) 2016-12-30 2020-01-22 Eagle Harbor Technologies, Inc. INDUCTIVE HIGH VOLTAGE ADDER
US20180190501A1 (en) 2017-01-05 2018-07-05 Tokyo Electron Limited Plasma processing apparatus
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US20180218905A1 (en) 2017-02-02 2018-08-02 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
CN110692188B (zh) 2017-02-07 2022-09-09 鹰港科技有限公司 变压器谐振转换器
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
CN117200759A (zh) 2017-03-31 2023-12-08 鹰港科技有限公司 高压电阻性输出级电路
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
US11658354B2 (en) 2017-05-30 2023-05-23 Titan Advanced Energy Solutions, Inc. Battery life assessment and capacity restoration
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
TWI806772B (zh) 2017-08-17 2023-06-21 日商東京威力科創股份有限公司 工業製造設備中特性的即時感測裝置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6902167B2 (ja) 2017-08-25 2021-07-14 イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. ナノ秒パルスを使用する任意波形の発生
US10586529B2 (en) 2017-09-14 2020-03-10 International Business Machines Corporation Processing of speech signal
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
KR102361417B1 (ko) 2017-09-26 2022-02-09 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 점화를 위한 시스템 및 방법
JP6518024B1 (ja) 2017-10-30 2019-05-22 日本碍子株式会社 静電チャック及びその製法
WO2019088204A1 (ja) 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
WO2019099102A1 (en) 2017-11-16 2019-05-23 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
JP7289313B2 (ja) 2017-11-17 2023-06-09 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御
TWI726258B (zh) 2017-11-17 2021-05-01 新加坡商Aes全球公司 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體
US10811227B2 (en) 2017-11-17 2020-10-20 Advanced Energy Industries, Inc. Application of modulating supplies in a plasma processing system
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
JP7296962B2 (ja) * 2017-12-07 2023-06-23 ラム リサーチ コーポレーション 半導体rfプラズマ処理のためのパルス内のrfパルス
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
EP3762711A4 (en) 2018-03-08 2021-11-17 Eagle Harbor Technologies, Inc. PRECISION EDDY CURRENT SENSOR FOR NON-DESTRUCTIVE STRUCTURAL EVALUATION
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
CN112106169A (zh) 2018-05-03 2020-12-18 应用材料公司 用于基座的rf接地配置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US11646210B2 (en) 2018-06-18 2023-05-09 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
KR20230048459A (ko) 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
WO2020051064A1 (en) 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
US10796887B2 (en) 2019-01-08 2020-10-06 Eagle Harbor Technologies, Inc. Efficient nanosecond pulser with source and sink capability for plasma control applications
CN113228830A (zh) 2019-01-09 2021-08-06 东京毅力科创株式会社 等离子体处理装置及等离子体处理方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
WO2020243023A1 (en) 2019-05-24 2020-12-03 Eagle Harbor Technologies, Inc. Klystron driver
CN114041203A (zh) 2019-07-02 2022-02-11 鹰港科技有限公司 纳秒脉冲器射频隔离
WO2021011450A1 (en) 2019-07-12 2021-01-21 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
EP4035267A4 (en) 2019-09-25 2023-11-01 Eagle Harbor Technologies, Inc. HIGH VOLTAGE PULSE SHARPENING OF A NON-LINEAR TRANSMISSION LINE WITH ENERGY RECOVERY
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5815388A (en) * 1996-06-21 1998-09-29 Sierra Applied Sciences, Inc. Polarity reversing circuit having energy compensation
JP2002033310A (ja) * 2000-07-18 2002-01-31 Hitachi Ltd プラズマ処理装置
US20160351375A1 (en) * 2009-11-19 2016-12-01 Lam Research Corporation Arrangement For Plasma Processing System Control Based On RF Voltage
US20170084432A1 (en) * 2012-02-22 2017-03-23 Lam Research Corporation Multiple control modes
JP2015534717A (ja) * 2012-08-28 2015-12-03 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 切り替えモードイオンエネルギー分布シシテムを較正するためのシステムおよび方法
US20160020072A1 (en) * 2012-08-28 2016-01-21 Advanced Energy Industries, Inc. Ion energy bias control apparatus
JP2014072043A (ja) * 2012-09-28 2014-04-21 Daihen Corp 高周波電源装置及びその制御方法
JP2014135305A (ja) * 2013-01-08 2014-07-24 Hitachi High-Technologies Corp プラズマ処理装置
JP2014142266A (ja) * 2013-01-24 2014-08-07 Tokyo Electron Ltd 試験装置及びプラズマ処理装置
JP2015185698A (ja) * 2014-03-25 2015-10-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20150319838A1 (en) * 2014-05-02 2015-11-05 Reno Technologies, Inc. Multi-stage heterodyne control circuit
JP2016051542A (ja) * 2014-08-29 2016-04-11 株式会社ダイヘン 高周波電源
US20170358431A1 (en) * 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
JP2017228558A (ja) * 2016-06-20 2017-12-28 東京エレクトロン株式会社 プラズマ処理装置、及び波形補正方法
US20180226225A1 (en) * 2017-02-03 2018-08-09 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor

Also Published As

Publication number Publication date
US20200234922A1 (en) 2020-07-23
CN113169026B (zh) 2024-04-26
US20200234923A1 (en) 2020-07-23
JP7451540B2 (ja) 2024-03-18
KR20210107716A (ko) 2021-09-01
US20230326717A1 (en) 2023-10-12
TW202044321A (zh) 2020-12-01
US20200234921A1 (en) 2020-07-23
US10916408B2 (en) 2021-02-09
WO2020154310A1 (en) 2020-07-30
CN113169026A (zh) 2021-07-23
US10923321B2 (en) 2021-02-16
US11699572B2 (en) 2023-07-11

Similar Documents

Publication Publication Date Title
JP2022523653A (ja) パルス状電圧波形を制御するためのフィードバックループ
JP7382155B2 (ja) 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法
JP6329542B2 (ja) プラズマ処理システム、プラズマシース電圧確立方法、および当該方法を実行可能な命令を読み取り可能な記憶媒体
US11848176B2 (en) Plasma processing using pulsed-voltage and radio-frequency power
JP2023544472A (ja) 電圧パルスの時間領域多重化
TW202301913A (zh) 離子電流補償的設備及方法
CN117296124A (zh) 等离子体处理期间的自动静电卡盘偏压补偿
JP2015534718A (ja) 切り替えモードイオンエネルギー分布システムを制御する方法
TWI838453B (zh) 用於控制脈衝電壓波形的反饋迴路
JP2023542779A (ja) 基板処理のためのパルス電圧ブースト
TWI835163B (zh) 用於基板處理的脈衝電壓增壓
TWI838371B (zh) 處理基板的處理腔室與方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230120

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231024

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240306

R150 Certificate of patent or registration of utility model

Ref document number: 7451540

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150