JP2022523653A - パルス状電圧波形を制御するためのフィードバックループ - Google Patents
パルス状電圧波形を制御するためのフィードバックループ Download PDFInfo
- Publication number
- JP2022523653A JP2022523653A JP2021542106A JP2021542106A JP2022523653A JP 2022523653 A JP2022523653 A JP 2022523653A JP 2021542106 A JP2021542106 A JP 2021542106A JP 2021542106 A JP2021542106 A JP 2021542106A JP 2022523653 A JP2022523653 A JP 2022523653A
- Authority
- JP
- Japan
- Prior art keywords
- voltage waveform
- waveform
- voltage
- feedback loop
- data acquisition
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000758 substrate Substances 0.000 claims abstract description 128
- 238000012545 processing Methods 0.000 claims description 127
- 230000001105 regulatory effect Effects 0.000 claims description 44
- 238000000034 method Methods 0.000 claims description 39
- 239000004020 conductor Substances 0.000 claims description 33
- 230000005540 biological transmission Effects 0.000 claims description 27
- 230000008878 coupling Effects 0.000 claims description 21
- 238000010168 coupling process Methods 0.000 claims description 21
- 238000005859 coupling reaction Methods 0.000 claims description 21
- 230000008569 process Effects 0.000 claims description 18
- 238000004891 communication Methods 0.000 claims description 9
- 230000004044 response Effects 0.000 claims description 8
- 230000001276 controlling effect Effects 0.000 claims description 6
- 238000013480 data collection Methods 0.000 claims 2
- 238000010586 diagram Methods 0.000 abstract description 11
- 210000002381 plasma Anatomy 0.000 description 122
- 239000003990 capacitor Substances 0.000 description 63
- 150000002500 ions Chemical class 0.000 description 43
- 239000007789 gas Substances 0.000 description 16
- 230000000903 blocking effect Effects 0.000 description 13
- 239000003989 dielectric material Substances 0.000 description 13
- 239000002131 composite material Substances 0.000 description 12
- 238000001020 plasma etching Methods 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- 238000012546 transfer Methods 0.000 description 8
- 238000005530 etching Methods 0.000 description 7
- 230000001939 inductive effect Effects 0.000 description 7
- 238000001914 filtration Methods 0.000 description 6
- 239000000463 material Substances 0.000 description 6
- 239000004065 semiconductor Substances 0.000 description 6
- 230000003750 conditioning effect Effects 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 230000001965 increasing effect Effects 0.000 description 5
- 238000005259 measurement Methods 0.000 description 5
- 230000002829 reductive effect Effects 0.000 description 5
- 238000005315 distribution function Methods 0.000 description 4
- 238000004458 analytical method Methods 0.000 description 3
- 230000002238 attenuated effect Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 238000005260 corrosion Methods 0.000 description 3
- 230000007797 corrosion Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000006698 induction Effects 0.000 description 3
- 230000003993 interaction Effects 0.000 description 3
- 238000009832 plasma treatment Methods 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 230000001133 acceleration Effects 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 2
- 229910010293 ceramic material Inorganic materials 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000006096 absorbing agent Substances 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000231 atomic layer deposition Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000005672 electromagnetic field Effects 0.000 description 1
- 239000011888 foil Substances 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 230000010355 oscillation Effects 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 239000003507 refrigerant Substances 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 238000000638 solvent extraction Methods 0.000 description 1
- 238000012358 sourcing Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium(II) oxide Chemical compound [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32128—Radio frequency generated discharge using particular waveforms, e.g. polarised waves
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32146—Amplitude modulation, includes pulsing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
- H01J37/32165—Plural frequencies
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/3299—Feedback systems
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3341—Reactive etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
Abstract
Description
[0039]高速データ収集モジュール120は、概して、アナログ電圧波形(例えば、調整波形144)を受信し、デジタル化電圧波形を送信するように構成されている。高速データ収集モジュール120は、第1の入力チャネル110のそれぞれの調整回路111にそれぞれ電気的に連結された1つ又は複数の収集チャネル122を含み、高速データ収集モジュール120は、受信された調整電圧波形(例えば、出力波形144)からデジタル化電圧波形を生成するように構成され、高速データ収集モジュール120のデータ収集コントローラ123は、第1のデジタル化電圧波形を分析することによって、調整電圧波形(例えば、出力波形144)の1つ又は複数の波形特性を決定するように構成されている。図1Bに示すように、高速データ収集モジュール120は、複数の収集チャネル1221~122N、データ収集コントローラ123、及びメモリ124(例えば、不揮発性メモリ)を備えている。収集チャネル122が入力チャネル110のうちの対応するチャネルから出力波形144を受信するように、各々の収集チャネル122は、入力チャネル110のうちの対応するチャネルの出力部に電気的に連結されている。例えば、収集チャネル1221は、入力チャネル1101の出力端に電気的に連結され、入力チャネル1101の入力端の接続点の位置に応じて、出力波形1441A又は1441Bのいずれかを受信する。さらに、収集チャネル1222は、入力チャネル1102の出力端に電気的に連結され、出力波形1442を受信する。加えて、又は代替的に、収集チャネル1223は、入力チャネル1103の出力端に電気的に連結され、出力波形1443を受信する。収集チャネル122Nは、入力チャネル110Nの出力端に電気的に連結され、出力波形144Nを受信する。
である。さらに、幾つかの実施形態では、基板に印加されるチャッキング力は、バイアス電極804(図8A)上のDC電圧と基板803上のDC電圧との間の差を測定することによって決定することができる。さらに、パルス波形のイオン電流位相内で生成されるイオン電流(Iion)の特性を決定することができる。これについては、後述する。
[0074]図8Aは、処理チャンバ800の概略断面図であり、処理チャンバ800内でプラズマ処理中に複合負荷130が形成される。一実施形態によれば、処理チャンバ800は、本明細書で提案されるバイアススキームを実施するように構成されている。一実施形態では、処理チャンバは、反応性イオンエッチング(RIE)プラズマチャンバなどのプラズマ処理チャンバである。他の幾つかの実施形態では、処理チャンバは、プラズマ強化堆積チャンバ(例えば、プラズマ化学気相堆積(PECVD)チャンバ、又はプラズマ強化原子層堆積(PEALD)チャンバ)である。幾つかの他の実施形態では、処理チャンバは、プラズマトリートメントチャンバ、又はプラズマベースのイオン注入チャンバ、例えばプラズマドーピング(PLAD)チャンバである。ここでは、処理チャンバは、高周波(RF)電源に電気的に連結された誘導連結プラズマ源(ICP)を含む。幾つかの実施形態では、プラズマ源は、容量連結プラズマ(CCP)源(例えば、基板支持体に対向する、処理空間内に配置された源電極であって、RF電源に電気的に連結された源電極)である。
[0094]図9Aは、バイアス電極804において確立されたパルス状電圧波形950の一例を示す。図9Aに示されるパルス状電圧波形950は、図9Bに示される基板電圧波形951をもたらし、したがって、プラズマ処理中、基板処理時間の約90%にわたってシース電圧をほぼ一定に維持することを可能ならしめ得る。図9A及び図9Bに示されるパルス状電圧波形950及び951は、概して、図8Bに示される簡略化された電気回路840から発生し得る波形に基づく。図9A及び図9Bに示される波形は、基板のプラズマ処理中に使用され得る、本明細書に記載された方法のうちの1つで使用され得るパルス状電圧波形の簡略化された概略図を示すことのみを意図している。PVWG150によって発生する実際の波形は、かなり複雑であり、図9A及び図9Bには示されていない多数の微小スケールの特徴(例えば、誘導性素子の存在によって引き起こされる高周波振動)を含み得る。しかしながら、幾つかの種類の微小スケールの特徴の例は、図6A及び6B、並びに7A及び7Bで確認することができる。しかしながら、本明細書で提案されるパルス状電圧バイアススキーム及び制御方法によって発生する実際のパルス状電圧波形の概略形状を決定する基礎となる物理現象を理解するためには、これらの微小スケールの特徴は必須ではない。
[00103]図10は、1つ又は複数の実施形態に係る、パルス状電圧波形を処理するための方法1000のフロー図である。動作1010では、入力パルス状電圧波形140が、入力チャネル110内に見出された構成要素によって処理され、出力波形144が形成される。入力チャネル110の一構成では、入力パルス状電圧波形は、第1の分圧比を使用して分割され、第1の分割電圧波形が生成される。例えば、入力チャネル1101が、入力パルス状電圧波形1401Bを取得し、入力チャネル1101の調整回路1111Bの分圧器112が、入力パルス状電圧波形を受信し、第1の分割電圧波形を生成する。
Claims (31)
- データ収集システムを備えた、パルス状電圧波形を制御するためのフィードバックループであって、
第1の入力電圧波形から第1の調整電圧波形を生成するように構成された第1の調整回路を含む第1の入力チャネル、及び
高速データ収集モジュールであって、
前記第1の入力チャネルの前記第1の調整回路に電気的に連結され、前記第1の調整電圧波形から第1のデジタル化電圧波形を生成するように構成された第1の収集チャネルと、
前記第1のデジタル化電圧波形を分析することによって、前記第1の調整電圧波形の1つ又は複数の波形特性を決定するように構成されたデータ収集コントローラと
を含む高速データ収集モジュール
を備えている、フィードバックループ。 - 前記高速データ収集モジュールによって処理された前記第1の調整電圧波形に関する情報を処理するように構成されたフィードバックプロセッサをさらに備えている、請求項1に記載のフィードバックループ。
- 前記フィードバックプロセッサが、データ通信インターフェースを介して前記高速データ収集モジュールに接続された外部プロセッサ、前記高速データ収集モジュール内に統合された内部プロセッサ、又は前記データ通信インターフェースを介して前記高速データ収集モジュールに接続された、基板処理チャンバのためのコントローラのうちの1つである、請求項2に記載のフィードバックループ。
- 前記パルス状電圧波形が、プラズマ処理チャンバ内に配置された基板支持アセンブリ内に配置されたバイアス電極に電気的に連結されたパルス状電圧波形発生器によって確立される、請求項1に記載のフィードバックループ。
- 前記パルス状電圧波形発生器が、発生器連結アセンブリを使用して、電気導体を介して前記バイアス電極に電気的に連結され、前記第1の入力チャネルの入力端が、前記発生器連結アセンブリの端部に電気的に連結されている、請求項4に記載のフィードバックループ。
- 命令を含むメモリをさらに備え、前記命令は、前記高速データ収集モジュールによって実行されると、前記高速データ収集モジュールに、前記第1の調整電圧波形を処理させ、前記第1の調整電圧波形の1つ又は複数の波形特性を決定させる、請求項1に記載のフィードバックループ。
- 命令を含むメモリを備えたフィードバックプロセッサをさらに備え、前記命令は、前記フィードバックプロセッサによって実行されると、前記フィードバックプロセッサに、前記第1の調整電圧波形の決定された前記1つ又は複数の波形特性を使用して、1つ又は複数の制御パラメータを生成させる、請求項6に記載のフィードバックループ。
- 前記フィードバックプロセッサによって実行される前記命令は、前記フィードバックプロセッサに、生成された前記1つ又は複数の制御パラメータに関する情報をパルス状電圧波形発生器へと送信させるようにさらに構成されている、請求項7に記載のフィードバックループ。
- 前記パルス状電圧波形発生器が、命令を含むメモリをさらに備え、前記命令は、前記パルス状電圧波形発生器によって実行されると、前記パルス状電圧波形発生器に、前記生成された1つ又は複数の制御パラメータに基づいて、調整されたパルス状電圧波形を確立させる、請求項8に記載のフィードバックループ。
- 前記第1の入力電圧波形が、調整された第1の入力電圧波形であり、前記フィードバックプロセッサによって実行される前記命令は、前記フィードバックプロセッサに、
前記第1の調整電圧波形の決定された前記1つ又は複数の波形特性がそれらの目標値又は限度に到達、
DC充電電圧の最大限度に到達、
最大電力限度に到達、
アルゴリズム収束の最大時間限度に到達、
パルス幅の最大限度に到達、及び
パルス幅の最小限度に到達
の少なくとも1つまで、前記1つ又は複数の制御パラメータを生成させるようにさらに構成されている、請求項9に記載のフィードバックループ。 - 前記高速データ収集モジュールによって実行される前記命令は、前記高速データ収集モジュールに、
前記第1の調整電圧波形の決定された前記1つ又は複数の波形特性に関する情報を第1のコントローラへと送信すること、及び
前記第1のデジタル化電圧波形に関する情報を第2のコントローラへと送信すること
のうちの少なくとも1つをさせるようにさらに構成されている、請求項6に記載のフィードバックループ。 - 前記第1のコントローラが、基板処理チャンバのためのコントローラであり、前記第1のコントローラが、前記第1の調整電圧波形の決定された前記1つ又は複数の波形特性に関する情報に基づいて、前記基板処理チャンバのためのチャッキング電源のための設定点を調整するようにさらに構成されている、請求項11に記載のフィードバックループ。
- 前記第1の入力チャネルの前記第1の調整回路が、第1の分圧器を備えている、請求項1に記載のフィードバックループ。
- 前記第1の分圧器が、第1の分圧器カスケード、及び第2の分圧器カスケードを備えている、請求項13に記載のフィードバックループ。
- 前記第1の分圧器カスケードが、約1対10から約1対100の範囲の分圧比を有し、前記第2の分圧器カスケードが、約1対20から約1対120の範囲の分圧比を有する、請求項14に記載のフィードバックループ。
- 前記第1の入力チャネルの前記第1の調整回路が、第1のローパスフィルタを備えている、請求項1に記載のフィードバックループ。
- 前記第1のローパスフィルタが、第1のフィルタカスケード及び第2のフィルタカスケードを備え、前記第1のローパスフィルタが、プラトー及びカットオフ周波数を含む周波数応答曲線を有する、請求項16に記載のフィードバックループ。
- 前記プラトーが、1MHzから約7MHzの間であり、前記カットオフ周波数が、約5MHzから約10MHzの範囲内である、請求項17に記載のフィードバックループ。
- データ収集システムを備えた、パルス状電圧波形を制御するためのフィードバックループであって、
第1の入力電圧波形から第1の調整電圧波形を生成するように構成された第1の調整回路を備えた第1の入力チャネル、
第2の入力電圧波形から第2の調整電圧波形を生成するように構成された第2の調整回路を備えた第2の入力チャネル、及び
高速データ収集モジュールであって、
前記第1の入力チャネルの前記第1の調整回路に電気的に連結され、前記第1の調整電圧波形から第1のデジタル化電圧波形を生成するように構成された第1の収集チャネルと、
前記第2の入力チャネルの前記第2の調整回路に電気的に連結され、前記第2の調整電圧波形から第2のデジタル化電圧波形を生成するように構成された第2の収集チャネルと、
前記第1のデジタル化電圧波形及び第2のデジタル化電圧波形のうちの少なくとも1つを分析することによって、前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの1つ又は複数の波形特性を決定するように構成されたデータ収集コントローラと
を備えた高速データ収集モジュール
を備えている、フィードバックループ。 - 前記パルス状電圧波形が、プラズマ処理チャンバ内に配置された基板支持アセンブリ内に配置されたバイアス電極に電気的に連結されたパルス状電圧波形発生器によって確立される、請求項19に記載のフィードバックループ。
- 前記パルス状電圧波形発生器が、発生器連結アセンブリを使用して、電気導体を介して前記バイアス電極に電気的に連結され、前記第1の入力チャネルの入力端が、前記発生器連結アセンブリの端部に電気的に連結されている、請求項20に記載のフィードバックループ。
- 前記パルス状電圧波形発生器が、発生器連結アセンブリを使用して、電気導体を介して前記バイアス電極に電気的に連結され、前記第2の入力チャネルの入力端が、電流モニタの出力部及び電流感知抵抗器の非接地端のうちの1つに電気的に連結され、前記電流モニタが、前記電気導体に流れる電流を感知するように構成され、前記電流感知抵抗器が、前記パルス状電圧波形発生器内に配置される、請求項20に記載のフィードバックループ。
- 命令を含むメモリをさらに備え、前記命令は、前記高速データ収集モジュールによって実行されると、前記高速データ収集モジュールに、前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つを処理させ、前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの前記1つ又は複数の波形特性を決定させる、請求項19に記載のフィードバックループ。
- 命令を含むメモリを備えたフィードバックプロセッサをさらに備え、前記命令は、前記フィードバックプロセッサによって実行されると、前記フィードバックプロセッサに、前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの決定された前記1つ又は複数の波形特性を使用して、1つ又は複数の制御パラメータを生成させる、請求項23に記載のフィードバックループ。
- 前記フィードバックプロセッサによって実行される前記命令は、前記フィードバックプロセッサに、生成された前記1つ又は複数の制御パラメータに関する情報をパルス状電圧波形発生器へと送信させるようにさらに構成されている、請求項24に記載のフィードバックループ。
- 前記パルス状電圧波形発生器が、前記命令を含むメモリをさらに備え、前記命令は、前記パルス状電圧波形発生器によって実行されると、前記パルス状電圧波形発生器に、前記生成された1つ又は複数の制御パラメータに基づいて、調整されたパルス状電圧波形を確立させる、請求項25に記載のフィードバックループ。
- 前記第1の入力電圧波形及び前記第2の入力電圧波形が、調整された入力電圧波形であり、前記フィードバックプロセッサによって実行される命令は、前記フィードバックプロセッサに、
前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの決定された前記1つ又は複数の波形特性がそれらの目標値又は限度に到達、
DC充電電圧の最大限度に到達、
最大電力限度に到達、
アルゴリズム収束の最大時間限度に到達、
パルス幅の最大限度に到達、及び
パルス幅の最小限度に到達
の少なくとも1つまで、前記1つ又は複数の制御パラメータを生成させるようにさらに構成されている、請求項26に記載のフィードバックループ。 - 前記高速データ収集モジュールによって実行される前記命令は、前記高速データ収集モジュールに、
前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの決定された前記1つ又は複数の波形特性に関する情報を第1のコントローラへと送信すること、及び
前記第1のデジタル化電圧波形及び前記第2のデジタル化電圧波形のうちの少なくとも1つに関する情報を第2のコントローラへと送信すること
のうちの少なくとも1つをさせるようにさらに構成されている、請求項27に記載のフィードバックループ。 - 前記第1のコントローラは、基板処理チャンバのためのコントローラであり、前記第1のコントローラが、前記第1の調整電圧波形及び前記第2の調整電圧波形のうちの少なくとも1つの決定された前記1つ又は複数の波形特性に関する前記情報に基づいて、前記基板処理チャンバのためのチャッキング電源のための設定点を調整するようにさらに構成されている、請求項28に記載のフィードバックループ。
- 下記のうち少なくとも1つを満たす、請求項19に記載のフィードバックループ、
(i)前記第1の入力チャネルの前記第1の調整回路が、第1の分圧器及び第1のローパスフィルタのうちの少なくとも1つを含む、
(ii)前記第2の入力チャネルの前記第2の調整回路が、第2の分圧器及び第2のローパスフィルタのうちの少なくとも1つを含む。 - 前記第1の入力チャネルの前記第1の調整回路は、第1の分圧器を備え、
前記第2の入力チャネルの前記第2の調整回路が、第2の分圧器を備え、
前記第1の分圧器の分圧比が、前記第2の分圧器の分圧比と異なる、請求項19に記載のフィードバックループ。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962795545P | 2019-01-22 | 2019-01-22 | |
US62/795,545 | 2019-01-22 | ||
PCT/US2020/014453 WO2020154310A1 (en) | 2019-01-22 | 2020-01-21 | Feedback loop for controlling a pulsed voltage waveform |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2022523653A true JP2022523653A (ja) | 2022-04-26 |
JPWO2020154310A5 JPWO2020154310A5 (ja) | 2023-01-31 |
JP7451540B2 JP7451540B2 (ja) | 2024-03-18 |
Family
ID=71608425
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2021542106A Active JP7451540B2 (ja) | 2019-01-22 | 2020-01-21 | パルス状電圧波形を制御するためのフィードバックループ |
Country Status (5)
Country | Link |
---|---|
US (4) | US11699572B2 (ja) |
JP (1) | JP7451540B2 (ja) |
KR (1) | KR20210107716A (ja) |
CN (1) | CN113169026B (ja) |
WO (1) | WO2020154310A1 (ja) |
Families Citing this family (29)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10892140B2 (en) * | 2018-07-27 | 2021-01-12 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US9873180B2 (en) | 2014-10-17 | 2018-01-23 | Applied Materials, Inc. | CMP pad construction with composite material properties using additive manufacturing processes |
KR102436416B1 (ko) | 2014-10-17 | 2022-08-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성 |
US10875153B2 (en) | 2014-10-17 | 2020-12-29 | Applied Materials, Inc. | Advanced polishing pad materials and formulations |
US11745302B2 (en) | 2014-10-17 | 2023-09-05 | Applied Materials, Inc. | Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process |
US10391605B2 (en) | 2016-01-19 | 2019-08-27 | Applied Materials, Inc. | Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process |
US11471999B2 (en) | 2017-07-26 | 2022-10-18 | Applied Materials, Inc. | Integrated abrasive polishing pads and manufacturing methods |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
JP7299970B2 (ja) | 2018-09-04 | 2023-06-28 | アプライド マテリアルズ インコーポレイテッド | 改良型研磨パッドのための配合物 |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
WO2020154310A1 (en) * | 2019-01-22 | 2020-07-30 | Applied Materials, Inc. | Feedback loop for controlling a pulsed voltage waveform |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
JP7291091B2 (ja) * | 2020-03-16 | 2023-06-14 | 株式会社京三製作所 | 高周波電源装置及びその出力制御方法 |
CN115769337A (zh) * | 2020-07-09 | 2023-03-07 | 鹰港科技有限公司 | 离子电流下降补偿 |
US11189462B1 (en) * | 2020-07-21 | 2021-11-30 | Tokyo Electron Limited | Ion stratification using bias pulses of short duration |
US11462389B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Pulsed-voltage hardware assembly for use in a plasma processing system |
US11798790B2 (en) * | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11495470B1 (en) * | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11776788B2 (en) | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
US11476090B1 (en) * | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
EP4142133A1 (en) * | 2021-08-30 | 2023-03-01 | Murata Manufacturing Co., Ltd. | An electrical device comprising an ac voltage divider and capacitors arranged in integrated components |
CN117751421A (zh) * | 2021-09-09 | 2024-03-22 | 应用材料公司 | 用于对等离子体中的离子能量分布进行数字控制的方法和装置 |
US11694876B2 (en) | 2021-12-08 | 2023-07-04 | Applied Materials, Inc. | Apparatus and method for delivering a plurality of waveform signals during plasma processing |
Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5815388A (en) * | 1996-06-21 | 1998-09-29 | Sierra Applied Sciences, Inc. | Polarity reversing circuit having energy compensation |
JP2002033310A (ja) * | 2000-07-18 | 2002-01-31 | Hitachi Ltd | プラズマ処理装置 |
JP2014072043A (ja) * | 2012-09-28 | 2014-04-21 | Daihen Corp | 高周波電源装置及びその制御方法 |
JP2014135305A (ja) * | 2013-01-08 | 2014-07-24 | Hitachi High-Technologies Corp | プラズマ処理装置 |
JP2014142266A (ja) * | 2013-01-24 | 2014-08-07 | Tokyo Electron Ltd | 試験装置及びプラズマ処理装置 |
JP2015185698A (ja) * | 2014-03-25 | 2015-10-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20150319838A1 (en) * | 2014-05-02 | 2015-11-05 | Reno Technologies, Inc. | Multi-stage heterodyne control circuit |
JP2015534717A (ja) * | 2012-08-28 | 2015-12-03 | アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. | 切り替えモードイオンエネルギー分布シシテムを較正するためのシステムおよび方法 |
US20160020072A1 (en) * | 2012-08-28 | 2016-01-21 | Advanced Energy Industries, Inc. | Ion energy bias control apparatus |
JP2016051542A (ja) * | 2014-08-29 | 2016-04-11 | 株式会社ダイヘン | 高周波電源 |
US20160351375A1 (en) * | 2009-11-19 | 2016-12-01 | Lam Research Corporation | Arrangement For Plasma Processing System Control Based On RF Voltage |
US20170084432A1 (en) * | 2012-02-22 | 2017-03-23 | Lam Research Corporation | Multiple control modes |
US20170358431A1 (en) * | 2016-06-13 | 2017-12-14 | Applied Materials, Inc. | Systems and methods for controlling a voltage waveform at a substrate during plasma processing |
JP2017228558A (ja) * | 2016-06-20 | 2017-12-28 | 東京エレクトロン株式会社 | プラズマ処理装置、及び波形補正方法 |
US20180226225A1 (en) * | 2017-02-03 | 2018-08-09 | Applied Materials, Inc. | System for tunable workpiece biasing in a plasma reactor |
Family Cites Families (558)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4070589A (en) | 1976-10-29 | 1978-01-24 | The Singer Company | High speed-high voltage switching with low power consumption |
US4340462A (en) | 1981-02-13 | 1982-07-20 | Lam Research Corporation | Adjustable electrode plasma processing chamber |
US4504895A (en) | 1982-11-03 | 1985-03-12 | General Electric Company | Regulated dc-dc converter using a resonating transformer |
US4464223A (en) | 1983-10-03 | 1984-08-07 | Tegal Corp. | Plasma reactor apparatus and method |
US4585516A (en) | 1985-03-04 | 1986-04-29 | Tegal Corporation | Variable duty cycle, multiple frequency, plasma reactor |
US4683529A (en) | 1986-11-12 | 1987-07-28 | Zytec Corporation | Switching power supply with automatic power factor correction |
KR970003885B1 (ko) | 1987-12-25 | 1997-03-22 | 도오교오 에레구토론 가부시끼 가이샤 | 에칭 방법 및 그 장치 |
JP2775345B2 (ja) | 1989-12-15 | 1998-07-16 | キヤノン株式会社 | プラズマ処理法及びプラズマ処理装置 |
US4992919A (en) | 1989-12-29 | 1991-02-12 | Lee Chu Quon | Parallel resonant converter with zero voltage switching |
US5099697A (en) | 1990-04-02 | 1992-03-31 | Agar Corporation Ltd. | Two and three-phase flow measurement |
US5140510A (en) | 1991-03-04 | 1992-08-18 | Motorola, Inc. | Constant frequency power converter |
US5418707A (en) | 1992-04-13 | 1995-05-23 | The United States Of America As Represented By The United States Department Of Energy | High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs |
US5286297A (en) | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
KR100324792B1 (ko) | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
US5662770A (en) | 1993-04-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks |
JP2748213B2 (ja) | 1993-05-24 | 1998-05-06 | 日本レーザ電子株式会社 | プラズマ製膜装置 |
US5449410A (en) | 1993-07-28 | 1995-09-12 | Applied Materials, Inc. | Plasma processing apparatus |
KR100302167B1 (ko) | 1993-11-05 | 2001-11-22 | 히가시 데쓰로 | 플라즈마처리장치및플라즈마처리방법 |
US5451846A (en) | 1993-12-14 | 1995-09-19 | Aeg Automation Systems Corporation | Low current compensation control for thyristor armature power supply |
US5565036A (en) | 1994-01-19 | 1996-10-15 | Tel America, Inc. | Apparatus and method for igniting plasma in a process module |
TW299559B (ja) | 1994-04-20 | 1997-03-01 | Tokyo Electron Co Ltd | |
US5651865A (en) | 1994-06-17 | 1997-07-29 | Eni | Preferential sputtering of insulators from conductive targets |
US5554959A (en) | 1994-10-25 | 1996-09-10 | Vac-Com, Inc. | Linear power amplifier with a pulse density modulated switching power supply |
US5716534A (en) | 1994-12-05 | 1998-02-10 | Tokyo Electron Limited | Plasma processing method and plasma etching method |
US6133557A (en) | 1995-01-31 | 2000-10-17 | Kyocera Corporation | Wafer holding member |
JP3778299B2 (ja) | 1995-02-07 | 2006-05-24 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
JP3292270B2 (ja) | 1995-02-27 | 2002-06-17 | 富士通株式会社 | 静電吸着装置 |
US5597438A (en) | 1995-09-14 | 1997-01-28 | Siemens Aktiengesellschaft | Etch chamber having three independently controlled electrodes |
US6253704B1 (en) | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
JPH09129612A (ja) | 1995-10-26 | 1997-05-16 | Tokyo Electron Ltd | エッチングガス及びエッチング方法 |
US6902683B1 (en) | 1996-03-01 | 2005-06-07 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
IT1289479B1 (it) | 1996-01-26 | 1998-10-15 | Schlafhorst & Co W | Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata |
US6252354B1 (en) | 1996-11-04 | 2001-06-26 | Applied Materials, Inc. | RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control |
US5770023A (en) | 1996-02-12 | 1998-06-23 | Eni A Division Of Astec America, Inc. | Etch process employing asymmetric bipolar pulsed DC |
TW335517B (en) | 1996-03-01 | 1998-07-01 | Hitachi Ltd | Apparatus and method for processing plasma |
US6055150A (en) | 1996-05-02 | 2000-04-25 | Applied Materials, Inc. | Multi-electrode electrostatic chuck having fuses in hollow cavities |
US5948704A (en) | 1996-06-05 | 1999-09-07 | Lam Research Corporation | High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
JP3220383B2 (ja) | 1996-07-23 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置及びその方法 |
JP3122618B2 (ja) | 1996-08-23 | 2001-01-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3220394B2 (ja) | 1996-09-27 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6214162B1 (en) | 1996-09-27 | 2001-04-10 | Tokyo Electron Limited | Plasma processing apparatus |
US5882424A (en) | 1997-01-21 | 1999-03-16 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field |
US5830330A (en) | 1997-05-22 | 1998-11-03 | Tokyo Electron Limited | Method and apparatus for low pressure sputtering |
JP3599564B2 (ja) | 1998-06-25 | 2004-12-08 | 東京エレクトロン株式会社 | イオン流形成方法及び装置 |
US6051114A (en) | 1997-06-23 | 2000-04-18 | Applied Materials, Inc. | Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition |
US5933314A (en) | 1997-06-27 | 1999-08-03 | Lam Research Corp. | Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks |
JPH1125894A (ja) | 1997-06-30 | 1999-01-29 | Shinku Device:Kk | プラズマイオンシャワー試料処理装置とその方法 |
US6187685B1 (en) | 1997-08-01 | 2001-02-13 | Surface Technology Systems Limited | Method and apparatus for etching a substrate |
KR100560886B1 (ko) | 1997-09-17 | 2006-03-13 | 동경 엘렉트론 주식회사 | 가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템및 방법 |
JP2001520433A (ja) | 1997-10-15 | 2001-10-30 | 東京エレクトロン株式会社 | 加速された粒子を発生させる装置並びに方法 |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6043607A (en) | 1997-12-16 | 2000-03-28 | Applied Materials, Inc. | Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform |
US6198616B1 (en) | 1998-04-03 | 2001-03-06 | Applied Materials, Inc. | Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system |
US6126778A (en) | 1998-07-22 | 2000-10-03 | Micron Technology, Inc. | Beat frequency modulation for plasma generation |
US6355992B1 (en) | 1998-08-11 | 2002-03-12 | Utron Inc. | High voltage pulse generator |
TW426888B (en) | 1998-09-18 | 2001-03-21 | Tokyo Electron Ltd | Plasma processing method |
US7218503B2 (en) | 1998-09-30 | 2007-05-15 | Lam Research Corporation | Method of determining the correct average bias compensation voltage during a plasma process |
US7583492B2 (en) | 1998-09-30 | 2009-09-01 | Lam Research Corporation | Method of determining the correct average bias compensation voltage during a plasma process |
US6125025A (en) | 1998-09-30 | 2000-09-26 | Lam Research Corporation | Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors |
US6117279A (en) | 1998-11-12 | 2000-09-12 | Tokyo Electron Limited | Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition |
US6849154B2 (en) | 1998-11-27 | 2005-02-01 | Tokyo Electron Limited | Plasma etching apparatus |
JP2000173982A (ja) | 1998-12-01 | 2000-06-23 | Matsushita Electric Ind Co Ltd | プラズマ処理装置およびプラズマ処理方法 |
JP3357313B2 (ja) | 1999-03-11 | 2002-12-16 | 住友特殊金属株式会社 | 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法 |
JP2000269196A (ja) | 1999-03-19 | 2000-09-29 | Toshiba Corp | プラズマ処理方法及びプラズマ処理装置 |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6451389B1 (en) | 1999-04-17 | 2002-09-17 | Advanced Energy Industries, Inc. | Method for deposition of diamond like carbon |
US6273958B2 (en) | 1999-06-09 | 2001-08-14 | Applied Materials, Inc. | Substrate support for plasma processing |
US6367413B1 (en) | 1999-06-15 | 2002-04-09 | Tokyo Electron Limited | Apparatus for monitoring substrate biasing during plasma processing of a substrate |
CN1241316C (zh) | 1999-07-13 | 2006-02-08 | 东京电子株式会社 | 产生感性耦合的等离子的射频电源 |
JP2003506826A (ja) | 1999-08-02 | 2003-02-18 | アドバンスド エナジー インダストリーズ, インコーポレイテッド | イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面 |
US6232236B1 (en) | 1999-08-03 | 2001-05-15 | Applied Materials, Inc. | Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system |
DE19937859C2 (de) | 1999-08-13 | 2003-06-18 | Huettinger Elektronik Gmbh | Elektrische Versorgungseinheit für Plasmaanlagen |
CN100371491C (zh) | 1999-08-17 | 2008-02-27 | 东京电子株式会社 | 脉冲等离子体处理方法及其设备 |
US6818103B1 (en) | 1999-10-15 | 2004-11-16 | Advanced Energy Industries, Inc. | Method and apparatus for substrate biasing in multiple electrode sputtering systems |
JP4021601B2 (ja) | 1999-10-29 | 2007-12-12 | 株式会社東芝 | スパッタ装置および成膜方法 |
US6201208B1 (en) | 1999-11-04 | 2001-03-13 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma processing with control of ion energy distribution at the substrates |
WO2001052302A1 (en) | 2000-01-10 | 2001-07-19 | Tokyo Electron Limited | Segmented electrode assembly and method for plasma processing |
US20030079983A1 (en) | 2000-02-25 | 2003-05-01 | Maolin Long | Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources |
TW507256B (en) | 2000-03-13 | 2002-10-21 | Mitsubishi Heavy Ind Ltd | Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus |
WO2001073814A2 (en) | 2000-03-28 | 2001-10-04 | Tokyo Electron Limited | Method and apparatus for controlling power delivered to a multiple segment electrode |
JP4454781B2 (ja) | 2000-04-18 | 2010-04-21 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3851057B2 (ja) | 2000-04-21 | 2006-11-29 | シャープ株式会社 | 画像形成装置 |
EP1435655A3 (en) | 2000-05-10 | 2004-07-14 | Ibiden Co., Ltd. | Electrostatic chuck |
JP4559595B2 (ja) | 2000-07-17 | 2010-10-06 | 東京エレクトロン株式会社 | 被処理体の載置装置及びプラズマ処理装置 |
JP4590031B2 (ja) | 2000-07-26 | 2010-12-01 | 東京エレクトロン株式会社 | 被処理体の載置機構 |
US6483731B1 (en) | 2000-07-31 | 2002-11-19 | Vanner, Inc. | Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section |
US7183177B2 (en) | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US6485572B1 (en) | 2000-08-28 | 2002-11-26 | Micron Technology, Inc. | Use of pulsed grounding source in a plasma reactor |
TW506234B (en) | 2000-09-18 | 2002-10-11 | Tokyo Electron Ltd | Tunable focus ring for plasma processing |
JP4612947B2 (ja) | 2000-09-29 | 2011-01-12 | 日立プラズマディスプレイ株式会社 | 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置 |
KR100378187B1 (ko) | 2000-11-09 | 2003-03-29 | 삼성전자주식회사 | 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법 |
US7871676B2 (en) * | 2000-12-06 | 2011-01-18 | Novellus Systems, Inc. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
JP3897582B2 (ja) | 2000-12-12 | 2007-03-28 | キヤノン株式会社 | 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置 |
WO2002052628A1 (fr) | 2000-12-26 | 2002-07-04 | Tokyo Electron Limited | Procede et appareil de traitement au plasma |
JP2002198355A (ja) | 2000-12-26 | 2002-07-12 | Tokyo Electron Ltd | プラズマ処理装置 |
WO2002054835A2 (en) | 2001-01-08 | 2002-07-11 | Tokyo Electron Limited | Addition of power at selected harmonics of plasma processor drive frequency |
JPWO2002059954A1 (ja) | 2001-01-25 | 2004-10-14 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US6777037B2 (en) | 2001-02-21 | 2004-08-17 | Hitachi, Ltd. | Plasma processing method and apparatus |
US6741446B2 (en) | 2001-03-30 | 2004-05-25 | Lam Research Corporation | Vacuum plasma processor and method of operating same |
JP2002299322A (ja) | 2001-03-30 | 2002-10-11 | Toshiba Corp | プラズマ処理装置およびプラズマ処理方法 |
JP2002313899A (ja) | 2001-04-11 | 2002-10-25 | Sumitomo Electric Ind Ltd | 基板保持構造体および基板処理装置 |
US7146260B2 (en) | 2001-04-24 | 2006-12-05 | Medius, Inc. | Method and apparatus for dynamic configuration of multiprocessor system |
EP1253216B1 (en) | 2001-04-27 | 2003-11-12 | European Community | Method and apparatus for sequential plasma treatment |
JP4819244B2 (ja) | 2001-05-15 | 2011-11-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
WO2002097855A1 (en) | 2001-05-29 | 2002-12-05 | Tokyo Electron Limited | Plasma processing apparatus and method |
SE525231C2 (sv) | 2001-06-14 | 2005-01-11 | Chemfilt R & D Ab | Förfarande och anordning för att alstra plasma |
DE10136259A1 (de) | 2001-07-25 | 2003-02-20 | Oce Printing Systems Gmbh | Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte |
US20030029859A1 (en) | 2001-08-08 | 2003-02-13 | Applied Materials, Inc. | Lamphead for a rapid thermal processing chamber |
DE10151703B4 (de) | 2001-10-19 | 2004-12-09 | OCé PRINTING SYSTEMS GMBH | Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer |
TWI282658B (en) | 2001-10-23 | 2007-06-11 | Delta Electronics Inc | A parallel connection system of DC/AC voltage converter |
JP2005508078A (ja) | 2001-10-31 | 2005-03-24 | 東京エレクトロン株式会社 | 高アスペクト比形態のエッチング方法 |
JP4129855B2 (ja) | 2001-12-13 | 2008-08-06 | 東京エレクトロン株式会社 | プラズマ処理装置 |
DE10161743B4 (de) | 2001-12-15 | 2004-08-05 | Hüttinger Elektronik GmbH & Co. KG | Hochfrequenzanregungsanordnung |
US6768621B2 (en) | 2002-01-18 | 2004-07-27 | Solectria Corporation | Contactor feedback and precharge/discharge circuit |
JP4024053B2 (ja) | 2002-02-08 | 2007-12-19 | キヤノンアネルバ株式会社 | 高周波プラズマ処理方法及び高周波プラズマ処理装置 |
US6760213B2 (en) | 2002-03-04 | 2004-07-06 | Hitachi High-Technologies Corporation | Electrostatic chuck and method of treating substrate using electrostatic chuck |
DE10211609B4 (de) | 2002-03-12 | 2009-01-08 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last |
KR100511854B1 (ko) | 2002-06-18 | 2005-09-02 | 아네르바 가부시키가이샤 | 정전 흡착 장치 |
US6830650B2 (en) | 2002-07-12 | 2004-12-14 | Advanced Energy Industries, Inc. | Wafer probe for measuring plasma and surface characteristics in plasma processing environments |
US7147759B2 (en) | 2002-09-30 | 2006-12-12 | Zond, Inc. | High-power pulsed magnetron sputtering |
US20040066601A1 (en) | 2002-10-04 | 2004-04-08 | Varian Semiconductor Equipment Associates, Inc. | Electrode configuration for retaining cooling gas on electrostatic wafer clamp |
US6896775B2 (en) | 2002-10-29 | 2005-05-24 | Zond, Inc. | High-power pulsed magnetically enhanced plasma processing |
DE10250229B4 (de) | 2002-10-29 | 2004-08-05 | Hüttinger Elektronik GmbH & Co. KG | Leistungsregelung für Hochfrequenzverstärker |
JP4323232B2 (ja) | 2002-12-04 | 2009-09-02 | 芝浦メカトロニクス株式会社 | 静電吸着方法、静電吸着装置及び貼り合せ装置 |
US6830595B2 (en) | 2002-12-20 | 2004-12-14 | Advanced Energy Technology Inc. | Method of making composite electrode and current collectors |
US7206189B2 (en) | 2002-12-20 | 2007-04-17 | Advanced Energy Technology Inc. | Composite electrode and current collectors and processes for making the same |
DE10306347A1 (de) | 2003-02-15 | 2004-08-26 | Hüttinger Elektronik GmbH & Co. KG | Leistungszufuhrregeleinheit |
DE10312549B3 (de) | 2003-03-21 | 2004-08-26 | Hüttinger Elektronik Gmbh + Co. Kg | Gasentladungsprozess-Spannungsversorgungseinheit |
US7126808B2 (en) | 2003-04-01 | 2006-10-24 | Varian Semiconductor Equipment Associates, Inc. | Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping |
JP4354243B2 (ja) | 2003-04-21 | 2009-10-28 | 東京エレクトロン株式会社 | 被処理体の昇降機構及び処理装置 |
JP4031732B2 (ja) | 2003-05-26 | 2008-01-09 | 京セラ株式会社 | 静電チャック |
US7625460B2 (en) | 2003-08-01 | 2009-12-01 | Micron Technology, Inc. | Multifrequency plasma reactor |
DE10336881B4 (de) | 2003-08-11 | 2008-05-15 | Hüttinger Elektronik GmbH & Co. KG | Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung |
US6902646B2 (en) | 2003-08-14 | 2005-06-07 | Advanced Energy Industries, Inc. | Sensor array for measuring plasma characteristics in plasma processing environments |
JP4418193B2 (ja) | 2003-08-22 | 2010-02-17 | 東京エレクトロン株式会社 | パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置 |
DE10341717A1 (de) | 2003-09-10 | 2005-05-25 | Applied Films Gmbh & Co. Kg | Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden |
US9771648B2 (en) | 2004-08-13 | 2017-09-26 | Zond, Inc. | Method of ionized physical vapor deposition sputter coating high aspect-ratio structures |
DE112004002262T5 (de) | 2003-11-28 | 2006-10-26 | Advantest Corp. | Digitale QP Detektionsvorrichtung, Spektrumanalysator aufweisend dieselbe und ein Verfahren zur digitalen QP Detektierung |
US7645341B2 (en) | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
US7379309B2 (en) | 2004-01-14 | 2008-05-27 | Vanner, Inc. | High-frequency DC-DC converter control |
US20060066248A1 (en) | 2004-09-24 | 2006-03-30 | Zond, Inc. | Apparatus for generating high current electrical discharges |
US9123508B2 (en) | 2004-02-22 | 2015-09-01 | Zond, Llc | Apparatus and method for sputtering hard coatings |
US7095179B2 (en) | 2004-02-22 | 2006-08-22 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US7663319B2 (en) | 2004-02-22 | 2010-02-16 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US7700474B2 (en) | 2006-04-07 | 2010-04-20 | Tokyo Electron Limited | Barrier deposition using ionized physical vapor deposition (iPVD) |
US6972524B1 (en) | 2004-03-24 | 2005-12-06 | Lam Research Corporation | Plasma processing system control |
DE102004024805B4 (de) | 2004-05-17 | 2015-11-12 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung |
JP4401867B2 (ja) | 2004-05-20 | 2010-01-20 | 株式会社沖データ | 画像形成装置 |
US7988816B2 (en) | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
EP2479783B1 (en) | 2004-06-21 | 2018-12-12 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7740704B2 (en) | 2004-06-25 | 2010-06-22 | Tokyo Electron Limited | High rate atomic layer deposition apparatus and method of using |
JP2006011174A (ja) | 2004-06-28 | 2006-01-12 | Ricoh Co Ltd | 記録体異常発生予測装置、定着装置および画像形成装置 |
US20060040499A1 (en) | 2004-08-20 | 2006-02-23 | Steve Walther | In situ surface contaminant removal for ion implanting |
DE102004044797B4 (de) | 2004-09-16 | 2008-02-07 | Hüttinger Elektronik GmbH & Co. KG | Anregungsanordnung für Induktionsöfen |
US7601246B2 (en) | 2004-09-29 | 2009-10-13 | Lam Research Corporation | Methods of sputtering a protective coating on a semiconductor substrate |
US7244311B2 (en) | 2004-10-13 | 2007-07-17 | Lam Research Corporation | Heat transfer system for improved semiconductor processing uniformity |
SE0402644D0 (sv) | 2004-11-02 | 2004-11-02 | Biocell Ab | Method and apparatus for producing electric discharges |
JP5323317B2 (ja) | 2004-11-04 | 2013-10-23 | 株式会社アルバック | 静電チャック方法 |
US7396412B2 (en) | 2004-12-22 | 2008-07-08 | Sokudo Co., Ltd. | Coat/develop module with shared dispense |
KR101089096B1 (ko) | 2004-12-28 | 2011-12-06 | 엘지디스플레이 주식회사 | 노광장치용 척 |
US20060171848A1 (en) | 2005-01-31 | 2006-08-03 | Advanced Energy Industries, Inc. | Diagnostic plasma sensors for endpoint and end-of-life detection |
KR100649508B1 (ko) | 2005-02-02 | 2006-11-27 | 권오영 | 하이브리드 전원시스템 |
EP1691481B1 (de) | 2005-02-12 | 2014-04-02 | TRUMPF Hüttinger GmbH + Co. KG | Amplitudenmodulator |
ATE344973T1 (de) | 2005-03-10 | 2006-11-15 | Huettinger Elektronik Gmbh | Vakuumplasmagenerator |
US7535688B2 (en) | 2005-03-25 | 2009-05-19 | Tokyo Electron Limited | Method for electrically discharging substrate, substrate processing apparatus and program |
DE502005011028D1 (de) | 2005-03-30 | 2011-04-14 | Huettinger Elektronik Gmbh | Vakuumplasmagenerator |
US7586099B2 (en) | 2005-03-30 | 2009-09-08 | Huettinger Elektronik Gmbh + Co. Kg | Vacuum plasma generator |
US7305311B2 (en) | 2005-04-22 | 2007-12-04 | Advanced Energy Industries, Inc. | Arc detection and handling in radio frequency power applications |
US7852008B2 (en) | 2005-05-13 | 2010-12-14 | Panasonic Corporation | Dielectric barrier discharge lamp lighting device |
US20060278521A1 (en) | 2005-06-14 | 2006-12-14 | Stowell Michael W | System and method for controlling ion density and energy using modulated power signals |
AR057882A1 (es) | 2005-11-09 | 2007-12-26 | Novartis Ag | Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra |
JP4418424B2 (ja) | 2005-11-21 | 2010-02-17 | 日本リライアンス株式会社 | 交流電源装置およびその装置におけるアーク抑制方法 |
US20070114981A1 (en) | 2005-11-21 | 2007-05-24 | Square D Company | Switching power supply system with pre-regulator for circuit or personnel protection devices |
JP4827081B2 (ja) | 2005-12-28 | 2011-11-30 | 東京エレクトロン株式会社 | プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体 |
CA2635629A1 (en) | 2006-01-23 | 2007-07-26 | Audera International Sales Inc. | Power supply for limited power sources and audio amplifier using power supply |
US7872292B2 (en) | 2006-02-21 | 2011-01-18 | United Microelectronics Corp. | Capacitance dielectric layer and capacitor |
EP1837893A1 (de) | 2006-03-25 | 2007-09-26 | HÜTTINGER Elektronik GmbH + Co. KG | Messeeinrichtung eines HF-Plasmasystems |
JP4597894B2 (ja) | 2006-03-31 | 2010-12-15 | 東京エレクトロン株式会社 | 基板載置台および基板処理装置 |
US7588667B2 (en) | 2006-04-07 | 2009-09-15 | Tokyo Electron Limited | Depositing rhuthenium films using ionized physical vapor deposition (IPVD) |
GB2437080B (en) | 2006-04-11 | 2011-10-12 | Hauzer Techno Coating Bv | A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus |
EP1852959A1 (de) | 2006-05-05 | 2007-11-07 | HÜTTINGER Elektronik GmbH + Co. KG | Stromversorgung für einen Mittelfrequenz-Plasmagenerator |
US7692936B2 (en) | 2006-05-05 | 2010-04-06 | Huettinger Elektronik Gmbh + Co. Kg | Medium frequency power generator |
JP4887913B2 (ja) | 2006-06-02 | 2012-02-29 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
US7777152B2 (en) | 2006-06-13 | 2010-08-17 | Applied Materials, Inc. | High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck |
US8083961B2 (en) | 2006-07-31 | 2011-12-27 | Tokyo Electron Limited | Method and system for controlling the uniformity of a ballistic electron beam by RF modulation |
JP2008041993A (ja) | 2006-08-08 | 2008-02-21 | Shinko Electric Ind Co Ltd | 静電チャック |
KR100757347B1 (ko) | 2006-08-30 | 2007-09-10 | 삼성전자주식회사 | 이온 주입 장치 |
EP1912266A1 (en) | 2006-10-10 | 2008-04-16 | STMicroelectronics S.r.l. | Method of forming phase change memory devices in a pulsed DC deposition chamber |
JP5171010B2 (ja) | 2006-10-27 | 2013-03-27 | 東京エレクトロン株式会社 | 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム |
DE102006052061B4 (de) | 2006-11-04 | 2009-04-23 | Hüttinger Elektronik Gmbh + Co. Kg | Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren |
DE102006052060B4 (de) | 2006-11-04 | 2009-11-26 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Anordnung zur Anregung einer Gaslaseranordnung |
US20080106842A1 (en) | 2006-11-06 | 2008-05-08 | Tokyo Electron Limited | Mounting device, plasma processing apparatus and plasma processing method |
JP4864661B2 (ja) | 2006-11-22 | 2012-02-01 | 東京エレクトロン株式会社 | 太陽電池の製造方法及び太陽電池の製造装置 |
ATE448562T1 (de) | 2006-11-23 | 2009-11-15 | Huettinger Elektronik Gmbh | Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung |
US7795817B2 (en) | 2006-11-24 | 2010-09-14 | Huettinger Elektronik Gmbh + Co. Kg | Controlled plasma power supply |
KR101312292B1 (ko) | 2006-12-11 | 2013-09-27 | 엘아이지에이디피 주식회사 | 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법 |
WO2008071732A2 (en) | 2006-12-12 | 2008-06-19 | Oc Oerlikon Balzers Ag | Rf substrate bias with high power impulse magnetron sputtering (hipims) |
US8422193B2 (en) | 2006-12-19 | 2013-04-16 | Axcelis Technologies, Inc. | Annulus clamping and backside gas cooled electrostatic chuck |
JP5252613B2 (ja) | 2006-12-25 | 2013-07-31 | 国立大学法人東北大学 | イオン注入装置およびイオン注入方法 |
US20080160212A1 (en) | 2006-12-27 | 2008-07-03 | Bon-Woong Koo | Method and apparatuses for providing electrical contact for plasma processing applications |
US7718538B2 (en) | 2007-02-21 | 2010-05-18 | Applied Materials, Inc. | Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates |
DE102007009070A1 (de) | 2007-02-23 | 2008-08-28 | OCé PRINTING SYSTEMS GMBH | Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer |
DE502007006093D1 (de) | 2007-03-08 | 2011-02-10 | Huettinger Elektronik Gmbh | Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses |
EP1968188B1 (de) | 2007-03-09 | 2012-08-08 | HÜTTINGER Elektronik GmbH + Co. KG | Klasse-D Verstärkeranordnung |
US8055203B2 (en) | 2007-03-14 | 2011-11-08 | Mks Instruments, Inc. | Multipoint voltage and current probe system |
JP4903610B2 (ja) | 2007-03-27 | 2012-03-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR100855002B1 (ko) | 2007-05-23 | 2008-08-28 | 삼성전자주식회사 | 플라즈마 이온 주입시스템 |
JP5018244B2 (ja) | 2007-05-30 | 2012-09-05 | 住友大阪セメント株式会社 | 静電チャック |
US7758764B2 (en) | 2007-06-28 | 2010-07-20 | Lam Research Corporation | Methods and apparatus for substrate processing |
US20090004836A1 (en) | 2007-06-29 | 2009-01-01 | Varian Semiconductor Equipment Associates, Inc. | Plasma doping with enhanced charge neutralization |
EP2097920B1 (de) | 2007-07-23 | 2017-08-09 | TRUMPF Hüttinger GmbH + Co. KG | Plasmaversorgungseinrichtung |
KR20090024866A (ko) | 2007-09-05 | 2009-03-10 | 주식회사 코미코 | 기판 지지유닛 및 이를 갖는 기판 가공 장치 |
JP4607930B2 (ja) | 2007-09-14 | 2011-01-05 | 株式会社東芝 | プラズマ処理装置およびプラズマ処理方法 |
US8140292B2 (en) | 2007-09-18 | 2012-03-20 | Wisconsin Alumni Research Foundation | Method and system for controlling a voltage waveform |
JP5301812B2 (ja) | 2007-11-14 | 2013-09-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8133359B2 (en) | 2007-11-16 | 2012-03-13 | Advanced Energy Industries, Inc. | Methods and apparatus for sputtering deposition using direct current |
US9039871B2 (en) | 2007-11-16 | 2015-05-26 | Advanced Energy Industries, Inc. | Methods and apparatus for applying periodic voltage using direct current |
KR20100095560A (ko) | 2007-11-26 | 2010-08-31 | 도쿄엘렉트론가부시키가이샤 | 미소 구조체 검사 장치 및 미소 구조체 검사 방법 |
WO2009073361A1 (en) | 2007-11-29 | 2009-06-11 | Lam Research Corporation | Pulsed bias plasma process to control microloading |
JP5224837B2 (ja) | 2008-02-01 | 2013-07-03 | 株式会社東芝 | 基板のプラズマ処理装置及びプラズマ処理方法 |
SG188140A1 (en) | 2008-02-08 | 2013-03-28 | Lam Res Corp | Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal |
DE102008012089B4 (de) | 2008-02-29 | 2015-06-11 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens |
US7977256B2 (en) | 2008-03-06 | 2011-07-12 | Tokyo Electron Limited | Method for removing a pore-generating material from an uncured low-k dielectric film |
US7858533B2 (en) | 2008-03-06 | 2010-12-28 | Tokyo Electron Limited | Method for curing a porous low dielectric constant dielectric film |
DE112009000518T5 (de) | 2008-03-06 | 2011-05-05 | Tokyo Electron Ltd. | Verfahren zum Aushärten eines porösen dielektrischen Films mit niedriger Dielektrizitätskonstante |
US20090236214A1 (en) | 2008-03-20 | 2009-09-24 | Karthik Janakiraman | Tunable ground planes in plasma chambers |
US8018164B2 (en) | 2008-05-29 | 2011-09-13 | Applied Materials, Inc. | Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources |
JP5429772B2 (ja) | 2008-06-30 | 2014-02-26 | 株式会社アルバック | 電源装置 |
US8460567B2 (en) | 2008-07-01 | 2013-06-11 | Tokyo Electron Limited | Method and system for etching a MEM device |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US20100018648A1 (en) | 2008-07-23 | 2010-01-28 | Applied Marterials, Inc. | Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring |
US8895942B2 (en) | 2008-09-16 | 2014-11-25 | Tokyo Electron Limited | Dielectric treatment module using scanning IR radiation source |
JP5295833B2 (ja) | 2008-09-24 | 2013-09-18 | 株式会社東芝 | 基板処理装置および基板処理方法 |
JP5270310B2 (ja) | 2008-11-13 | 2013-08-21 | 東京エレクトロン株式会社 | 静電チャック及び基板処理装置 |
US8313664B2 (en) | 2008-11-21 | 2012-11-20 | Applied Materials, Inc. | Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber |
JP5295748B2 (ja) | 2008-12-18 | 2013-09-18 | 東京エレクトロン株式会社 | 構成部品の洗浄方法及び記憶媒体 |
US9887069B2 (en) | 2008-12-19 | 2018-02-06 | Lam Research Corporation | Controlling ion energy distribution in plasma processing systems |
CN102282916A (zh) | 2009-01-13 | 2011-12-14 | 里巴贝鲁株式会社 | 等离子体生成装置及方法 |
JP5221403B2 (ja) | 2009-01-26 | 2013-06-26 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置および記憶媒体 |
US8383001B2 (en) | 2009-02-20 | 2013-02-26 | Tokyo Electron Limited | Plasma etching method, plasma etching apparatus and storage medium |
DE102009001355B4 (de) | 2009-03-05 | 2015-01-22 | TRUMPF Hüttinger GmbH + Co. KG | Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung |
US8313612B2 (en) | 2009-03-24 | 2012-11-20 | Lam Research Corporation | Method and apparatus for reduction of voltage potential spike during dechucking |
US8382999B2 (en) | 2009-03-26 | 2013-02-26 | Applied Materials, Inc. | Pulsed plasma high aspect ratio dielectric process |
JP5395491B2 (ja) | 2009-03-31 | 2014-01-22 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
CN101872733B (zh) | 2009-04-24 | 2012-06-27 | 中微半导体设备(上海)有限公司 | 感测和移除被加工半导体工艺件的残余电荷的系统和方法 |
JP5227245B2 (ja) | 2009-04-28 | 2013-07-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9287086B2 (en) | 2010-04-26 | 2016-03-15 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution |
US9767988B2 (en) | 2010-08-29 | 2017-09-19 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
US9435029B2 (en) | 2010-08-29 | 2016-09-06 | Advanced Energy Industries, Inc. | Wafer chucking system for advanced plasma ion energy processing systems |
US9287092B2 (en) | 2009-05-01 | 2016-03-15 | Advanced Energy Industries, Inc. | Method and apparatus for controlling ion energy distribution |
US11615941B2 (en) | 2009-05-01 | 2023-03-28 | Advanced Energy Industries, Inc. | System, method, and apparatus for controlling ion energy distribution in plasma processing systems |
JP5357639B2 (ja) | 2009-06-24 | 2013-12-04 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
JP5496568B2 (ja) | 2009-08-04 | 2014-05-21 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
SG175695A1 (en) | 2009-08-07 | 2011-12-29 | Kyosan Electric Mfg | Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device |
US8404598B2 (en) | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
TWM412457U (en) | 2009-09-18 | 2011-09-21 | Lam Res Corp | Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly |
JP5960384B2 (ja) | 2009-10-26 | 2016-08-02 | 新光電気工業株式会社 | 静電チャック用基板及び静電チャック |
KR101757922B1 (ko) | 2009-10-27 | 2017-07-14 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
KR101757920B1 (ko) | 2009-10-27 | 2017-07-14 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 플라즈마 처리 방법 |
US8270141B2 (en) | 2009-11-20 | 2012-09-18 | Applied Materials, Inc. | Electrostatic chuck with reduced arcing |
US8284580B2 (en) | 2009-12-10 | 2012-10-09 | Emerson Electric Co. | Power supply discontinuous input voltage extender |
KR101286242B1 (ko) | 2009-12-14 | 2013-07-15 | 삼성전자주식회사 | 반도체 소자 제조 방법 |
DE102009054987A1 (de) | 2009-12-18 | 2011-06-22 | HÜTTINGER Elektronik GmbH + Co. KG, 79111 | Verfahren zur Erzeugung von Wechselstromleistung |
US8658541B2 (en) | 2010-01-15 | 2014-02-25 | Applied Materials, Inc. | Method of controlling trench microloading using plasma pulsing |
US20110177694A1 (en) | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
US9373521B2 (en) | 2010-02-24 | 2016-06-21 | Tokyo Electron Limited | Etching processing method |
JP5632626B2 (ja) | 2010-03-04 | 2014-11-26 | 東京エレクトロン株式会社 | 自動整合装置及びプラズマ処理装置 |
US9309594B2 (en) | 2010-04-26 | 2016-04-12 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution of a projected plasma |
JP5660804B2 (ja) | 2010-04-30 | 2015-01-28 | 東京エレクトロン株式会社 | カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置 |
US8361906B2 (en) | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
US8852347B2 (en) | 2010-06-11 | 2014-10-07 | Tokyo Electron Limited | Apparatus for chemical vapor deposition control |
US9139910B2 (en) | 2010-06-11 | 2015-09-22 | Tokyo Electron Limited | Method for chemical vapor deposition control |
KR101783077B1 (ko) | 2010-06-11 | 2017-09-28 | 도쿄엘렉트론가부시키가이샤 | 화학 증착 제어용 장치 및 방법 |
JP5558224B2 (ja) | 2010-06-23 | 2014-07-23 | 東京エレクトロン株式会社 | 基板処理方法 |
US20120000421A1 (en) | 2010-07-02 | 2012-01-05 | Varian Semicondutor Equipment Associates, Inc. | Control apparatus for plasma immersion ion implantation of a dielectric substrate |
DE102010031568B4 (de) | 2010-07-20 | 2014-12-11 | TRUMPF Hüttinger GmbH + Co. KG | Arclöschanordnung und Verfahren zum Löschen von Arcs |
US9728429B2 (en) | 2010-07-27 | 2017-08-08 | Lam Research Corporation | Parasitic plasma prevention in plasma processing chambers |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8828883B2 (en) | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
US9362089B2 (en) | 2010-08-29 | 2016-06-07 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
SI3556396T1 (sl) | 2010-08-31 | 2022-09-30 | Theraclone Sciences, Inc. | Nevtralizacijska protitelesa proti virusu človeške imunske pomanjkljivosti (HIV) |
JP5820661B2 (ja) | 2010-09-14 | 2015-11-24 | 東京エレクトロン株式会社 | マイクロ波照射装置 |
US20120088371A1 (en) | 2010-10-07 | 2012-04-12 | Applied Materials, Inc. | Methods for etching substrates using pulsed dc voltage |
DE102010048809A1 (de) | 2010-10-20 | 2012-04-26 | Hüttinger Elektronik Gmbh + Co. Kg | Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung |
DE102010048810A1 (de) | 2010-10-20 | 2012-04-26 | Hüttinger Elektronik Gmbh + Co. Kg | System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse |
US8757603B2 (en) | 2010-10-22 | 2014-06-24 | Applied Materials, Inc. | Low force substrate lift |
US9123762B2 (en) | 2010-10-22 | 2015-09-01 | Applied Materials, Inc. | Substrate support with symmetrical feed structure |
EP2463890A1 (en) | 2010-12-08 | 2012-06-13 | Applied Materials, Inc. | Generating plasmas in pulsed power systems |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8809199B2 (en) | 2011-02-12 | 2014-08-19 | Tokyo Electron Limited | Method of etching features in silicon nitride films |
TWI478234B (zh) | 2011-03-04 | 2015-03-21 | Tokyo Electron Ltd | 氮化矽膜之蝕刻方法 |
US8884525B2 (en) | 2011-03-22 | 2014-11-11 | Advanced Energy Industries, Inc. | Remote plasma source generating a disc-shaped plasma |
EP2541584B1 (en) | 2011-06-27 | 2018-08-08 | TRUMPF Huettinger Sp. Z o. o. | Generating a highly ionized plasma in a plasma chamber |
US20130006555A1 (en) * | 2011-06-30 | 2013-01-03 | Advanced Energy Industries, Inc. | Method and apparatus for measuring the power of a power generator while operating in variable frequency mode and/or while operating in pulsing mode |
US8735291B2 (en) | 2011-08-25 | 2014-05-27 | Tokyo Electron Limited | Method for etching high-k dielectric using pulsed bias power |
US8399366B1 (en) | 2011-08-25 | 2013-03-19 | Tokyo Electron Limited | Method of depositing highly conformal amorphous carbon films over raised features |
TWI762170B (zh) | 2011-10-05 | 2022-04-21 | 美商應用材料股份有限公司 | 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件 |
US9399812B2 (en) | 2011-10-11 | 2016-07-26 | Applied Materials, Inc. | Methods of preventing plasma induced damage during substrate processing |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
JP5977509B2 (ja) | 2011-12-09 | 2016-08-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP5867701B2 (ja) | 2011-12-15 | 2016-02-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5808012B2 (ja) | 2011-12-27 | 2015-11-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8963377B2 (en) | 2012-01-09 | 2015-02-24 | Eagle Harbor Technologies Inc. | Efficient IGBT switching |
KR102046193B1 (ko) | 2012-02-01 | 2019-11-18 | 도쿄엘렉트론가부시키가이샤 | 플라스마 에칭 방법 및 플라스마 에칭 장치 |
CN104106127B (zh) | 2012-02-09 | 2016-08-17 | 东京毅力科创株式会社 | 半导体制造装置的制造方法和半导体制造装置 |
KR102038649B1 (ko) | 2012-02-20 | 2019-10-30 | 도쿄엘렉트론가부시키가이샤 | 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법 |
US9368329B2 (en) | 2012-02-22 | 2016-06-14 | Lam Research Corporation | Methods and apparatus for synchronizing RF pulses in a plasma processing system |
US10128090B2 (en) * | 2012-02-22 | 2018-11-13 | Lam Research Corporation | RF impedance model based fault detection |
US9644221B2 (en) | 2012-03-30 | 2017-05-09 | Toray Industries, Inc. | Method of producing chemical by continuous fermentation and continuous fermentation apparatus |
US9293928B2 (en) | 2013-04-23 | 2016-03-22 | Kevin Alexander | System and method for a dynamically configurable power distribution control and management system |
JP6359236B2 (ja) | 2012-05-07 | 2018-07-18 | トーカロ株式会社 | 静電チャック |
US9404176B2 (en) | 2012-06-05 | 2016-08-02 | Applied Materials, Inc. | Substrate support with radio frequency (RF) return path |
JP5921964B2 (ja) | 2012-06-11 | 2016-05-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプローブ装置 |
JP5534365B2 (ja) | 2012-06-18 | 2014-06-25 | 株式会社京三製作所 | 高周波電力供給装置、及び反射波電力制御方法 |
US9530618B2 (en) | 2012-07-06 | 2016-12-27 | Infineon Technologies Ag | Plasma system, chuck and method of making a semiconductor device |
US9865893B2 (en) | 2012-07-27 | 2018-01-09 | Lockheed Martin Advanced Energy Storage, Llc | Electrochemical energy storage systems and methods featuring optimal membrane systems |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
DK3403995T3 (da) | 2012-08-15 | 2021-04-19 | Lockheed Martin Energy Llc | Jernhexacyanider med høj opløselighed |
US9685297B2 (en) | 2012-08-28 | 2017-06-20 | Advanced Energy Industries, Inc. | Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system |
KR101860182B1 (ko) | 2012-08-28 | 2018-05-21 | 어드밴스드 에너지 인더스트리즈 인코포레이티드 | 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법 |
JP6027374B2 (ja) | 2012-09-12 | 2016-11-16 | 東京エレクトロン株式会社 | プラズマ処理装置及びフィルタユニット |
US20140077611A1 (en) | 2012-09-14 | 2014-03-20 | Henry Todd Young | Capacitor bank, laminated bus, and power supply apparatus |
JP6207880B2 (ja) | 2012-09-26 | 2017-10-04 | 東芝メモリ株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US8916056B2 (en) | 2012-10-11 | 2014-12-23 | Varian Semiconductor Equipment Associates, Inc. | Biasing system for a plasma processing apparatus |
US20140109886A1 (en) | 2012-10-22 | 2014-04-24 | Transient Plasma Systems, Inc. | Pulsed power systems and methods |
US9129776B2 (en) | 2012-11-01 | 2015-09-08 | Advanced Energy Industries, Inc. | Differing boost voltages applied to two or more anodeless electrodes for plasma processing |
US9287098B2 (en) | 2012-11-01 | 2016-03-15 | Advanced Energy Industries, Inc. | Charge removal from electrodes in unipolar sputtering system |
KR102149718B1 (ko) | 2012-11-01 | 2020-08-31 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 방법 및 플라즈마 처리 장치 |
US9226380B2 (en) | 2012-11-01 | 2015-12-29 | Advanced Energy Industries, Inc. | Adjustable non-dissipative voltage boosting snubber network |
JP2014112644A (ja) | 2012-11-06 | 2014-06-19 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
US10049948B2 (en) | 2012-11-30 | 2018-08-14 | Lam Research Corporation | Power switching system for ESC with array of thermal control elements |
US8941969B2 (en) | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
DE102013202428A1 (de) | 2013-02-14 | 2014-08-14 | Trumpf Huettinger Sp. Z O. O. | Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung |
EP2770083B1 (en) | 2013-02-20 | 2015-11-18 | University of West Bohemia in Pilsen | High-rate reactive sputtering of dielectric stoichiometric films |
JP6165886B2 (ja) | 2013-02-28 | 2017-07-19 | 株式会社日立製作所 | 動的ストレージサービスレベル・モニタリングの管理システムおよび方法 |
KR102064914B1 (ko) | 2013-03-06 | 2020-01-10 | 삼성전자주식회사 | 식각 공정 장치 및 식각 공정 방법 |
WO2014164910A1 (en) | 2013-03-12 | 2014-10-09 | Applied Materials, Inc. | Multi zone heating and cooling esc for plasma process chamber |
US20140273487A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Pulsed dc plasma etching process and apparatus |
KR20150128965A (ko) | 2013-03-13 | 2015-11-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 구리를 위한 uv-보조 반응성 이온 에칭 |
US20140263182A1 (en) | 2013-03-15 | 2014-09-18 | Tokyo Electron Limited | Dc pulse etcher |
US20140263181A1 (en) | 2013-03-15 | 2014-09-18 | Jaeyoung Park | Method and apparatus for generating highly repetitive pulsed plasmas |
US9209032B2 (en) | 2013-03-15 | 2015-12-08 | Tokyo Electron Limited | Electric pressure systems for control of plasma properties and uniformity |
US9711332B2 (en) * | 2013-05-09 | 2017-07-18 | Lam Research Corporation | Systems and methods for tuning an impedance matching network in a step-wise fashion for multiple states of an RF generator |
US8889534B1 (en) | 2013-05-29 | 2014-11-18 | Tokyo Electron Limited | Solid state source introduction of dopants and additives for a plasma doping process |
WO2014197611A1 (en) | 2013-06-04 | 2014-12-11 | Eagle Harbor Technologies, Inc. | Analog integrator system and method |
US9460894B2 (en) | 2013-06-28 | 2016-10-04 | Lam Research Corporation | Controlling ion energy within a plasma chamber |
JP6441927B2 (ja) | 2013-08-06 | 2018-12-19 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 局部的に加熱されるマルチゾーン式の基板支持体 |
JP2015037091A (ja) | 2013-08-12 | 2015-02-23 | 東京エレクトロン株式会社 | エッチング方法 |
US9655221B2 (en) | 2013-08-19 | 2017-05-16 | Eagle Harbor Technologies, Inc. | High frequency, repetitive, compact toroid-generation for radiation production |
US9053908B2 (en) | 2013-09-19 | 2015-06-09 | Lam Research Corporation | Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching |
DE102013110883B3 (de) | 2013-10-01 | 2015-01-15 | TRUMPF Hüttinger GmbH + Co. KG | Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess |
US9721802B2 (en) | 2013-10-03 | 2017-08-01 | Applied Materials, Inc. | LED based optical source coupled with plasma source |
JP6162016B2 (ja) | 2013-10-09 | 2017-07-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20150111394A1 (en) | 2013-10-23 | 2015-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming uniform film on semiconductor substrate |
JP6100672B2 (ja) | 2013-10-25 | 2017-03-22 | 東京エレクトロン株式会社 | 温度制御機構、温度制御方法及び基板処理装置 |
JP6312405B2 (ja) | 2013-11-05 | 2018-04-18 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6374647B2 (ja) | 2013-11-05 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR102133895B1 (ko) | 2013-11-06 | 2020-07-15 | 어플라이드 머티어리얼스, 인코포레이티드 | Dc 바이어스 변조에 의한 입자 발생 억제기 |
US9318304B2 (en) | 2013-11-11 | 2016-04-19 | Applied Materials, Inc. | Frequency tuning for dual level radio frequency (RF) pulsing |
US10020800B2 (en) | 2013-11-14 | 2018-07-10 | Eagle Harbor Technologies, Inc. | High voltage nanosecond pulser with variable pulse width and pulse repetition frequency |
US11539352B2 (en) | 2013-11-14 | 2022-12-27 | Eagle Harbor Technologies, Inc. | Transformer resonant converter |
US10978955B2 (en) | 2014-02-28 | 2021-04-13 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US9706630B2 (en) | 2014-02-28 | 2017-07-11 | Eagle Harbor Technologies, Inc. | Galvanically isolated output variable pulse generator disclosure |
US10892140B2 (en) | 2018-07-27 | 2021-01-12 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
EP3069445B1 (en) | 2013-11-14 | 2023-04-05 | Eagle Harbor Technologies, Inc. | High voltage nanosecond pulser |
US9374021B2 (en) * | 2013-12-16 | 2016-06-21 | Rockwell Automation Technologies, Inc. | PWM output voltage measurement apparatus and method |
US9853579B2 (en) | 2013-12-18 | 2017-12-26 | Applied Materials, Inc. | Rotatable heated electrostatic chuck |
DE102013226511B4 (de) | 2013-12-18 | 2016-12-15 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung |
DE102013226537B4 (de) | 2013-12-18 | 2022-12-29 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas |
US9101038B2 (en) | 2013-12-20 | 2015-08-04 | Lam Research Corporation | Electrostatic chuck including declamping electrode and method of declamping |
CN104752134B (zh) | 2013-12-29 | 2017-02-15 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种反应腔室及等离子体加工设备 |
US9412613B2 (en) | 2014-01-08 | 2016-08-09 | Applied Materials, Inc. | Development of high etch selective hardmask material by ion implantation into amorphous carbon films |
US10790816B2 (en) | 2014-01-27 | 2020-09-29 | Eagle Harbor Technologies, Inc. | Solid-state replacement for tube-based modulators |
US10483089B2 (en) | 2014-02-28 | 2019-11-19 | Eagle Harbor Technologies, Inc. | High voltage resistive output stage circuit |
TWI590329B (zh) | 2014-03-02 | 2017-07-01 | 東京威力科創股份有限公司 | 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法 |
US9472410B2 (en) | 2014-03-05 | 2016-10-18 | Applied Materials, Inc. | Pixelated capacitance controlled ESC |
KR102222902B1 (ko) | 2014-05-12 | 2021-03-05 | 삼성전자주식회사 | 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법 |
JP2017143085A (ja) | 2014-06-23 | 2017-08-17 | 東京エレクトロン株式会社 | グラフェン膜を有する被処理体を処理する方法 |
WO2016002547A1 (ja) | 2014-07-02 | 2016-01-07 | 東京エレクトロン株式会社 | 基板処理装置 |
US10121641B2 (en) | 2014-07-21 | 2018-11-06 | Lam Research Corporation | Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems |
KR102302723B1 (ko) | 2014-07-23 | 2021-09-14 | 어플라이드 머티어리얼스, 인코포레이티드 | 튜닝가능한 온도 제어되는 기판 지지 어셈블리 |
KR20160022458A (ko) | 2014-08-19 | 2016-03-02 | 삼성전자주식회사 | 플라즈마 장비 및 이의 동작 방법 |
JP6435135B2 (ja) | 2014-08-26 | 2018-12-05 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
JP6315809B2 (ja) | 2014-08-28 | 2018-04-25 | 東京エレクトロン株式会社 | エッチング方法 |
US10115567B2 (en) | 2014-09-17 | 2018-10-30 | Tokyo Electron Limited | Plasma processing apparatus |
JP6400425B2 (ja) | 2014-10-15 | 2018-10-03 | 東京エレクトロン株式会社 | 多層膜をエッチングする方法 |
JP6373160B2 (ja) | 2014-10-15 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
DE102014115139A1 (de) | 2014-10-17 | 2016-04-21 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung |
US10102321B2 (en) | 2014-10-24 | 2018-10-16 | Lam Research Corporation | System, method and apparatus for refining radio frequency transmission system models |
US9666447B2 (en) | 2014-10-28 | 2017-05-30 | Tokyo Electron Limited | Method for selectivity enhancement during dry plasma etching |
JP6320282B2 (ja) | 2014-12-05 | 2018-05-09 | 東京エレクトロン株式会社 | エッチング方法 |
EP3035365A1 (en) | 2014-12-19 | 2016-06-22 | TRUMPF Huettinger Sp. Z o. o. | Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply |
WO2016104098A1 (ja) | 2014-12-25 | 2016-06-30 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US20170263478A1 (en) | 2015-01-16 | 2017-09-14 | Lam Research Corporation | Detection System for Tunable/Replaceable Edge Coupling Ring |
US9673059B2 (en) | 2015-02-02 | 2017-06-06 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning integration schemes |
EP3054472A1 (en) | 2015-02-03 | 2016-08-10 | TRUMPF Huettinger Sp. Z o. o. | Arc treatment device and method therefor |
DE102015202317A1 (de) | 2015-02-10 | 2016-08-11 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung |
US9530667B2 (en) | 2015-02-13 | 2016-12-27 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using carbon |
US9607843B2 (en) | 2015-02-13 | 2017-03-28 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content |
US9576816B2 (en) | 2015-02-13 | 2017-02-21 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen |
KR102436638B1 (ko) | 2015-02-13 | 2022-08-25 | 도쿄엘렉트론가부시키가이샤 | Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법 |
JP6396822B2 (ja) | 2015-02-16 | 2018-09-26 | 東京エレクトロン株式会社 | プラズマ処理装置のサセプタの電位を制御する方法 |
US9525412B2 (en) | 2015-02-18 | 2016-12-20 | Reno Technologies, Inc. | Switching circuit |
US9306533B1 (en) | 2015-02-20 | 2016-04-05 | Reno Technologies, Inc. | RF impedance matching network |
JP6449674B2 (ja) | 2015-02-23 | 2019-01-09 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6424120B2 (ja) | 2015-03-23 | 2018-11-14 | 東京エレクトロン株式会社 | 電源システム、プラズマ処理装置及び電源制御方法 |
US9799494B2 (en) | 2015-04-03 | 2017-10-24 | Tokyo Electron Limited | Energetic negative ion impact ionization plasma |
US9786503B2 (en) | 2015-04-08 | 2017-10-10 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning schemes without using hard masks |
JP6449091B2 (ja) | 2015-04-20 | 2019-01-09 | 東京エレクトロン株式会社 | スリップリング、支持機構及びプラズマ処理装置 |
JP6498022B2 (ja) | 2015-04-22 | 2019-04-10 | 東京エレクトロン株式会社 | エッチング処理方法 |
US9812305B2 (en) | 2015-04-27 | 2017-11-07 | Advanced Energy Industries, Inc. | Rate enhanced pulsed DC sputtering system |
US9865471B2 (en) | 2015-04-30 | 2018-01-09 | Tokyo Electron Limited | Etching method and etching apparatus |
US10017857B2 (en) | 2015-05-02 | 2018-07-10 | Applied Materials, Inc. | Method and apparatus for controlling plasma near the edge of a substrate |
US11542927B2 (en) | 2015-05-04 | 2023-01-03 | Eagle Harbor Technologies, Inc. | Low pressure dielectric barrier discharge plasma thruster |
JP2016225439A (ja) | 2015-05-29 | 2016-12-28 | 東京エレクトロン株式会社 | プラズマ処理装置及び基板剥離検知方法 |
TW201717247A (zh) | 2015-06-02 | 2017-05-16 | 蘭姆研究公司 | 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法 |
US10063062B2 (en) | 2015-06-18 | 2018-08-28 | Tokyo Electron Limited | Method of detecting plasma discharge in a plasma processing system |
US10249498B2 (en) | 2015-06-19 | 2019-04-02 | Tokyo Electron Limited | Method for using heated substrates for process chemistry control |
US9922806B2 (en) | 2015-06-23 | 2018-03-20 | Tokyo Electron Limited | Etching method and plasma processing apparatus |
US10163610B2 (en) | 2015-07-13 | 2018-12-25 | Lam Research Corporation | Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation |
US10373811B2 (en) | 2015-07-24 | 2019-08-06 | Aes Global Holdings, Pte. Ltd | Systems and methods for single magnetron sputtering |
US9761459B2 (en) | 2015-08-05 | 2017-09-12 | Lam Research Corporation | Systems and methods for reverse pulsing |
US9620376B2 (en) | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
SG10201607880PA (en) | 2015-09-25 | 2017-04-27 | Tokyo Electron Ltd | METHOD FOR FORMING TiON FILM |
US9978606B2 (en) | 2015-10-02 | 2018-05-22 | Applied Materials, Inc. | Methods for atomic level resolution and plasma processing control |
US9741539B2 (en) | 2015-10-05 | 2017-08-22 | Applied Materials, Inc. | RF power delivery regulation for processing substrates |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US10124492B2 (en) | 2015-10-22 | 2018-11-13 | Lam Research Corporation | Automated replacement of consumable parts using end effectors interfacing with plasma processing system |
US9881820B2 (en) | 2015-10-22 | 2018-01-30 | Lam Research Corporation | Front opening ring pod |
US20170115657A1 (en) | 2015-10-22 | 2017-04-27 | Lam Research Corporation | Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ |
US10062599B2 (en) | 2015-10-22 | 2018-08-28 | Lam Research Corporation | Automated replacement of consumable parts using interfacing chambers |
CN115410804A (zh) | 2015-11-30 | 2022-11-29 | 鹰港科技有限公司 | 高压变压器 |
JP6604833B2 (ja) | 2015-12-03 | 2019-11-13 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
KR102649333B1 (ko) | 2015-12-07 | 2024-03-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치 |
US9997374B2 (en) | 2015-12-18 | 2018-06-12 | Tokyo Electron Limited | Etching method |
JP6385915B2 (ja) | 2015-12-22 | 2018-09-05 | 東京エレクトロン株式会社 | エッチング方法 |
US9601319B1 (en) | 2016-01-07 | 2017-03-21 | Lam Research Corporation | Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US9966231B2 (en) | 2016-02-29 | 2018-05-08 | Lam Research Corporation | Direct current pulsing plasma systems |
JP6392266B2 (ja) | 2016-03-22 | 2018-09-19 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US10672596B2 (en) | 2016-03-28 | 2020-06-02 | Tokyo Electron Limited | Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source |
CN109075066B (zh) | 2016-03-31 | 2023-08-04 | 东京毅力科创株式会社 | 使用无晶片干式清洗发射光谱来控制干式蚀刻过程的方法 |
JP6741461B2 (ja) | 2016-04-19 | 2020-08-19 | 日本特殊陶業株式会社 | 加熱部材及び複合加熱部材 |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
KR20170127724A (ko) | 2016-05-12 | 2017-11-22 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US10304668B2 (en) | 2016-05-24 | 2019-05-28 | Tokyo Electron Limited | Localized process control using a plasma system |
US10340123B2 (en) | 2016-05-26 | 2019-07-02 | Tokyo Electron Limited | Multi-frequency power modulation for etching high aspect ratio features |
JP6689674B2 (ja) | 2016-05-30 | 2020-04-28 | 東京エレクトロン株式会社 | エッチング方法 |
US11227745B2 (en) | 2018-08-10 | 2022-01-18 | Eagle Harbor Technologies, Inc. | Plasma sheath control for RF plasma reactors |
US11004660B2 (en) | 2018-11-30 | 2021-05-11 | Eagle Harbor Technologies, Inc. | Variable output impedance RF generator |
US10804886B2 (en) | 2016-06-21 | 2020-10-13 | Eagle Harbor Technologies, Inc. | High voltage pre-pulsing |
US10903047B2 (en) | 2018-07-27 | 2021-01-26 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US11430635B2 (en) | 2018-07-27 | 2022-08-30 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US9852889B1 (en) | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
CN109804459B (zh) | 2016-09-06 | 2023-08-04 | 东京毅力科创株式会社 | 准原子层蚀刻方法 |
TWI680496B (zh) | 2016-09-13 | 2019-12-21 | 美商應用材料股份有限公司 | 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積 |
JP2018046179A (ja) | 2016-09-15 | 2018-03-22 | 株式会社東芝 | 静電チャック及び半導体製造装置 |
US10320373B2 (en) | 2016-10-11 | 2019-06-11 | Eagle Harbor Technologies, Inc. | RF production using nonlinear semiconductor junction capacitance |
US9872373B1 (en) | 2016-10-25 | 2018-01-16 | Applied Materials, Inc. | Smart multi-level RF pulsing methods |
JP2018078515A (ja) | 2016-11-11 | 2018-05-17 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
US10312048B2 (en) | 2016-12-12 | 2019-06-04 | Applied Materials, Inc. | Creating ion energy distribution functions (IEDF) |
EP3563646A4 (en) | 2016-12-30 | 2020-01-22 | Eagle Harbor Technologies, Inc. | INDUCTIVE HIGH VOLTAGE ADDER |
US20180190501A1 (en) | 2017-01-05 | 2018-07-05 | Tokyo Electron Limited | Plasma processing apparatus |
US10242845B2 (en) | 2017-01-17 | 2019-03-26 | Lam Research Corporation | Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber |
US20180218905A1 (en) | 2017-02-02 | 2018-08-02 | Applied Materials, Inc. | Applying equalized plasma coupling design for mura free susceptor |
CN110692188B (zh) | 2017-02-07 | 2022-09-09 | 鹰港科技有限公司 | 变压器谐振转换器 |
US10923379B2 (en) | 2017-02-15 | 2021-02-16 | Lam Research Corporation | Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure |
WO2018170010A1 (en) | 2017-03-17 | 2018-09-20 | Tokyo Electron Limited | Surface modification control for etch metric enhancement |
CN117200759A (zh) | 2017-03-31 | 2023-12-08 | 鹰港科技有限公司 | 高压电阻性输出级电路 |
US10879044B2 (en) | 2017-04-07 | 2020-12-29 | Lam Research Corporation | Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing |
JP7029340B2 (ja) | 2017-04-25 | 2022-03-03 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
EP3396700A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
EP3396698A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
EP3396699A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
US10666198B2 (en) | 2017-05-09 | 2020-05-26 | Eagle Harbor Technologies, Inc | Efficient high power microwave generation using recirculating pulses |
US10460916B2 (en) | 2017-05-15 | 2019-10-29 | Applied Materials, Inc. | Real time monitoring with closed loop chucking force control |
US11658354B2 (en) | 2017-05-30 | 2023-05-23 | Titan Advanced Energy Solutions, Inc. | Battery life assessment and capacity restoration |
US11289355B2 (en) | 2017-06-02 | 2022-03-29 | Lam Research Corporation | Electrostatic chuck for use in semiconductor processing |
JP6826955B2 (ja) | 2017-06-14 | 2021-02-10 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP6832800B2 (ja) | 2017-06-21 | 2021-02-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6865128B2 (ja) | 2017-07-19 | 2021-04-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
TWI788390B (zh) | 2017-08-10 | 2023-01-01 | 美商應用材料股份有限公司 | 用於電漿處理的分佈式電極陣列 |
TWI806772B (zh) | 2017-08-17 | 2023-06-21 | 日商東京威力科創股份有限公司 | 工業製造設備中特性的即時感測裝置和方法 |
JP7045152B2 (ja) | 2017-08-18 | 2022-03-31 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6902167B2 (ja) | 2017-08-25 | 2021-07-14 | イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. | ナノ秒パルスを使用する任意波形の発生 |
US10586529B2 (en) | 2017-09-14 | 2020-03-10 | International Business Machines Corporation | Processing of speech signal |
US10763150B2 (en) | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
KR102361417B1 (ko) | 2017-09-26 | 2022-02-09 | 어드밴스드 에너지 인더스트리즈 인코포레이티드 | 플라즈마 점화를 위한 시스템 및 방법 |
JP6518024B1 (ja) | 2017-10-30 | 2019-05-22 | 日本碍子株式会社 | 静電チャック及びその製法 |
WO2019088204A1 (ja) | 2017-11-06 | 2019-05-09 | 日本碍子株式会社 | 静電チャックアセンブリ、静電チャック及びフォーカスリング |
WO2019099102A1 (en) | 2017-11-16 | 2019-05-23 | Tokyo Electron Limited | Plasma processing system with synchronized signal modulation |
JP7289313B2 (ja) | 2017-11-17 | 2023-06-09 | エーイーエス グローバル ホールディングス, プライベート リミテッド | プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御 |
TWI726258B (zh) | 2017-11-17 | 2021-05-01 | 新加坡商Aes全球公司 | 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體 |
US10811227B2 (en) | 2017-11-17 | 2020-10-20 | Advanced Energy Industries, Inc. | Application of modulating supplies in a plasma processing system |
JP7033441B2 (ja) | 2017-12-01 | 2022-03-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP7296962B2 (ja) * | 2017-12-07 | 2023-06-23 | ラム リサーチ コーポレーション | 半導体rfプラズマ処理のためのパルス内のrfパルス |
US10811267B2 (en) | 2017-12-21 | 2020-10-20 | Micron Technology, Inc. | Methods of processing semiconductor device structures and related systems |
WO2019143474A1 (en) | 2018-01-18 | 2019-07-25 | Applied Materials, Inc. | Etching apparatus and methods |
US11848177B2 (en) | 2018-02-23 | 2023-12-19 | Lam Research Corporation | Multi-plate electrostatic chucks with ceramic baseplates |
EP3762711A4 (en) | 2018-03-08 | 2021-11-17 | Eagle Harbor Technologies, Inc. | PRECISION EDDY CURRENT SENSOR FOR NON-DESTRUCTIVE STRUCTURAL EVALUATION |
DE102018204587B4 (de) | 2018-03-26 | 2019-10-24 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung |
US11456160B2 (en) | 2018-03-26 | 2022-09-27 | Tokyo Electron Limited | Plasma processing apparatus |
JP7055054B2 (ja) | 2018-04-11 | 2022-04-15 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム |
JP7061922B2 (ja) | 2018-04-27 | 2022-05-02 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6910320B2 (ja) | 2018-05-01 | 2021-07-28 | 東京エレクトロン株式会社 | マイクロ波出力装置及びプラズマ処理装置 |
CN112106169A (zh) | 2018-05-03 | 2020-12-18 | 应用材料公司 | 用于基座的rf接地配置 |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
JP7061511B2 (ja) | 2018-05-10 | 2022-04-28 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
JP7126381B2 (ja) | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
JP2019216140A (ja) | 2018-06-11 | 2019-12-19 | 東京エレクトロン株式会社 | 成膜装置及び成膜装置におけるクリーニング方法 |
JP6846384B2 (ja) | 2018-06-12 | 2021-03-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法 |
US11646210B2 (en) | 2018-06-18 | 2023-05-09 | Tokyo Electron Limited | Reduced interference, real-time sensing of properties in manufacturing equipment |
US10916409B2 (en) | 2018-06-18 | 2021-02-09 | Lam Research Corporation | Active control of radial etch uniformity |
JP6846387B2 (ja) | 2018-06-22 | 2021-03-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP7175239B2 (ja) | 2018-06-22 | 2022-11-18 | 東京エレクトロン株式会社 | 制御方法、プラズマ処理装置、プログラム及び記憶媒体 |
JP6842443B2 (ja) | 2018-06-22 | 2021-03-17 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマを生成する方法 |
KR20230048459A (ko) | 2018-06-22 | 2023-04-11 | 도쿄엘렉트론가부시키가이샤 | 제어 방법 및 플라즈마 처리 장치 |
JP7038614B2 (ja) | 2018-06-27 | 2022-03-18 | 東京エレクトロン株式会社 | 基板処理方法 |
US11011351B2 (en) | 2018-07-13 | 2021-05-18 | Lam Research Corporation | Monoenergetic ion generation for controlled etch |
WO2020017328A1 (ja) | 2018-07-17 | 2020-01-23 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US10504744B1 (en) * | 2018-07-19 | 2019-12-10 | Lam Research Corporation | Three or more states for achieving high aspect ratio dielectric etch |
JP7175114B2 (ja) | 2018-07-19 | 2022-11-18 | 東京エレクトロン株式会社 | 載置台及び電極部材 |
US11222767B2 (en) | 2018-07-27 | 2022-01-11 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US10607814B2 (en) | 2018-08-10 | 2020-03-31 | Eagle Harbor Technologies, Inc. | High voltage switch with isolated power |
US11532457B2 (en) | 2018-07-27 | 2022-12-20 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US11302518B2 (en) | 2018-07-27 | 2022-04-12 | Eagle Harbor Technologies, Inc. | Efficient energy recovery in a nanosecond pulser circuit |
JP7079686B2 (ja) | 2018-07-27 | 2022-06-02 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP7186032B2 (ja) | 2018-07-27 | 2022-12-08 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
WO2020026802A1 (ja) | 2018-07-30 | 2020-02-06 | 東京エレクトロン株式会社 | 制御方法及びプラズマ処理装置 |
JP7306886B2 (ja) | 2018-07-30 | 2023-07-11 | 東京エレクトロン株式会社 | 制御方法及びプラズマ処理装置 |
US20200058469A1 (en) | 2018-08-14 | 2020-02-20 | Tokyo Electron Limited | Systems and methods of control for plasma processing |
US11688586B2 (en) | 2018-08-30 | 2023-06-27 | Tokyo Electron Limited | Method and apparatus for plasma processing |
WO2020051064A1 (en) | 2018-09-05 | 2020-03-12 | Tokyo Electron Limited | Apparatus and process for electron beam mediated plasma etch and deposition processes |
US10672589B2 (en) | 2018-10-10 | 2020-06-02 | Tokyo Electron Limited | Plasma processing apparatus and control method |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
JP2020095793A (ja) | 2018-12-10 | 2020-06-18 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
US10720305B2 (en) | 2018-12-21 | 2020-07-21 | Advanced Energy Industries, Inc. | Plasma delivery system for modulated plasma systems |
US10796887B2 (en) | 2019-01-08 | 2020-10-06 | Eagle Harbor Technologies, Inc. | Efficient nanosecond pulser with source and sink capability for plasma control applications |
CN113228830A (zh) | 2019-01-09 | 2021-08-06 | 东京毅力科创株式会社 | 等离子体处理装置及等离子体处理方法 |
KR20200086826A (ko) | 2019-01-10 | 2020-07-20 | 삼성전자주식회사 | 플라즈마 처리 방법 및 플라즈마 처리 장치 |
WO2020154310A1 (en) | 2019-01-22 | 2020-07-30 | Applied Materials, Inc. | Feedback loop for controlling a pulsed voltage waveform |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
CN116844934A (zh) | 2019-02-05 | 2023-10-03 | 东京毅力科创株式会社 | 等离子体处理装置 |
WO2020243023A1 (en) | 2019-05-24 | 2020-12-03 | Eagle Harbor Technologies, Inc. | Klystron driver |
CN114041203A (zh) | 2019-07-02 | 2022-02-11 | 鹰港科技有限公司 | 纳秒脉冲器射频隔离 |
WO2021011450A1 (en) | 2019-07-12 | 2021-01-21 | Advanced Energy Industries, Inc. | Bias supply with a single controlled switch |
EP4035267A4 (en) | 2019-09-25 | 2023-11-01 | Eagle Harbor Technologies, Inc. | HIGH VOLTAGE PULSE SHARPENING OF A NON-LINEAR TRANSMISSION LINE WITH ENERGY RECOVERY |
TWI778449B (zh) | 2019-11-15 | 2022-09-21 | 美商鷹港科技股份有限公司 | 高電壓脈衝電路 |
WO2021134000A1 (en) | 2019-12-24 | 2021-07-01 | Eagle Harbor Technologies, Inc. | Nanosecond pulser rf isolation for plasma systems |
US11742184B2 (en) | 2020-02-28 | 2023-08-29 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
-
2020
- 2020-01-21 WO PCT/US2020/014453 patent/WO2020154310A1/en active Application Filing
- 2020-01-21 CN CN202080006279.8A patent/CN113169026B/zh active Active
- 2020-01-21 KR KR1020217021589A patent/KR20210107716A/ko active Search and Examination
- 2020-01-21 JP JP2021542106A patent/JP7451540B2/ja active Active
- 2020-01-22 US US16/748,847 patent/US11699572B2/en active Active
- 2020-02-13 US US16/790,086 patent/US10923321B2/en active Active
- 2020-02-13 US US16/790,143 patent/US10916408B2/en active Active
-
2023
- 2023-05-24 US US18/201,358 patent/US20230326717A1/en active Pending
Patent Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5815388A (en) * | 1996-06-21 | 1998-09-29 | Sierra Applied Sciences, Inc. | Polarity reversing circuit having energy compensation |
JP2002033310A (ja) * | 2000-07-18 | 2002-01-31 | Hitachi Ltd | プラズマ処理装置 |
US20160351375A1 (en) * | 2009-11-19 | 2016-12-01 | Lam Research Corporation | Arrangement For Plasma Processing System Control Based On RF Voltage |
US20170084432A1 (en) * | 2012-02-22 | 2017-03-23 | Lam Research Corporation | Multiple control modes |
JP2015534717A (ja) * | 2012-08-28 | 2015-12-03 | アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. | 切り替えモードイオンエネルギー分布シシテムを較正するためのシステムおよび方法 |
US20160020072A1 (en) * | 2012-08-28 | 2016-01-21 | Advanced Energy Industries, Inc. | Ion energy bias control apparatus |
JP2014072043A (ja) * | 2012-09-28 | 2014-04-21 | Daihen Corp | 高周波電源装置及びその制御方法 |
JP2014135305A (ja) * | 2013-01-08 | 2014-07-24 | Hitachi High-Technologies Corp | プラズマ処理装置 |
JP2014142266A (ja) * | 2013-01-24 | 2014-08-07 | Tokyo Electron Ltd | 試験装置及びプラズマ処理装置 |
JP2015185698A (ja) * | 2014-03-25 | 2015-10-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20150319838A1 (en) * | 2014-05-02 | 2015-11-05 | Reno Technologies, Inc. | Multi-stage heterodyne control circuit |
JP2016051542A (ja) * | 2014-08-29 | 2016-04-11 | 株式会社ダイヘン | 高周波電源 |
US20170358431A1 (en) * | 2016-06-13 | 2017-12-14 | Applied Materials, Inc. | Systems and methods for controlling a voltage waveform at a substrate during plasma processing |
JP2017228558A (ja) * | 2016-06-20 | 2017-12-28 | 東京エレクトロン株式会社 | プラズマ処理装置、及び波形補正方法 |
US20180226225A1 (en) * | 2017-02-03 | 2018-08-09 | Applied Materials, Inc. | System for tunable workpiece biasing in a plasma reactor |
Also Published As
Publication number | Publication date |
---|---|
US20200234922A1 (en) | 2020-07-23 |
CN113169026B (zh) | 2024-04-26 |
US20200234923A1 (en) | 2020-07-23 |
JP7451540B2 (ja) | 2024-03-18 |
KR20210107716A (ko) | 2021-09-01 |
US20230326717A1 (en) | 2023-10-12 |
TW202044321A (zh) | 2020-12-01 |
US20200234921A1 (en) | 2020-07-23 |
US10916408B2 (en) | 2021-02-09 |
WO2020154310A1 (en) | 2020-07-30 |
CN113169026A (zh) | 2021-07-23 |
US10923321B2 (en) | 2021-02-16 |
US11699572B2 (en) | 2023-07-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2022523653A (ja) | パルス状電圧波形を制御するためのフィードバックループ | |
JP7382155B2 (ja) | 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法 | |
JP6329542B2 (ja) | プラズマ処理システム、プラズマシース電圧確立方法、および当該方法を実行可能な命令を読み取り可能な記憶媒体 | |
US11848176B2 (en) | Plasma processing using pulsed-voltage and radio-frequency power | |
JP2023544472A (ja) | 電圧パルスの時間領域多重化 | |
TW202301913A (zh) | 離子電流補償的設備及方法 | |
CN117296124A (zh) | 等离子体处理期间的自动静电卡盘偏压补偿 | |
JP2015534718A (ja) | 切り替えモードイオンエネルギー分布システムを制御する方法 | |
TWI838453B (zh) | 用於控制脈衝電壓波形的反饋迴路 | |
JP2023542779A (ja) | 基板処理のためのパルス電圧ブースト | |
TWI835163B (zh) | 用於基板處理的脈衝電壓增壓 | |
TWI838371B (zh) | 處理基板的處理腔室與方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20230120 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20230120 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20231024 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20240124 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20240206 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20240306 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7451540 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |