CN117296124A - 等离子体处理期间的自动静电卡盘偏压补偿 - Google Patents
等离子体处理期间的自动静电卡盘偏压补偿 Download PDFInfo
- Publication number
- CN117296124A CN117296124A CN202280034204.XA CN202280034204A CN117296124A CN 117296124 A CN117296124 A CN 117296124A CN 202280034204 A CN202280034204 A CN 202280034204A CN 117296124 A CN117296124 A CN 117296124A
- Authority
- CN
- China
- Prior art keywords
- voltage
- during
- clamping
- electrode
- period
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000012545 processing Methods 0.000 title claims description 195
- 239000000758 substrate Substances 0.000 claims abstract description 262
- 238000000034 method Methods 0.000 claims description 206
- 239000003990 capacitor Substances 0.000 claims description 87
- 230000000903 blocking effect Effects 0.000 claims description 80
- 238000001514 detection method Methods 0.000 claims description 46
- 230000008878 coupling Effects 0.000 claims description 15
- 238000010168 coupling process Methods 0.000 claims description 15
- 238000005859 coupling reaction Methods 0.000 claims description 15
- 239000004020 conductor Substances 0.000 claims description 12
- 238000007493 shaping process Methods 0.000 abstract 1
- 230000008569 process Effects 0.000 description 114
- 239000007789 gas Substances 0.000 description 23
- 239000003989 dielectric material Substances 0.000 description 20
- 238000010586 diagram Methods 0.000 description 18
- 230000007704 transition Effects 0.000 description 12
- 238000004891 communication Methods 0.000 description 10
- 150000002500 ions Chemical class 0.000 description 9
- 230000001105 regulatory effect Effects 0.000 description 8
- 230000008859 change Effects 0.000 description 7
- 238000005259 measurement Methods 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 230000003750 conditioning effect Effects 0.000 description 6
- 238000004458 analytical method Methods 0.000 description 5
- 230000001276 controlling effect Effects 0.000 description 5
- 230000000694 effects Effects 0.000 description 5
- 239000001307 helium Substances 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 5
- 230000000670 limiting effect Effects 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 230000002829 reductive effect Effects 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 230000000630 rising effect Effects 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 230000005540 biological transmission Effects 0.000 description 3
- 239000002131 composite material Substances 0.000 description 3
- 239000011888 foil Substances 0.000 description 3
- 238000009413 insulation Methods 0.000 description 3
- 230000010355 oscillation Effects 0.000 description 3
- 238000003672 processing method Methods 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 239000000523 sample Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- 229910000838 Al alloy Inorganic materials 0.000 description 2
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 230000001143 conditioned effect Effects 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000007797 corrosion Effects 0.000 description 2
- 238000005260 corrosion Methods 0.000 description 2
- 230000001419 dependent effect Effects 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 230000000737 periodic effect Effects 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 238000000231 atomic layer deposition Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005315 distribution function Methods 0.000 description 1
- -1 e.g. Inorganic materials 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 238000001914 filtration Methods 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 238000013101 initial test Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000009533 lab test Methods 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium(II) oxide Chemical compound [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32146—Amplitude modulation, includes pulsing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/2007—Holding mechanisms
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3341—Reactive etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
本公开内容的实施例与用于对基板进行脉冲直流(DC)偏压和夹紧的系统相关。在一个实施例中,所述系统包括等离子体腔室,所述等离子体腔室具有用于支撑基板的静电卡盘(ESC)。电极嵌入在所述ESC中,并且电耦接至偏压和夹紧网络。所述偏压和夹紧网络至少包括塑形DC脉冲电压源和夹紧网络。所述夹紧网络包括DC源和二极管,以及电阻器。所述塑形DC脉冲电压源和所述夹紧网络并联连接。所述偏压和夹紧网络自动维持实质恒定的夹紧电压,所述夹紧电压是当用脉冲DC电压对所述基板进行偏压时跨所述电极和所述基板的电压降,从而导致对所述基板的夹紧被改进。
Description
背景
技术领域
本公开内容的实施例总体上与半导体制造中所使用的系统相关。更具体地,本公开内容的实施例与用于在等离子体处理期间对基板进行偏压和夹紧的系统相关。
背景技术
离子轰击经常被用作等离子体蚀刻和等离子体增强化学气相沉积(PECVD)工艺中的化学和物理工艺的活化能来源,以供处理半导体基板。由等离子体壳层加速的高能离子也是高度指向性的,并且可以用于蚀刻高纵横比的特征。常规地,可以使用来自射频(RF)源的RF功率来对基板进行偏压。RF源向嵌入在静电卡盘(ESC)或阴极中的第一电极供应RF电压。第一电极通过作为ESC的一部分的陶瓷层与处理腔室的等离子体电容耦合。等离子体壳层的非线性、类似二极管的性质导致施加的RF场的整流,使得在基板与等离子体之间出现直流(DC)电压降或自偏压。这种电压降确定了朝向基板加速的离子的平均能量。
ESC通过向嵌入在ESC中的第二电极施加固定的DC电压以在ESC与基板之间建立电场,来固定设置在ESC上的基板。电场诱导相反极性的电荷分别积聚在基板和第二电极上。相反极化的电荷之间的静电吸引力将基板拉向ESC,以固定基板。然而,静电力可能受到向ESC中的第一电极供应的RF偏压功率的影响,导致基板的夹紧不足或过度。此外,随着大的偏压电压变成数千伏,自偏压电压相对于固定DC电压的波动可能会导致电弧或基板的突然解除夹紧(de-clamping)和断裂的风险增加。这在脉冲电压类型的基板偏压技术期间使用的非常高的偏压功率(数千伏(kV)范围)下尤其是一个问题。
因此,需要用于对基板进行偏压和夹紧的改进的系统。
发明内容
本公开内容的实施例可以提供一种等离子体处理腔室,所述等离子体处理腔室包括基板支撑组件、波形发生器、第一功率输送线路、夹紧网络、信号检测模块和控制器。所述基板支撑组件包括基板支撑表面、第一偏压电极和设置在所述第一偏压电极与所述基板支撑表面之间的第一介电层。所述第一功率输送线路将所述波形发生器电耦接至所述第一偏压电极,其中所述第一功率输送线路包括阻断电容器。所述夹紧网络在所述阻断电容器与所述偏压电极之间的第一点处耦接至所述第一功率输送线路,所述夹紧网络包括耦接在所述第一点与地线之间的直流(DC)电压源和耦接在所述第一点与所述直流(DC)电压源的输出之间的阻断电阻器。所述信号检测模块被配置为从第一信号迹线接收第一电信号,所述第一信号迹线在设置在所述阻断电容器与所述偏压电极之间的点处耦接至所述第一功率输送线路。所述控制器被配置为与所述信号检测模块通信,并且由于在接收到的所述电信号内所接收到的信息,控制由所述直流(DC)在所述第一点处向所述第一功率输送线路供应的电压的幅值。
本公开内容的实施例可以进一步提供一种等离子体处理腔室,所述等离子体处理腔室包括基板支撑组件、波形发生器、第一功率输送线路、夹紧网络和信号检测模块。所述第一功率输送线路将所述波形发生器电耦接至所述第一电极,其中所述第一功率输送线路包括阻断电容器。所述夹紧网络在所述阻断电容器与所述第一电极之间的第一点处耦接至所述第一功率输送线路,所述夹紧网络包括耦接在所述第一点与地线之间的直流(DC)电压源和耦接在所述第一点与所述直流(DC)电压源之间的阻断电阻器。所述信号检测模块被配置为从第一信号迹线接收第一电信号,所述第一信号迹线在设置在所述阻断电容器与所述第一电极之间的点处耦接至所述第一功率输送线路。
本公开内容的实施例可以进一步提供一种用于对基板进行等离子体处理的方法,包括:在处理腔室的处理区域内产生等离子体,其中所述处理区域包括基板支撑件,所述基板支撑件包括基板支撑表面、第一偏压电极和设置在所述第一偏压电极与所述基板支撑表面之间的第一介电层;在第一时间段期间,从波形发生器通过第一功率输送线路向所述第一偏压电极输送多个脉冲电压波形,其中所述第一功率输送线路包括设置在所述波形发生器与所述偏压电极之间的阻断电容器;在整个第二时间段期间停止向所述第一偏压电极输送所述多个脉冲电压波形;从夹紧网络向所述第一偏压电极施加第一夹紧电压;通过从信号迹线接收电信号,来在所述第一时间段期间检测输送的所述多个脉冲电压波形中的一者或多者的至少一个特性,所述信号迹线在设置在所述阻断电容器与所述偏压电极之间的第一点处耦接至所述第一功率输送线路;在所述第二时间段期间检测从所述信号线所接收的电信号的至少一个特性;以及基于在所述第一时间段和所述第二时间段期间所检测的所输送的所述多个脉冲电压波形中的所述一者或多者的特性和从所述信号线所接收的所述电信号的所述至少一个特性,来调整向所述第一偏压电极施加的所述第一夹紧电压。
本公开内容的实施例可以进一步提供一种用于对基板进行等离子体处理的方法,所述方法包括以下步骤:在处理腔室的处理区域内产生等离子体,其中所述处理区域包括基板支撑件,所述基板支撑件包括基板支撑表面、第一偏压电极和设置在所述第一偏压电极与所述基板支撑表面之间的第一介电层;在第一时间段期间,从波形发生器通过第一功率输送线路向所述第一偏压电极输送一个或多个波形;在第二时间段内停止向所述第一偏压电极输送所述一个或多个波形;从夹紧网络向所述第一偏压电极施加第一夹紧电压;通过从信号迹线接收电信号,来在所述第一时间段期间检测所述一个或多个波形的至少一个特性,所述信号迹线在设置在所述第一功率输送线路上的第一点处耦接至所述第一功率输送线路;在所述第二时间段期间检测从所述信号线所接收的电信号的至少一个特性;以及基于在所述第一时间段期间从所述信号线所接收的所述一个或多个波形的检测到的所述特性和在所述第二时间段期间从所述信号线所接收的所述电信号的检测到的所述至少一个特性,来调整向所述第一偏压电极施加的所述第一夹紧电压。
附图说明
为了能够详细理解本公开内容的上述特征,可以通过参考实施例获得上文简要概述的本公开内容的更详细的描述,其中一些实施例在附图中被说明。然而,需要注意的是,附图只说明示例性的实施例,因此不应被视为对实施例的范围的限制,并且可以接受其他同等有效的实施例。
图1A是根据一个实施例的被配置为实践本文所述的方法的处理腔室的示意横截面图。
图1B是根据一个实施例的图1A所示的处理腔室的一部分的特写示意横截面图。
图1C是根据一个实施例的可用于图1A所示的工艺腔室中的库仑式静电卡盘(ESC)的功能等效电路图。
图1D是根据一个实施例的可用于图1A所示的工艺腔室的约翰逊-拉别克式(Johnsen-Rahbek)静电卡盘(ESC)的功能等效电路图。
图1E是根据一个实施例的说明图1A所示的包括反馈回路的处理腔室的示例的示意图。
图2A是根据一个实施例的可用于在工艺腔室中产生负脉冲的系统的功能等效电路图。
图2B是根据一个实施例的可用于在工艺腔室中产生正脉冲的系统的功能等效电路图。
图3A说明根据一个实施例的在图3B所示的功能等效电路图的不同部分处建立的脉冲电压(PV)波形的示例。
图3B是根据一个实施例的说明可用于执行本文所述的一个或多个方法的系统的电路图。
图4A图示出根据一个实施例的在偏压电极和基板处建立的负脉冲电压(PV)波形的示例。
图4B-4D图示出根据一个或多个实施例的一系列脉冲电压(PV)波形突发的示例。
图5A是根据一个实施例的可用于向工艺腔室内的电极输送RF波形的系统的功能等效电路图。
图5B图示出根据一个实施例的在图5A所示的功能等效电路图的不同部分处建立的RF波形的示例。
图6A-6B是根据一个或多个实施例的说明在等离子体处理期间对基板进行偏压和夹紧的方法的过程流程图。
为了便于了解,在可能的情况下,使用了相同的附图标记来表示附图中共有的相同元素。可以预期,一个实施例的元素和特征可以有益地并入其他实施例,而无需另外叙述。
具体实施方式
本文所提供的公开内容的实施例包括一种用于在处理腔室中对基板进行等离子体处理的装置和方法。本文所公开的实施例中的一者或多者的方面包括一种在处理期间可靠地对基板进行偏压和夹紧以改进等离子体处理结果的系统和方法。本公开内容的实施例可以包括一种用于在等离子体工艺期间对基板进行偏压和夹紧时,提供脉冲电压(PV)波形的装置和方法,所述PV波形被从一个或多个脉冲电压(PV)发生器输送到处理腔室内的一个或多个电极。在一些实施例中,射频(RF)产生的RF波形从RF发生器提供到处理腔室内的一个或多个电极,以在处理腔室内建立和维持等离子体,而从PV发生器输送的(多个)PV波形被配置为在基板的整个表面上建立几乎恒定的壳层电压。在基板的整个表面上所建立的几乎恒定的壳层电压可以在处理腔室内执行的一个或多个等离子体处理步骤期间在基板的表面处产生期望的离子能量分布函数(IEDF)。在一些实施例中,PV波形由PV发生器建立,所述PV发生器电耦接至设置在基板支撑组件内的偏压电极,所述基板支撑组件设置在等离子体处理腔室内。
在等离子体工艺中的一些等离子体工艺期间,离子通过在电子排斥壳层中形成的电压降有目的地朝向基板加速,所述电子排斥壳层在放置在基板支撑组件的顶部上的基板上方形成。不旨在限制本文所提供的公开内容的范围,基板支撑组件在本文中通常称为“阴极组件”或“阴极”。图1A是处理腔室100的示意横截面图,等离子体101在基板103上执行的等离子体工艺期间在处理腔室100中形成。在本文所公开的等离子体处理方法中的一者或多者期间,在等离子体处理期间,一般通过使用脉冲电压(PV)发生器150来形成离子加速阴极壳层,PV发生器150被配置为在设置在基板支撑组件136内的偏压电极104(图1A-图1B)处建立脉冲电压波形。在一些实施例中,基板支撑组件136(图1A)包括基板支撑件105和支撑基部107。基板支撑件105可以包括静电卡盘(ESC)组件105D,ESC组件105D被配置为在基板接收表面105A上“夹紧”或“卡紧”(例如,保持)基板103。在一些实施例中,偏压电极104形成卡紧电极的一部分,它通过在静电卡盘(ESC)组件105D内形成的介电材料105B(图1B)的薄层与基板分开,以及设置在边缘环114内或下方的可选的边缘控制电极115,当基板103设置在基板支撑组件136的基板支撑表面105A上时,边缘环114围绕基板103。
在等离子体处理期间,在处理腔室100的处理容积129中形成的真空压力导致设置在其中的部件的表面之间(诸如基板支撑件105的介电材料与设置在基板接收表面105A上的基板103之间)的不良的热传导,这减少了基板支撑件加热或冷却基板103的有效性。因此,通常需要在设置在基板103的非器件侧表面与基板支撑件105的基板接收表面105A之间的容积(未示出)内将导热惰性传热气体(典型地,氦气)引入并维持在增加的压力(例如,背侧压力)下,以改进其间的传热。由传热气体源(未示出)所提供的传热气体通过气体连通路径(未示出)流动到背侧容积,所述气体连通路径通过支撑基部107设置并且进一步通过基板支撑件105设置。
为了能够在基板后面形成更高的相对压力,通过使用偏压和夹紧网络(在本文中也简称为夹紧网络116)来向偏压电极104施加夹紧电压以将基板103“夹紧”或“卡紧”到基板接收表面105A。在一些实施例中,夹紧网络116包括DC电压源P2(图2A-图2B)、阻断电阻器R1(图2A-图2B)和二极管D1(图2A),并且在一些配置中也将包括电阻器R2(图2A-图2B)和电容器C6(图2A-图2B)。二极管D1的存在用于在偏压电极104处建立的波形与在基板表面处建立的波形之间维持恒定的电压差。在一些实施例中,PV波形发生器150和夹紧网络116并联连接。夹紧网络116自动调整向偏压电极104施加的夹紧电压,以将夹紧电压维持在期望的夹紧电压水平,以改进在基板103上实现的等离子体处理工艺结果,并且确保夹紧的基板103在处理期间不因施加过大的夹紧电压或施加过小的夹紧电压而损伤。施加过大的夹紧电压可增加“解除卡紧”时间(例如在基板中形成的电荷消散以减少基板103对基板接收表面105A的吸引力所需的时间),和/或由于向基板103施加过大的“夹紧”或“卡紧力”而导致基板断裂,和/或导致基板背侧与夹紧电压104之间的薄介电体破裂。施加过小的夹紧电压可导致基板103在处理期间与基板接收表面105A失去紧密接触。背侧氦气可泄漏到等离子体腔室中,并且等离子体物质也可泄漏到基板背侧处的位置中,导致基板背侧处的压力和气体组成物突然改变。这种突然的改变可点燃基板背侧处的等离子体,从而损伤基板和静电卡盘。
由于向设置在等离子体处理腔室内的一个或多个电极施加脉冲电压(PV)或RF偏压,在处理区域129中形成的等离子体101的等离子体电势发生变化。如下面进一步论述的,为了在等离子体工艺期间可靠地产生期望的夹紧电压VDCV,当在处理期间控制向夹紧电极和基板103施加的夹紧电压时,需要考虑到等离子体电势的变化。在一个示例中,等离子体电势的变化将在多个脉冲PV波形的每个脉冲内发生,并且也随着由PV发生器150输送的PV波形的特性在向偏压电极施加的脉冲电压偏压参数在基板处理配方内发生改变或在不同的基板处理配方之间发生改变时的改变而发生。提供恒定夹紧电压并且不考虑到且不调整等离子体电势中的波动的常规基板夹紧系统(例如,静电卡盘)通常提供不良的等离子体处理结果和/或在处理期间损伤基板。
然而,可靠地实时测量或确定等离子体电势的变化使得它们可以在处理期间被考虑到的能力并不是项简单的任务。可靠地测量等离子体电势的波动或变化使得可以在能够依序处理多个基板的值得生产的等离子体处理腔室中对夹紧电压进行期望调整的能力是项额外的挑战。测量等离子体电势和基板DC偏压的常规方法需要使用探针来直接测量基板表面电势,对于非生产的实验室测试是好的,但是它们在腔室中的存在可能影响等离子体处理结果。估算等离子体电势和基板DC偏压的常规方法很复杂,并且需要使用一个或多个模型来将直接测得的基板表面DC偏压与要在少数校准条件下的RF匹配下测量的电压、电流和相位数据互相关,并且当用于生产器件制造工艺时使用所述模型来估算等离子体电势和基板DC偏压。本文所述的装置和方法可用于可靠地确定因变于时间的等离子体电势,然后基于测得的等离子体电势来对夹紧电压提供调整。
等离子体处理腔室示例
图1A图示出处理腔室100,在等离子体处理期间,在处理腔室100中形成了复合负载130(图2A-图2B)。图1B是根据一个实施例的图1A所示的基板支撑组件136的一部分的特写示意横截面图。一般地,工艺腔室100被配置为利用一个或多个PV发生器150和/或一个或多个RF发生器118来在等离子体处理期间在处理容积129中产生、控制和维持等离子体101。图2A和图2B图示出电路或系统的不同配置,所述电路或系统被配置为向设置在等离子体处理腔室100中的偏压电极104输送从PV发生器150提供的多个电压脉冲。图2A和图2B所示的PV波形发生器150设置在第一PV源组件196(图1A)内,第一PV源组件196设置在处理腔室100内。
根据一个或多个实施例,处理腔室100被配置为实践本文所提出的偏压方案中的一者或多者。在一个实施例中,处理腔室100是等离子体处理腔室,诸如反应性离子蚀刻(RIE)等离子体腔室。在一些其他的实施例中,处理腔室100是等离子体增强沉积腔室,例如等离子体增强化学气相沉积(PECVD)腔室、等离子体增强物理气相沉积(PEPVD)腔室、或等离子体增强原子层沉积(PEALD)腔室。在一些其他的实施例中,处理腔室100是等离子体处理腔室或基于等离子体的离子植入腔室,例如等离子体掺杂(PLAD)腔室。在一些实施例中,等离子体源是电容耦合等离子体(CCP)源,它包括设置在处理容积129中面向基板支撑组件136的电极(例如,腔室盖123)。如图1A所示,相对的电极(诸如与基板支撑组件136相对地定位的腔室盖123)电耦接至地线。然而,在其他替代实施例中,相对的电极电耦接至RF发生器。在又其他的实施例中,处理腔室100可以替代地或附加地包括电耦接至射频(RF)电源的感应耦合等离子体(ICP)源。
处理腔室100也包括腔室主体113,腔室主体113包括腔室盖123、一个或多个侧壁122和腔室基部124,它们界定处理容积129。所述一个或多个侧壁122和腔室基部124一般包括尺寸和形状被调整为针对处理腔室100的元件形成结构支撑的材料,并且被配置为在等离子体101在以下情况下承受向它们施加的压力和添加的能量:在处理期间,在处理腔室100的处理容积129中维持的真空环境内产生等离子体。在一个示例中,所述一个或多个侧壁122和腔室基部124由金属(诸如铝、铝合金或不锈钢)形成。通过腔室盖123设置的气体入口128用于从与之流体连通的处理气体源119向处理容积129提供一种或多种处理气体。基板103通过所述一个或多个侧壁122中的一者中的开口(未示出)被装载到处理容积129中以及从处理容积129移除,在基板103的等离子体处理期间,所述开口用狭缝阀(未示出)密封。在本文中,使用升降销系统(未示出)来将基板103传输到基板支撑件105的基板接收表面105A和从基板接收表面105A传输。
处理腔室100进一步包括系统控制器126,它在本文中也称为处理腔室控制器。系统控制器126在本文中包括中央处理单元(CPU)133、存储器134和支持电路135。系统控制器126用于控制用于处理基板103的工艺序列,包括本文所述的基板偏压方法。CPU 133是被配置为用于工业环境以供控制与之相关的处理腔室和子处理器的通用计算机处理器。本文所述的存储器134(其一般是非易失性存储器)可包括随机存取存储器、只读存储器、软盘或硬盘驱动器,或其他合适形式的本地或远程的数字存储。支持电路135常规上与CPU 133耦接,并且包括高速缓存、时钟电路、输入/输出子系统、电源等、及其组合。软件指令(程序)和数据可以被编码并存储在存储器134内,用于指示CPU 133内的处理器。可由系统控制器126中的CPU 133读取的软件程序(或计算机指令)确定哪些任务是可由处理腔室100中的部件执行的。优选地,可由系统控制器126中的CPU 133读取的程序包括代码,所述代码当由处理器(CPU 133)执行时,执行与监测和执行本文所述的电极偏压方案相关的任务。所述程序将包括指令,所述指令用于控制处理腔室100内的各种硬件和电气部件,以执行用于实施本文所述的在等离子体工艺期间可靠地对基板进行偏压和夹紧的电极偏压方案和方法的各种工艺任务和各种工艺序列。在一个实施例中,所述程序包括指令,所述指令用于执行下面关于图6A-图6B所描述的操作中的一者或多者。
在一些实施例中,包括RF发生器118和RF发生器组件160的RF源组件163一般被配置为基于从控制器126提供的控制信号来以期望的实质固定的正弦波形频率向支撑基部107输送期望量的连续波(CW)或脉冲RF电源。在处理期间,RF源组件163被配置为向设置在基板支撑件105附近和基板支撑组件136内的支撑基部107输送RF功率。向支撑基部107输送的RF功率被配置为点燃并维持通过使用设置在处理容积129内的处理气体而形成的处理等离子体101。在一些实施例中,支撑基部107是RF电极,所述RF电极经由RF匹配电路162和第一滤波器组件161电耦接至RF发生器118,RF匹配电路162和第一滤波器组件161两者都设置在RF发生器组件160内。第一滤波器组件161包括一个或多个电气元件,所述一个或多个电气元件被配置为实质防止由PV波形发生器150的输出所产生的电流通过RF功率输送线路167流动和损伤RF发生器118。第一滤波器组件161充当从PV波形发生器150内的PV脉冲发生器P1所产生的PV信号的高阻抗(例如,高Z),因此抑制电流流动到RF匹配电路162和RF发生器118。
在一些实施例中,RF发生器组件160和RF发生器118用于使用设置在处理容积129中的处理气体和由RF发生器118向支撑基部107提供的RF功率所产生的场来点燃并维持处理等离子体101。处理容积129通过真空出口127流体耦接至一个或多个专用真空泵,所述一个或多个专用真空泵将处理容积129维持在亚大气压力条件并从其中抽空处理气体和/或其他气体。设置在处理容积129中的基板支撑组件136设置在支撑轴杆138上,支撑轴杆138被接地并且通过腔室基部124延伸。然而,在一些实施例中,RF发生器组件160被配置为向设置在基板支撑件105而不是支撑基部107中的偏压电极104输送RF功率。
如上面简述的基板支撑组件136一般包括基板支撑件105(例如,ESC基板支撑件)和支撑基部107。在一些实施例中,基板支撑组件136可以附加地包括绝缘板111和接地板112,如下面进一步论述。支撑基部107通过绝缘板111与腔室基部124电性隔离,并且接地板112介于绝缘板111与腔室基部124之间。基板支撑件105热耦接至支撑基部107并且设置在支撑基部107上。在一些实施例中,支撑基部107被配置为在基板处理期间调节基板支撑件105和设置在基板支撑件105上的基板103的温度。在一些实施例中,支撑基部107包括设置在其中的一个或多个冷却通道(未示出),所述一个或多个冷却通道流体耦接至冷却剂源(未示出)并且与冷却剂源流体连通,冷却剂源诸如具有相对较高的电阻的致冷剂源或水源。在一些实施例中,基板支撑件105包括加热器(未示出),诸如嵌入在其介电材料中的电阻式加热元件。在本文中,支撑基部107由耐腐蚀的导热材料(诸如耐腐蚀的金属,例如铝、铝合金或不锈钢)形成,并且用黏着剂或通过机械手段耦接至基板支撑件。
一般地,基板支撑件105由介电材料形成,介电材料诸如本体(bulk)烧结陶瓷材料,诸如耐腐蚀的金属氧化物或金属氮化物材料,例如氧化铝(Al2O3)、氮化铝(AlN)、氧化钛(TiO)、氮化钛(TiN)、氧化钇(Y2O3)、上述各项的混合物、或上述各项的组合。在本文的实施例中,基板支撑件105进一步包括嵌入在其介电材料中的偏压电极104。在一个配置中,偏压电极104是卡紧极,用于将基板103固定(即,卡紧)到基板支撑件105的基板接收表面105A,并且使用本文所述的脉冲电压偏压方案中的一者或多者相对于处理等离子体101对基板103进行偏压。典型地,偏压电极104由一个或多个导电部件形成,诸如一个或多个金属筛网、金属箔、金属板、或其组合。
静电卡盘(ESC)内的偏压电极104电耦接至偏压和夹紧网络116,它们示于图1A和图2A-图2B中。偏压和夹紧网络116包括DC电压源P2。在等离子体处理期间,当脉冲电压波形发生器(PVWG)150向偏压电极104施加多个PV波形时,夹紧网络116自动维持夹紧电压,所述夹紧电压是跨偏压电极104和基板103的恒定电压降,从而导致对基板103的夹紧被改进。下面将结合图2A-图4C进一步描述夹紧网络116。在一些实施例中,夹紧网络116被配置为在处理期间向偏压电极104提供卡紧电压,诸如约-10,000伏特(V)与约10,000V之间、诸如-3,000伏特(V)与约3,000V之间的静态DC电压。
参照图1A,基板支撑组件136可以进一步包括边缘控制电极115,边缘控制电极115定位在边缘环114下方并围绕偏压电极104,使得当被偏压时,由于偏压电极104相对于基板103的位置,偏压电极104可以影响或改变产生的等离子体101的在基板103的边缘处或边缘外部的部分。边缘控制电极115可以通过使用脉冲电压波形发生器(PVWG)150来偏压,它与用于对偏压电极104进行偏压的脉冲电压波形发生器(PVWG)150不同。在一些实施例中,边缘控制电极115可以通过使用脉冲电压波形发生器(PVWG)150来进行偏压,脉冲电压波形发生器(PVWG)150也用于通过分割功率的一部分给边缘控制电极115来对偏压电极104进行偏压。在一个配置中,第一PV源组件196的第一PV波形发生器150被配置为对偏压电极104进行偏压,并且第二PV源组件197的第二PV波形发生器150被配置为对边缘控制电极115进行偏压。在一个实施例中,边缘控制电极115定位在基板支撑件105的区域内,如图1A所示。一般地,对于被配置为处理圆形基板的处理腔室100,边缘控制电极115的形状是环形,由导电材料制成并且被配置为围绕偏压电极104的至少一部分,如图1A所示。在一些实施例中,如图1A所示,边缘控制电极115包括导电筛网、导电箔或导电板,它设置在与偏压电极104类似的距基板支撑件105的表面105A的距离(即,Z方向)处。在一些其他的实施例中,边缘控制电极115包括定位在石英管110的区域上或内的导电筛网、导电箔或导电板,所述区域围绕偏压电极104和/或基板支撑件105的至少一部分。或者,在一些其他的实施例中,边缘控制电极115定位在边缘环114内或耦接至边缘环114,边缘环114设置在基板支撑件105附近。在这种配置中,边缘环114由半导体或介电材料(例如,AlN等)形成。
参照图1A,第二PV源组件197包括夹紧网络116,使得向边缘控制电极115施加的偏压可以与由耦接在第一PV源组件196内的夹紧网络116向偏压电极104施加的偏压类似地配置。向偏压电极104和边缘控制电极115施加类似地配置的PV波形和夹紧电压可以有助于改进处理期间的基板的整个表面上的等离子体均匀性,因此改进了等离子体处理工艺结果。虽然为了易于论述,本文所述的各种方法主要论述用于确定要向偏压电极104施加的期望夹紧电压VDCV或DC偏压电压(例如,等式(15)和/或(16))的方法,但此论述不旨在限制本文所提供的公开内容的范围,因为本文所述的操作或方法中的一者或多者也可以用于确定并控制要由第二PV源组件197的夹紧网络116向边缘控制电极115施加的偏压。在一个示例中,关于图6A-图6B公开的操作可以在等离子体处理期间同时应用于偏压电极104和边缘控制电极115。
在一些实施例中,处理腔室100进一步包括石英管110或轴环,它至少部分地环绕基板支撑组件136的一部分,以防止基板支撑件105和/或支撑基部107与腐蚀性的处理气体或等离子体、清洁气体或等离子体或上述各项的副产物接触。典型地,石英管110、绝缘板111和接地板112被衬垫108环绕。在一些实施例中,等离子体屏109定位在阴极衬垫108与侧壁122之间,以防止等离子体在衬垫108与所述一个或多个侧壁122之间的等离子体屏109下方的容积中形成。
图1B是基板支撑组件136的特写图,其包括基板支撑组件136的一个或多个实施例内的各种结构元件的电气特性的简化电气示意表示。基板支撑组件136包括基板支撑件105、支撑基部107、绝缘板111和接地板112,它们各自将被依次论述。
结构上,在静电卡盘(ESC)191版本的基板支撑件105中,偏压电极104通过介电材料层105B与基板支撑件105的基板接收表面105A隔开。一般地,静电卡盘(ESC)191可以分为两大类静电卡盘,即库仑式ESC或约翰逊-拉别克式ESC。取决于静电卡盘191的类型(诸如库仑式ESC或约翰逊-拉别克式ESC),用于描述偏压电极104与等离子体101的电气耦合的有效电路元件将有一些差异。图1C是根据一个实施例的可用于图1A所示的工艺腔室中的库仑式ESC的功能等效电路图。图1D是根据一个实施例的可用于图1A所示的工艺腔室中的约翰逊-拉别克式ESC的功能等效电路图。
在最简单的情况(诸如库仑式ESC情况)下,介电层105B将包括电容C1,如图1B-图1C、图2A和图3B所示。典型地,介电材料(例如,氧化铝(Al2O3)等)层105B的厚度介于约0.1mm与约1mm之间,诸如介于约0.1mm与约0.5mm之间,例如为约0.3mm。在一些实施例中,介电材料和层厚度可以被选择为使得介电材料层的电容C1例如介于约5nF与约100nF之间,诸如介于约7与约20nF之间。
在更复杂的情况(诸如约翰逊-拉别克式ESC的情况)下,电路模型包括电容C1,它与介电材料电阻RJR和间隙电容CJR并联耦接,如图1D所示。在“约翰逊-拉别克式ESC”的情况下,ESC介电层是“漏电的”,因为它不是完美的绝缘体并且具有一定的导电率,因为,例如,介电材料可能是介电系数(ε)为约9的掺杂氮化铝(AlN)。与库仑式卡盘相同,通过薄介电体105B和用氦气填充的间隙,在电极104与基板103之间存在直接电容C1。约翰逊-拉别克式ESC内的介电层的容积电阻率小于约1012欧姆-厘米(Ω-cm),或小于约1010Ω-cm,或甚至在108Ω-cm与1012Ω-cm之间的范围中,因此介电材料层105B的介电材料电阻RJR在106-1011Ω的范围中。因为间隙典型地在基板支撑表面105A与基板103的表面之间形成,因此间隙电容CJR用于考虑到基板103与基板支撑表面105A之间包含气体的空间。可以预期,间隙电容CJR的电容比电容C1大一些。
为了便于论述,因为基板103典型地由半导体材料和介电材料制成,在底表面和顶表面上具有薄本征介电层,所以基板103的底部介电层可以被认为在电气上是设置在偏压电极104与基板接收表面105A之间的介电层的一部分。因此,在一些应用中,形成于偏压电极104与基板103的顶表面之间的有效电容CE(未示出)可以近似于介电材料105B和基板底层的组合串联电容(即,基板电容Csub(图1B))。在库仑式卡盘的情况下,因为基板电容Csub典型地非常大(>100nF),或基板可能是导电的(电容无限大),所以串联电容由电容C1主导。在约翰逊-拉别克式ESC的情况下,假设基板电容Csub典型地非常大,用于夹紧基板的有效电容CE将由DC夹紧电压的间隙电容CJR主导(图1D)。顶部介电体105B的有限电阻导致电阻RJR与间隙电容CJR串联,这两者与电极104与基板103之间的直接耦接C1并联。C1是在等离子体处理期间将RF频率电压从电极104耦接到基板103的电容。。
回到图1B,在基板支撑组件136内形成的电路的电气示意表示包括支撑基部介电层电容C2,它表示定位在支撑基部107与偏压电极104之间的介电层的电容。在一些实施例中,设置在支撑基部107与偏压电极104之间的介电材料105C的部分的厚度大于设置在偏压电极104与基板103之间的介电材料105B的厚度。在一些实施例中,用于在偏压电极的任一侧形成介电层的介电材料是相同的材料,并且形成基板支撑件105的结构主体。在一个示例中,在延伸于支撑基部107与偏压电极104之间的方向上测得的介电材料105C(例如,Al2O3或AlN)的厚度大于1mm,诸如具有约1.5mm与约100mm之间的厚度。支撑基部介电层电容C2将典型地具有介于约0.5与约10纳法拉(nF)之间的电容。
在基板支撑组件136内形成的电路的电气示意表示也包括支撑基部电阻RP、绝缘板电容C3,和一端耦接至地线的接地板电阻RG。因为支撑基部107和接地板112典型地由金属材料形成,所以支撑基部电阻RP和接地板电阻RG相当低,诸如小于几毫奥姆。绝缘板电容C3表示定位在支撑基部107的底表面与接地板112的顶表面之间的介电层的电容。在一个示例中,绝缘板电容C3的电容介于约0.1与约1nF之间。
返回参照图1A,第一PV源组件196的PV波形发生器150向偏压电极104提供PV波形,并且第二PV源组件197的PV波形发生器150向边缘控制电极115提供PV波形。脉冲电压波形被提供给设置在处理腔室100内的负载(例如,复合负载130)。PV波形发生器150包括PV发生器P1,诸如图2A中的PV发生器P1A或图2B中的PV发生器P1B,它通过功率输送线路157耦接至偏压电极104。不旨在限制本文所提供的公开内容的范围,并且为了简化论述,在图2A和图2B中没有示意性地示出RF组件(例如,RF发生器组件160和RF发生器118)和第二PV源组件197内的部件。对来自PV波形发生器150中的每一者的PV波形的输送的整体控制是通过使用从控制器126提供的信号来控制的。在一个实施例中,如图2A和图2B所示,PV波形发生器150被配置为通过使用来自晶体管-晶体管逻辑(TTL)源的信号,以预定长度的时间间隔输出周期性电压函数。周期性电压函数可以是预定的负或正电压与零之间的两态DC脉冲。在一个实施例中,PV波形发生器150被配置为通过以预定的速度重复关闭和打开一个或多个开关,来在规律地重复发生的预定长度的时间间隔期间跨PV波形发生器150的输出(即,对地)维持预定、实质恒定的负电压。在一个示例中,在脉冲间隔的第一阶段期间,第一开关用于将高电压源连接至偏压电极104,并且在脉冲间隔的第二阶段期间,第二开关用于将偏压电极104连接至地线。在另一个实施例中,如图2B所示,PV波形发生器150被配置为通过以预定的速度重复关闭和打开其内部开关(未示出),来在规律地重复发生的预定长度的时间间隔期间跨PV波形发生器150的输出(即,对地)维持预定、实质恒定的正电压。在图2B所示的实施例的一个配置中,在脉冲间隔的第一阶段期间,第一开关用于将偏压电极104连接到地线,并且在脉冲间隔的第二阶段期间,第二开关用于将高电压源连接至偏压电极104。在图2B所示的实施例的替代配置中,在脉冲间隔的第一阶段期间,第一开关置于打开状态,使得偏压电极104与高电压源断开,并且偏压电极104通过阻抗网络(例如,串联连接的电感器和电阻器)耦接至地线。然后,在脉冲间隔的第二阶段期间,第一开关置于关闭状态,以将高电压源连接至偏压电极104,而偏压电极104保持通过阻抗网络耦接至地线。
在图2A-图2B中,PV波形发生器150已经被精简到最小部件组合,这些部件对于理解其在偏压电极104处建立期望的脉冲电压波形的作用很重要。每个PV波形发生器150将包括PV发生器P1A或P1B和一个或多个电气部件,诸如但不限于高重复率开关(未示出)、电容器(未示出)、电感器(未示出)、续流二极管(fly back diode)(未示出)、电源晶体管(未示出)和/或电阻器(未示出),它们被配置为向输出提供PV波形。可以被配置为纳秒脉冲发生器的实际PV波形发生器150可以包括任何数量的内部部件,并且与图2A-2B的说明相比,可以基于更复杂的电路。提供图2A-图2B的示意图是为了分别帮助解释:PV波形发生器的操作的基本原理、其与处理容积中的等离子体的交互作用、以及其在偏压电极104处建立脉冲电压波形(诸如图3A中的脉冲电压(PV)波形301或图4A中的脉冲波形401)的作用。
功率输送线路157(图1A-图1B)将PV波形发生器150的输出电连接至可选的滤波器组件151和偏压电极104。虽然下面的论述主要是在论述第一PV源组件196的功率输送线路157(其用于将PV波形发生器150耦接至偏压电极104),但第二PV源组件197的功率输送线路158(其用于将PV波形发生器150耦接至边缘控制电极115)也将包括相同或类似的部件。在图2A和图2B中,PV波形发生器150的输出被提供给节点N3。功率输送线路157的各种部分内的(多个)电导体可以包括:(a)同轴传输线(例如,同轴线106),它可以包括与刚性同轴传输线串联连接的柔性同轴电缆,(b)绝缘的高电压抗电晕架空电线(hookup wire),(c)裸线,(d)金属棒,(e)电连接器,或(f)(a)-(e)中的电气元件的任意组合。功率输送线路157(诸如支撑轴杆138(图1A)和偏压电极104内的功率输送线路157的部分)将有一些对地的组合杂散电容Cstray(未示出)。可选的滤波器组件151包括一个或多个电气元件,所述一个或多个电气元件被配置为实质防止由RF发生器118的输出所产生的电流通过功率输送线路157流动和损伤PV波形发生器150。可选的滤波器组件151充当对由RF发生器118所产生的RF信号的高阻抗(例如,高Z),因此抑制电流流动到PV波形发生器150。
在一些实施例中,如图1A和图2A-图2B所示,第一PV源组件196的PV波形发生器150被配置为通过以下操作向偏压电极104提供脉冲电压波形信号,并且最终向复合负载130提供脉冲电压波形:通过节点N3和阻断电容器C5、滤波器组件151、高电压线路电感L1和电容C1输送产生的脉冲电压波形。PV波形发生器150连接在接地节点NG与节点N3之间。电容器C5进一步连接在节点N3与节点N1之间,夹紧网络116附接在节点N1处。夹紧网络116连接在节点N1与接地节点NG之间。在一个实施例中,如图2A所示,夹紧网络116至少包括二极管D1、电容器C6、DC电压源P2、限流电阻器R2和阻断电阻器R1。在这种配置中,二极管D1和阻断电阻器R1连接在节点N1与节点N2之间,并且电容器C6和DC电压源P2(它与限流电阻器R2串联)连接在节点N2与接地节点NG之间。在另一实施例中,如图2B所示,夹紧网络116包括电容器C6、DC电压源P2、电阻器R2和阻断电阻器R1。在这种配置中,阻断电阻器R1连接在节点N1与节点N2之间,并且电容器C6和与限流电阻器R2串联的DC电压源P2连接在节点N2与接地节点NG之间。一般来说,DC电压源P2用于建立夹紧网络116的输出电压,即跨电容C6的电压差。
如图2A和图2B所示,当组合使用时,夹紧网络166对来自PV发生器的PV波形形成电流抑制/过滤电路,使得PV波形不会通过夹紧网络116对地感应出大量电流。通过选择适当大的阻断电容器C5和阻断电阻器R1,可以使夹紧网络116对PV发生器P1A(图2A)或PV发生器P1B(图2B)的操作的影响可以忽略不计。阻断电阻器R1示意性地说明了定位在将夹紧网络116与功率输送线路157内的点(诸如节点N1)连接的部件内的电阻器。阻断电容器C5的主要功能是保护PV脉冲发生器P1A不受DC电压源P2产生的DC电压的影响,因此所述DC电压在阻断电容器C5上下降,并且不会扰乱PV波形发生器的输出。阻断电容器C5的值被选择为使得在只阻断DC电压源P2产生的DC电压时,它对提供给节点N3的脉冲偏压发生器的脉冲电压输出产生可忽略不计的阻抗,从而使大部分的脉冲电压被输送到复合负载130。通过选择足够大的阻断电容器C5电容(例如10-80nF),阻断电容器C5对于例如由PV波形发生器150所产生的400kHz的PV波形信号来说几乎是透明的,因为它比系统中任何其他相关的电容大得多,而且与跨其他相关电容器(例如壳层电容CSH和CWALL(图2A-2B)的电压降相比,跨此组件的电压降非常小。
参照图2A-图2B,夹紧网络116中的阻断电阻器R1用来将由PV波形发生器150产生的脉冲电压阻断到足以使其在DC电压源P2中感应出的电流最小。这个阻断电阻器R1的尺寸被调整为大到足以高效地最小化通过它的电流(i1)。例如,≥200kOhm(诸如大于1MOhm,或大于10MOhm,或甚至在200kOhm与50MOhm之间的范围中)的电阻用于使得从由PV波形发生器150向节点N1输送到夹紧网络116中的400kHz的脉冲电压信号所产生的电流可以忽略不计。平均的感应DC电流期望地小于约40mA,诸如小于30mA,或小于20mA,或小于10mA,或小于5mA,或甚至介于1μA–20mA之间。
在一些配置中,阻断电阻器R1提供了充电/放电路径,当二极管D1不处于正向偏压模式时,所述路径可用于重设跨电容器C1形成的夹紧电压。例如,在等离子体工艺开始时,通过将电容器C1充电到预定的电压来将基板夹紧到静电卡盘表面105A。向电容器C1供应的这种充电电流可以由夹紧网络116通过电阻器R1(图2A和图2B)提供。类似地,在基板的解除卡紧步骤中,来自电容器C1的放电电流可以通过R1流动。电容器C1的充电或放电电流确定达到夹紧(例如卡紧)或解除卡紧基板的稳定状态的速度。因此,在一些实施例中,阻断电阻器R1被选择为使得其电阻不会过大,诸如小于约50MOhms。
在处理腔室100的一个实施例中,如图5A所示,RF波形通过RF源组件163提供给定位在节点N5处的支撑基部107。在一些实施例中,RF源组件163可以是多频RF源。在这种配置中,RF源组件163经由有效电容C8耦接至电极(诸如支撑基部107),有效电容C8是RF匹配电路162和第一滤波器组件161的一部分,并且夹紧网络116通过功率输送线路157耦接至偏压电极104。RF波形被提供给设置在处理腔室100内的负载(例如,复合负载130)。图5A中的RF源组件163经由向支撑基部107输送RF功率电容耦接至负载130。不旨在限制本文所提供的公开内容的范围,并且为了简化论述,图5A中没有示意性地示出在这个示例中可选的一个或多个PV源组件。对RF波形的输送的整体控制通过使用从控制器126提供的信号来控制。如图5B所示,从RF源组件163向处理区域129提供的正弦RF波形在突发时段510期间被提供,并且在突发关(burst-off)时段514期间被停止。在图5A中,RF源组件163已经被精简到最小部件组合,这些部件对于理解其在对支撑基部107建立期望的RF波形的作用很重要。如上所述,RF源组件163可以包括RF匹配电路162和第一滤波器组件161内的部件。
处理监测和控制示例
在一些实施例中,如图1A所示,处理腔室100进一步包括信号检测模块188,信号检测模块188通过使用多个信号线187(它们示于图1E中)来电耦接至处理腔室100内发现的多个电气部件。图1E图示出处理腔室100的示意图,它包括多个信号迹线192,这些信号迹线192耦接至处理腔室100内的各种电气部件,并且被配置为向信号检测模块188内的信号检测元件输送电信号。一般地,信号检测模块188包括一个或多个输入信道172和快速数据采集模块120。所述一个或多个输入信道172各自被配置为从信号迹线192接收电信号,并且电耦接至快速数据采集模块120。接收到的电信号可以包括由PV波形发生器150和/或RF发生器118所产生的波形的一个或多个特性。在一些实施例中,快速数据采集模块120被配置为产生控制信号,所述控制信号用于在处理期间自动控制和维持实质恒定的夹紧电压,从而导致改进在等离子体处理期间的基板的夹紧。进一步地,快速数据采集模块120包括一个或多个采集信道122。控制器126被配置为基于通过所述一个或多个信号线187向信号检测模块188提供的信号信息来产生用来自动控制和维持夹紧电压的控制信号,所述信号信息由快速数据采集模块120部件所处理然后由控制器126接收。然后,由控制器126所接收的信号信息可以被分析,使得可以基于接收到的信号信息的分析特性来提供对由夹紧网络116的DC电压源P2所施加的电压的期望实时调整。
图1E示意性地说明信号检测模块188的示例,它包括多个输入信道172,这些输入信道172各自电耦接至快速数据采集模块120的对应的采集信道122。所述多个输入信道172(诸如输入信道1721-1723)耦接至定位在第一PV源组件196的各种部分中的连接点,以在处理期间从这些连接点测量和收集电气数据。此外,多个输入信道172(诸如输入信道1724-172N)耦接至定位在RF源组件163(图1A)的各种部分中的连接点,以在处理期间从RF源组件163内的一个或多个点或节点测量和收集电气数据。在一个示例中,输入信道1724-172N被配置为检测在等离子体处理期间在RF源组件163内的不同点处建立的RF波形181。所述多个输入信道172也可以耦接至各种电气感测组件(诸如电流传感器),所述电气感测组件被配置为测量和收集处理腔室100内的各点处的电气数据。虽然图1E示意性地说明了只有几个输入信道172耦接至第一PV源组件196和RF源组件163内的点的配置,但这种配置不旨在限制本文所提供的公开内容的范围,因为输入信道172的数量可以根据需要增加或减少,以控制期望的腔室处理应用。在一些实施例中,一个或多个输入信道172连接至第一PV源组件196、第二PV源组件197和RF源组件163的不同部分。
输入通道172中的一者或多者可以包括调节电路171,诸如例如输入信道1721中的调节电路1711和输入信道1722中的调节电路1712。进一步地,所述一个或多个输入信道172被配置为产生被调节的输出波形。在一些实施例中,调节电路171可以各自包括分压器、低通滤波器、分压器和低通滤波器两者,或甚至在一些情况下既不包括分压器也不包括低通滤波器,这在本文中称为无衰减调节电路。各种调节电路元件(诸如分压器和滤波器)和它们与输入信道的整合的示例在美国专利第10,916,408号中进一步描述,所述专利的整体内容以引用方式并入本文。
图1E说明了信号检测模块188包括多个输入信道(诸如输入信道1721-172N,其中N一般是大于1的数字)的配置。输入信道1721-172N中的每一者可以连接至处理腔室100内的不同点。例如,输入信道1721可以连接至电导体,所述电导体用于将PV波形发生器150与阻断电容器C5耦接(图1E)。在输入信道1721耦接在PV波形发生器150的输出与阻断电容器C5之间的实施例中,输入信道1721接收输入脉冲电压波形(例如,第一输入脉冲电压波形182(图1E)),并且调节电路1711产生输出波形(例如,经调节波形)。在一个示例中,接收到或测得的输入脉冲电压波形包括电压脉冲,这些电压脉冲在电压脉冲中的每一者的不同阶段内包括正和负电压水平,在输入脉冲电压波形内的脉冲的各种阶段内包括高频振荡,并且当由调节电路1711内的部件(诸如分压器)进行调节时,形成由于分压器的使用而至少以较低的电压水平提供的输出波形。在一个示例中,包括电压脉冲的输入脉冲电压波形(所述电压脉冲在电压脉冲中的每一者的不同阶段内包括正和负电压水平,并且在输入脉冲电压波形内的每个脉冲的阶段中的至少一者内包括高频振荡)由输入通道1721接收,然后由调节电路1711内的部件(诸如分压器和低通滤波器)调节,以形成作为电压水平降低的滤波波形的输出波形。在输入通道1722耦接在阻断电容器C5与偏压电极104之间的实施例中,输入信道1722接收输入脉冲电压波形(例如,第二输入脉冲电压波形),并且调节电路1712产生输出波形(例如,经调节波形)。一般地,接收输入通道1721的第一输入脉冲电压波形将具有与接收输入通道1722的第二输入脉冲电压波形不同的波形特性,这是因为它们相应的连接点沿着处理腔室100内的功率输送线路157的位置。
快速数据采集模块120一般被配置为接收模拟电压波形(例如,经调节波形),并且传输数字化电压波形。快速数据采集模块120包括各自电耦接至第一输入信道172的相应调节电路171的一个或多个采集信道122,并且快速数据采集模块120被配置为根据接收到的经调节电压波形(例如,输出波形)产生数字化电压波形,其中快速数据采集模块120的数据采集控制器123被配置为通过分析第一数字化电压波形来确定经调节电压波形的一个或多个波形特性。
如图1E所示,快速数据采集模块120包括多个采集信道1221-122N、数据采集控制器123和存储器117(例如,非易失性存储器)。采集信道122中的每一者电耦接至输入信道172中对应的输入信道的输出,使得采集信道122从输入信道172中对应的输入信道接收输出波形。例如,采集信道1221电耦接至输入信道1721的输出端,并且取决于输入信道1721的输入端的连接点的位置,接收第一输出波形。进一步地,采集信道1222电耦接至输入信道1722的输出端,并且接收第二输出波形。附加地或替代地,采集信道1223电耦接至输入信道1723的输出端,并且接收第三输出波形。采集信道122N电耦接至输入信道172N的输出端,并且接收第N输出波形。
数据采集控制器123电耦接至采集信道122中的每一者的输出,并且被配置为从采集信道122中的每一者接收数字化电压波形。进一步地,存储在数据采集控制器123的存储器124内的算法被适于通过分析数字化电压波形中的每一者来确定经调节波形中的每一者的一个或多个波形特性。所述分析可以包括将在数字化电压波形中接收的信息与关于存储在存储器124中的一个或多个存储的波形特性的信息进行比较,并且在下文进一步论述。
数据采集控制器123可以包括模数转换器(ADC)(未示出)、处理器121(图1E)、通信接口(未示出)、时钟(未示出)和可选的驱动器(未示出)中的一者或多者。处理器可以是任何一般的计算处理器。进一步地,处理器可以是现场可编程门阵列(FPGA)。ADC将输出波形内的信号从模拟域转换到数字域,并且ADC的输出数字信号被提供给处理器121进行处理。数据采集控制器123的处理器121通过分析从ADC提供的输出数字信号来确定输出波形的所述一个或多个波形特性。
在各种实施例中,快速数据采集模块120附加地包括存储器124。存储器124可以是任何非易失性存储器。进一步地,数据采集控制器123与存储器124电耦接,并且被配置为使波形特性被存储在存储器117内。在各种实施例中,存储器124包括可由数据采集控制器123执行的指令,用于使数据采集控制器123分析接收到的输出波形和/或基于对接收到的输出波形的分析来传输与确定的波形特性对应的信息。存储在存储器124中的波形分析器包括可由数据采集控制器123执行的指令,并且所述指令当被执行时使数据采集控制器123分析输出波形以确定波形特性。然后,可以将与分析的波形特性相关的信息传输到反馈处理器125和/或控制器126中的一者或多者。由数据采集控制器123执行的分析可以包括对波形特性和存储在存储器中的一个或多个波形特性阈值进行比较。
在一些实施例中,快速数据采集模块120经由数据通信接口125A耦接至反馈处理器125,其中反馈处理器125被配置为使用由一个或多个算法确定的一个或多个波形特性来产生一个或多个控制参数,所述一个或多个算法由设置在数据采集控制器123内的处理器执行。一般地,反馈处理器125可以是任何一般的计算处理器。在一些实施例中,反馈处理器125一般是以下各项中的一项:外部处理器,经由数据通信接口连接至快速数据采集模块120;内部处理器,整合在快速数据采集模块120内;或者是经由数据通信接口连接至快速数据采集模块的基板处理腔室控制器(例如,控制器126)的一部分。数据采集模块120可以向反馈处理器125传递与接收到的输出波形中的一者或多者对应的信息。例如,数据采集模块120可以向反馈处理器125传递与接收到的输出波形中的所述一者或多者的一个或多个检测到和/或经处理的波形特性相关的信息。进一步地,反馈处理器125可以经由通信链路350(图3B)与等离子体处理系统100通信耦接。
在各种实施例中,反馈处理器125包括存储器,所述存储器进一步包含软件算法,用于指示反馈处理器125内的处理器执行本文所述的方法的一个或多个部分。所述一个或多个算法包括指令,所述指令当由快速数据采集模块中的处理器121执行时,使快速数据采集模块处理所述一个或多个输出波形(例如,经调节电压波形),以确定接收到的输出波形的一个或多个波形特性。控制器126或设置在控制器126内的反馈处理器125包括存储器,所述存储器包括指令,所述指令当由处理器(CPU)执行时,使控制器126或反馈处理器125使用从快速数据采集模块120提供的确定的一个或多个波形特性来产生一个或多个控制参数。由控制器126或反馈处理器125所执行的指令也可以被进一步配置为导致沿着通信链路350(图3B)向夹紧网络116传输与产生的一个或多个控制参数相关的信息。夹紧网络116和/或控制器126也可以包括存储器,所述存储器包括指令,所述指令当由夹紧网络116和/或控制器126中的处理器执行时,使夹紧网络116基于由反馈处理器125所产生的所述一个或多个控制参数来在偏压电极104处建立期望的卡紧电压水平。
在一个或多个实施例中,快速数据采集模块120可以与处理腔室100的控制器126电(有线或无线地)耦接。例如,快速数据采集模块120向控制器126传输数据和/或从控制器126接收数据。例如,快速数据采集模块120向控制器126传递与一个或多个波形特性相关的信息。进一步地,处理腔室控制器126可以经由通信链路350与处理腔室100的夹紧网络116通信耦接。在各种实施例中,处理腔室控制器126被省略。存储在处理腔室控制器126的存储器内的算法可以包括指令,所述指令当由控制器CPU执行时,使各种工艺腔室设定点(诸如卡紧电源上的卡紧电压设定点)基于与由数据采集控制器123确定的一个或多个波形特性相关的信息而被调整。
夹紧模块控制方法和硬件示例
如上所述,提供在等离子体处理期间对向夹紧电极(例如,偏压电极104)施加的夹紧电压水平的实时控制的能力对于改进和实现可重复的等离子体处理结果并且确保夹紧的基板在处理期间不被损伤很有用。图3A图示出脉冲电压波形的突发316,它包括从一个或多个源产生和输送到设置在工艺腔室100中的一个或多个电极的多个波形。例如,波形301-304各自在系统300(图3B)内的不同点处通过由PV波形发生器150所产生的脉冲电压波形(未示出)的输送而被建立。在一些实施例中,各自由突发关时段314分开的一系列单独的突发316被提供给偏压电极104。包括脉冲电压波形的突发316和连续执行的突发关时段314的突发循环317可以在基板的处理期间重复多次,如下面关于图3A和图4B-图4D进一步论述的。
系统300是简化示意图,它大致表示工艺腔室100的一部分,所述部分包括例如第一PV源组件196(图1A)的PV波形发生器150到设置在基板支撑组件136内的偏压电极104。系统300内的部件用于通过检测在不同时间在系统300内的不同点处检测到的电信号的特性来检测和确定从PV波形发生器150输送的一个或多个PV波形的波形特性。信号线321-325与图1E所示的所述多个信号线187类似,因此旨在说明处理系统内的各点与信号检测模块188的输入信道172(图3B中未示出)之间的连接。
如图3A所示,多个测得的PV波形301-304包括在PV波形突发316期间提供的一系列脉冲。在该示例中,一系列脉冲中的最后三个被示出在突发316内。PV波形301-304中的每一者内的三个脉冲中的每一者具有波形周期Tp。在输送脉冲的突发316(其具有突发开(burst-on)时段310)之后,PV波形发生器150的输出被停止,使得系统300经历PV波形发生器150不产生PV波形的时间段。不形成PV波形的时间在本文中称为非突发时段314或“突发关”时段314。在突发316与非突发时段314的稳定状态部分之间是过渡区域,它在本文中称为等离子体弛豫时段312。在非突发时段314结束时,包括多个脉冲的第二突发(未示出)从PV波形发生器150产生并输送。在基板的处理期间,典型的是,一系列突发中的每个突发316要由突发关时段314分开,使得突发316和突发关时段314(即,突发循环317)连续形成多次。因此,包括突发316和突发关时段314的单个突发循环317的长度等于突发开时段310(即,TON)加上突发关时段314(即,TOFF)的总和,这在本文中也称为突发时段TBD(图4B)。在一个示例中,突发开时段310介于100微秒(μs)与约10毫秒(ms)之间,诸如介于约200μs与约5ms之间。在一个示例中,波形周期Tp介于约1μs与约10μs之间,诸如约2.5μs。突发占空比可以介于约5%-100%之间,诸如介于约50%与约95%之间,其中占空比是突发开时段310除以突发开时段310加上非突发时段314的比率。
PV波形301是在阻断电容器C5与偏压电极104之间的点处测得的,所述点诸如在图3B中示为节点N1。因此,测得的电压与在处理腔室中执行的处理序列的不同阶段期间在偏压电极104处所测得的实际电压相关。这个PV波形的测得电压(在本文中也称为电极电压VE)在一系列的突发316和非突发时段314在处理期间从PV波形发生器150提供到偏压电极104时,随着时间而变化。在一个实施例中,PV波形301是由定位在节点N1处的电耦接组件(未示出)测量的。电耦接组件耦接至与跟信号检测模块188内的输入信道172通信的信号迹线324。
在一些实施例中,在PV波形发生器150的输出处产生的PV波形(未示出)通过测量在定位在节点N3处的电耦接组件(未示出)处的电压来进行测量并用于本文所述的工艺中的一者或多者中。在PV波形发生器150处所测得的PV波形将紧密跟踪PV波形301,并且将具有测得电压,所述测得电压以至少与DC电压源P2的设定点相关的量从PV波形301偏移。在这种配置中,如图3B所示,电耦接组件耦接至与信号检测模块188内的输入信道172通信的信号迹线321。
PV波形302旨在表示由于从PV波形发生器150提供的PV波形的输送而在处理期间在基板103上建立的电压。如图3A所示,PV波形302非常紧密地跟踪测得的PV波形301,使得PV波形302典型地被认为与PV波形301偏移固定量。在处理期间在电极104与基板103之间形成的偏移电压在本文中称为夹紧电压,并且主要由DC电压源P2的设定点所设定。在一些配置中,PV波形302可以通过与基板103的前侧或背侧良好接触并附接至信号迹线322的电压探针来测量,信号迹线322与信号检测模块188内的输入信道172通信。在大多数工艺腔室硬件配置中,由于ESC硬件限制、测量信号完整性问题和与腔室部件之间的电容耦合相关的问题,基板电压不容易直接测量。通过使用本文所述的方法,可以通过使用本文所述的各种测量技术和工艺来避免对于直接测量基板电压的需要。
在一些实施例中,PV波形303是在直接耦接至定位在处理腔室100内的第二导体板的节点处测量的。在一个实施例中,第二导体板是支撑基部107,它定位在图3B中的节点N5处。如图3B所示,第二导体板定位在电容C3与电容C2之间,它们旨在分别表示由绝缘板111和介电层105C的存在形成的电容。因此,测得的电压与在处理腔室中执行的过程序列的不同阶段期间在支撑基部107处所测得的实际电压相关。PV波形的测得电压(在本文中称为电压VC)在一系列的突发316和非突发时段314在处理期间从PV波形发生器150提供到偏压电极104时,随着时间而变化。在一些实施例中,PV波形303是通过使用通过导体板107耦接至腔室的源(诸如RF源组件163)来形成的。PV波形303可以通过使用电耦接组件来测量,所述电耦接组件定位在节点N5处,并且耦接至与信号检测模块188内的输入信道172通信的信号迹线323。
在一些实施例中,PV波形304是在直接耦接至PV源150的节点处测量的。PV波形304的测得电压(在本文中称为电压VR)在一系列的突发316和非突发时段314从PV波形发生器150提供时,随着时间而变化。在一些实施例中,PV波形304被配置为在非突发时段314期间实现期望的电压V4,因此在非突发时段314期间不会电性浮动。在一些实施例中,PV波形304被配置为在非突发时段314期间电性浮动。PV波形304可以通过使用电耦接组件来测量,所述电耦接组件耦接至信号迹线321,信号迹线321耦接至节点N3,并且与信号检测模块188内的输入信道172通信。
图4A图示出通过在波形周期Tp的一部分期间使用PV源组件内的PV波形发生器150和使用组件116中的DC电压源P2来向偏压电极104提供PV波形401的示例。如图4A所示,PV波形402包括由于通过PV波形发生器150和DC电压源P2在偏压电极104处建立PV波形401而在基板处建立的一系列PV波形(例如,VW)。PV波形401和402旨在说明图3A所示的PV波形301和302的部分的更详细的示例。
可以通过存储在控制器126的存储器中的等离子体处理配方中的设置来控制的PV波形发生器150的输出形成PV波形401,PV波形401包括峰到峰电压,这在本文中也称为脉冲电压水平Vpp。PV波形402(其是由于PV波形401的输送而被基板103看到的波形)的特征在于包括在点420与点421之间延伸的壳层塌缩和再充电阶段450(或为了便于论述,包括壳层塌缩阶段450)、在点421与点422之间延伸的壳层形成阶段451、以及在点422与下一个依序建立的脉冲电压波形的起始点420之间的离子电流阶段452。取决于期望的等离子体处理条件,期望至少控制和设置PV波形特性,诸如PV波形频率(1/TP)、脉冲电压水平Vpp、脉冲电压开启时间和/或突发316内的PV波形的其他参数,以在基板上实现期望的等离子体处理结果。在一个示例中,定义为离子电流时间段(例如,图4A中的点422与后续点420之间的时间)与波形周期Tp的比率的脉冲电压(PV)开启时间在不同的等离子体处理配方中变化,以调整蚀刻速度。在一些实施例中,PV开启时间大于50%,或大于70%,诸如介于80%与95%之间。
图4B图示出PV波形,其中脉冲电压波形的一系列突发462在偏压电极104和基板表面处建立。在图4B所示的示例中,每个突发462内的多个脉冲461包括在偏压电极104处建立的一系列PV波形401。在这个示例中,突发462中的每一者包括脉冲461,脉冲461具有脉冲电压形状一致(例如在每个PV波形401的一部分期间提供恒定的电压幅值)的PV波形,不会随时间在不同的突发462之间变化的突发输送长度TON,以及长度不会随时间变化的突发休息长度TOFF。突发休息长度TOFF是通过停止输送在突发输送长度TON时间期间提供的PV波形达一时间段来形成的。在该示例中,突发462的占空比(它是在突发期间输送所述多个脉冲的时间长度(即,突发输送长度TON)除以突发时段的持续时间(即,TBD=TON+TOFF)的比率)也是恒定的。为了论述明确,图3A中提及的突发开时段310和突发关时段314的总和旨在等同于图4B中所提及的突发时段TBD。将理解,在其他的处理方法中,所述多个脉冲461可以包括负脉冲波形、成形脉冲波形或正脉冲波形、或上述各项的组合。如图4B所示,在突发休息长度TOFF期间,偏压电极电势曲线436主要由偏压补偿模块116A施加和控制的卡紧电压所控制,因此可以处于与等离子体电势不同的电压水平。
图4C图示出PV波形,其中脉冲电压波形的一系列多水平突发490在电极(诸如偏压电极104)处建立。在处理期间,所述系列突发490(包括多个突发491和492以及突发关时段493)被提供给偏压电极104。包括一系列突发491和492和随后的突发关时段493的所述系列突发490可以依序重复一次或多次。在一个示例中,所述多个突发491和492中的每一者包括多个PV波形401,所述多个PV波形401以不同的电压水平供应,如由突发491和492中的每一者的峰值中的每一者的水平差异所示。在一些实施例中,从突发492到突发491的过渡被突发关时段493分开,而从突发491到突发492的过渡不被突发关时段493分开。所述系列突发490通常称为一系列“高-低”突发,这是因为在突发491期间向偏压电极104提供的脉冲波形具有比在突发492期间向偏压电极104提供的脉冲波形更高的脉冲电压水平Vpp(图4A)。突发491在本文中通常称为包括“高”脉冲电压水平Vpp,并且突发492在本文中通常称为包括“低”脉冲电压水平Vpp。
图4D图示出PV波形,其中脉冲电压波形的一系列多水平突发494在电极(诸如偏压电极104)处建立。也包括以不同的“低-高”配置定向的所述多个突发491和492以及突发关时段493的一系列突发494可以依序重复一次或多次。在一个示例中,所述多个突发491和492中的每一者包括多个PV波形401,所述多个PV波形401以不同的电压水平供应,如由突发491和492中的每一者的峰值中的每一者的水平差异所示。在一些实施例中,从突发492到突发491的过渡不被突发关时段493分开,而从突发491到下一个突发492的过渡被突发关时段493分开。
图5B图示出RF波形的突发516,它包括从RF源组件163产生和输送到设置在工艺腔室100中的电极的多个波形。例如,波形502-504各自在系统500(图5A)内的不同点处通过由RF发生器118所产生RF波形501的输送而被建立。波形502-504分别包括在基板处形成的波形VW、在静电卡盘的表面处形成的波形VS、以及在偏压电极104处形成的波形VE。图5A图示出系统500的配置的示例,系统500用于通过检测在不同时间在系统500内的不同点处检测到的电信号的特性,来检测和确定从RF发生器118输送的一个或多个RF波形的波形特性。信号线322-325与图1E所示的多个信号线187的多个信号迹线192类似,因此旨在说明处理系统内的各点与信号检测模块188的输入信道172(未示于图3B中)之间的连接。
如图5B所示,多个测得的RF波形501-504包括在RF突发516期间提供的一系列脉冲。在该示例中,在突发516内示出了RF波形的两个循环。测得的RF波形(诸如RF波形501-504)的波形频率由RF发生器118控制,所述频率可以介于100kHz与120MHz之间。在输送具有突发时段510的RF突发516之后,RF发生器118的输出被停止,使得系统500经历RF发生器118不产生RF波形的时间段。不形成RF波形的时间在本文中称为非突发时段514或“突发关”时段514。在突发516与非突发时段514的稳定状态部分之间是过渡区域,它在本文中称为等离子体弛豫期512。在非突发时段514结束时,包括多个RF波形的第二突发(未示出)从RF发生器118产生并输送。在基板的处理期间,典型的是,一系列突发中的每个突发516要由非突发时段514分开,使得所述系列突发516和非突发时段514连续形成多次。在一个示例中,突发时段510介于20微秒(μs)与约100毫秒(ms)之间,诸如介于约200μs与约5ms之间。突发占空比可以介于约5%-100%之间,诸如介于约50%与约95%之间,其中占空比是突发期510除以突发期510加上非突发期514的比率。
等离子体电势分析
为了在等离子体工艺期间可靠地产生期望的夹紧电压VDCV,当在处理期间向夹紧电极输送夹紧电压时,需要考虑到等离子体电势的变化。如上所述,可靠地测量和监测被配置为在生产环境中连续处理多个基板的处理腔室中的等离子体电势的能力不是一项简单的任务。在本文所提供的公开内容的实施例中的一者或多者中,等离子体电势是基于在基板处理序列的不同部分期间在等离子体处理系统内的不同点处进行的测量来确定的。图6A图示出一种处理方法,它可以用于测量、监测和控制在处理腔室中形成的等离子体的属性,使得可以可靠地控制并向设置在基板支撑件内的夹紧电极施加期望的夹紧电压。假设电容C1是以下各项的净串联电容:介电层105B,和介电表面105A与基板背侧之间的间隙,以及基板背侧表面上可能的薄介电层。
图4A所示的等离子体电势曲线433图示出在通过使用PV波形发生器150来在偏压电极104处建立的PV波形401的输送期间的局部等离子体电势。在处理期间,等离子体电势一般在整个突发开时段310的大部分时间内和在突发关时段314期间保持在或接近零伏特。等离子体电势将在壳层塌缩阶段450期间实现其峰值(VPL),所述峰值与图3A和图4A中的时间T1重合。此外,在时间T1,当多相PV波形401达到其峰值时,偏压电极(例如,偏压电极104)处的电压将等于由DC电压源P2所供应的输出电压(VBCM)。因此,等离子体电势的波动可以在1kV或更大的数量级,因此没有考虑到由向处理腔室100内的一个或多个电极输送偏压引起的等离子体电势的波动的基板夹紧系统可能导致等离子体处理结果不良和/或对基板造成损伤。参照图4A,时间T2和T3分别说明突发关时段的开始和过渡时段312的结束。时间T2和T3之间的时间段在本文中称为等离子体弛豫时间,它一般是一旦在突发关时段314期间停止了PV波形和RF电源输送,等离子体消灭所需的时间。时间T4旨在表示测量时间,该测量时间位于过渡时段312结束之后和在下一个突发316(未示出)开始之前。
为了在节点N1处提供期望的夹紧电压(VDCV),通过使用计算机实施指令来调整DC电压源P2(节点N2处的VBCM)的设定点,所述计算机实施指令被配置为确定等离子体电势的变化,因此考虑到等离子体电势的变化。期望的夹紧电压(VDCV)设定点一般等于峰值等离子体电势(VPL),它受到等离子体处理条件和基板表面材料加上在处理期间所使用的静电卡盘类型的夹紧电压设定点(VClamp)的影响。因此,可以如等式(1)所示地写出期望的夹紧电压设定点(VDCV)。
VDCV = VPL + VClamp (1)
夹紧电压设定点(VClamp)是恒定的电压值,它是通过事先测试和评估实际的静电卡盘或静电卡盘类型(例如,库仑式静电卡盘)的静电卡盘特性来确定的。先前的测试和评估结果用来确定最小基板夹紧力电压,以确保当基板在等离子体处理期间被夹紧到基板支撑件105的表面时,基板将与介电表面105A有良好的热接触,并且将通过基板支撑件105的外部密封带泄漏的氦气可以忽略不计。夹紧电压设定点(VClamp)值将由于所使用的静电卡盘的类型(例如库仑式或约翰逊-拉别克式静电卡盘)、在处理期间所使用的背侧气体压力和在等离子体处理期间的介电体105A的温度而变化。
在夹紧网络116的一些实施例中,二极管D1电连接节点N1和N2(参见图2A和图3B),并且被配置为仅允许电流在从节点N1到N2的方向上流动(即二极管D1的阳极侧耦接至节点N1,并且二极管D1的阴极侧耦接至节点N2)。由于二极管D1的配置,节点N1处的电压被限制在任何时候都不大于节点N2处的电压(VBCM)的值。因此,在PV波形的每个脉冲周期Tp(图3A)期间,节点N1处的峰值电压被重设为节点N2的电压(VBCM),它是当使用大电容C6(例如,介于0.5与10μF之间)时,DC电压源P2在稳定状态下的输出电压。节点N1处的峰值电压是峰值等离子体电势VPL和跨电容C1的实际夹紧电压的总和。为了实现夹紧电压设定点,DC电压源P2的设定点(VBCM)应等于期望的夹紧电压设定点(VDCV),如下面在等式(1)的改写版本中所示。
VBCM=VDCV=VPL+VClamp
然而,在夹紧网络116的一些实施例中,没有使用二极管D1来连接节点N1和N2(参见图2B和图5A)。在这种配置中,假设C6很大(例如介于0.5与10μF之间),则节点N2的电压(VBCM)仍将等于DC电压源P2在稳定状态下的电压。在一些实施例中,夹紧网络116中的电阻器R1和电容器C6的值被选择为使得R1*C6的时间常数远大于突发时段TBD(图4B),使得节点N2处的电压在一个突发时段TBD内是实质恒定的。因为节点N1和N2通过高电阻值的电阻器(电阻器R1)连接,所以节点N1处的时间平均(在突发时段TBD中的)电压将等于节点N2处的时间平均(在突发时段TBD中的)电压,这等于夹紧电压VBCM。为了实现跨电容器C1的夹紧电压设定点VClamp,节点N1处的时间平均(在突发时段TBD中的)电压应是时间平均的基板(在突发时段TBD中的)电压加上夹紧电压设定点VClamp。如下面进一步论述,可以通过使用由PV波形发生器150所产生的PV波形和峰值等离子体电势VPL来近似地计算出时间平均的基板电压。因此,DC电压源P2的设定点(DC电压源输出电压VBCM)可以由脉冲电压波形、峰值等离子体电势VPL和夹紧电压设定点VClamp确定。
如图4A所示,等离子体电势VPlasma(即,曲线433)在处理期间的大部分时间等于或接近零,并且在时间T1达到峰值水平。因此,为了确定基板的表面处在时间T1形成的峰值等离子体电势(VPL),考虑到将影响等离子体电势的所有各种因素的测量被测量并用于调整DC电压源P2的输出电压(VBCM),以实现期望的夹紧电压(VDCV)。为了确定峰值等离子体电势(VPL),首先假设,并且系统被配置为使得,在电极偏压电路内的一个或多个节点处以突发时段TBD的时间尺度维持电荷守恒。在一些实施例中,如图2A-图2B、图3B和图5A所示,在电极偏压电路的节点N1处维持电荷守恒。在一个实施例中,电容器C1、C2和C5直接耦接至节点N1耦接,并且假设,电感器L1(例如,图2A-图2B所示的线路电感)小到足以使得与由PV波形发生器150所产生的PV电压相比,感应出的电压振荡可以忽略不计。如图2A-图2B、图3B和图5A所示,节点N1也耦接至电阻器R1,然后耦接至电容器C6。因此,在突发时段TBD的时间尺度上,通过电阻器R1流动的总电荷(QT)达到QT≈TBD*VBCM/R1的数量级。在一些实施例中,例如,电阻器R1的电阻被选择为使得它足够大,使得在突发时段TBD的时间尺度上,通过电阻器R1流动的电荷与存储在电容器C1、C2和C5中的电荷相比可以忽略不计。因此,在这种配置中,大的阻断电阻器R1的存在将使电容器C6在功能上似乎没有直接耦接至节点N1,并且与节点N1相关联的静电荷将是存储在直接耦接至节点N1的电容器C1、C2和C5中的静电荷的总和。
下面的等式(2)用于描述电极偏压电路中的节点处的电荷守恒,即在突发开时段310的一部分期间测得的静电荷QBurst的总和等于在紧接在突发开时段310之后的突发关时段314期间测得的存储电荷QOff的量。
ΣQBurst = ΣQOff (2)
图2A-图2B和图3A提供了系统配置示例,其中可以假设存储在电容C1、C2和C5内的电荷是守恒的,因此使峰值等离子体电势VPL能够通过使用本文所述的方法中的一者或多者来确定。在本文所述的所述一个或多个方法内检测的电信号可以包括由PV波形发生器150和/或RF发生器118所产生的波形的一个或多个特性。检测到的一个或多个波形特性可以包括但不限于脉冲内一个或多个时间处的电压、脉冲内一个或多个时间处的斜率、脉冲周期、以及脉冲重复频率。然而,环绕节点(诸如图2A-图2B和图3B中的节点N1)的区域中的电荷是守恒的假设受限于或取决于由于流动到地线的电流(诸如通过阻断电阻器R1流动到地线的电流i1(图3B))的幅值而损失的存储电荷量。如下面进一步论述,准确地确定峰值等离子体电势VPL的能力取决于在信号检测模块188在突发序列(包括至少一个突发开时段310和突发关时段314)的一个或多个阶段期间测量产生的电信号之前,阻断电阻器确保电荷损失量可以忽略不计的能力。如上所述,例如,期望电阻器R1的电阻>100kOhm。
示例1
在一个示例中,基于图3A-图3B所示的系统300配置,对于节点N1,等式(2)可以被改写,如等式(3)所示。
C1(ΔV1)Burst+C2(ΔV2)Burst+C5(ΔV5)Burst=C1(ΔV1)Off+C2(ΔV2)Off+C5(ΔV5)Off(3)
在等式(3)中,C1、C2和C5是已知的电容,并且ΔV1、ΔV2和ΔV5是电容C1、C2和C5的直接耦接至节点N1的电容板的电压减去相对的电容板的电压,这是在突发开时段310或突发关时段314期间测量的。因此,如果在突发开时段310期间进行的测量是在时间T1时刻中的一者进行的,并且在突发关时段314期间进行的测量是在时间T4进行的,那么等式(3)可以被改写为等式(4)。
C1(V1-VPL)+C2(V1–V5)+C5(V1–V3)=C1(V2-0)+C2(V2–V6)+C5(V2–V4) (4)
在等式(4)中,电压V1是电极104在突发开时段310期间的时间T1的电压,峰值等离子体电势VPL是在突发开时段310期间的时间T1的等离子体电势,电压V5是在突发开时段310期间的时间T1在节点N5处测得的电压,电压V3是在突发开时段310期间的时间T1在节点N3处测得的电压,电压V2是在突发关时段314期间在节点N1处测得的电压,电压V6是在突发关时段314期间在节点N5处测得的电压,并且电压V4是在突发关时段314期间在节点N3处测得的电压。如上所述,等离子体电势在突发关时段期间实际上为零,因此在突发关时段314期间存储在电容器C1中的电荷实际上等于电压V2乘以电容C1。在突发关时段期间的实际夹紧电压为V2。因此,在重新组织等式(4)之后,如等式(5)所示,通过针对图3B所示的系统配置对等式(5)求解,可以找出峰值等离子体电势VPL。
为了便于论述,等式(5)中和下面提供的等式中的任一者中乘以电压差项的电容项中的每一者在本文中一般称为“组合电路电容”,其组合电路电容值是相对于期望的节点(例如,节点N1)基于各种连接的电路组件(例如,静电卡盘191、RF发生器118和PV波形发生器150)的配置由电容(例如,等式(5)中的电容C1、C2和C5)的算术组合所确定的。
然而,在连接在节点N3处的偏压元件(例如,PV源150)在突发关时段期间浮动或在突发关时段期间与地线断开的配置中,直接耦接至节点N3的电容器C5在突发开到突发关的过渡期间将没有电流通过它。换言之,存储在电容器C5中的电荷在突发开到突发关的过渡期间是相同的,所以它的影响可以从电荷守恒等式(2)、(3)和(4)中移除。用来找出电压VPL的等式可以被简化为等式(6)。
在一些实施例中,在突发开到突发关的过渡期间通过RF源组件163流动到耦接在节点N5处的电容器C2的电流可以忽略不计,使得通过C2流动的大部分电流也通过C3流动。因此,C2和C3的串联可以被视为值为(C2C3)/(C2+C3)并且接地的一个电容器。因此,在等式(6)中,V5=V6=0,并且C2被(C2C3)/(C2+C3)取代。
因此,因为在大多数系统中,电容C1一般远大于电容C2和C3,等式(7)可以被精简为简单的等式,在该示例中为浮动偏压元件的等式,如等式(8)所示。
VPL≈V1-V2 (8)
在任何情况下,使用等式(5)、(6)、(7)或(8)中的任一者、对C1、C2、C3和/或C5的电容值的了解、以及通过使用信号检测模块188在突发开时段310和突发关时段314期间所检测到的测得电压,可以计算峰值等离子体电势VPL,使得可以确定期望的夹紧电压VDCV。
示例2
在另一示例中,连接在节点N3处的偏压组件(例如,PV源150)在突发关时段期间被控制在恒定的电压V4(例如零)。在一些实施例中,在突发开到突发关的过渡期间通过RF源组件163流动到耦接在节点N5处的电容器C2的电流可以忽略不计,使得通过C2流动的大部分电流也通过C3流动。然后,通过针对图3B所示的系统配置对等式(9)求解,可以找出电压VPL。
在这个情况下,使用等式(9)、对C1、C2、C3和/或C5的电容值的了解、以及通过使用信号检测模块188在突发开时段310和突发关时段314期间的测得电压,可以计算峰值等离子体电势VPL,使得可以确定期望的夹紧电压VDCV。
示例3
在另一示例中,基于图5A所示的系统500配置,等式(2)可以被改写,如等式(10)所示。在该示例中,如图5A所示意性地示出,RF源组件163连接至节点N5连接,并且用来在等离子体处理期间产生基板偏压电压。在该示例中,PV波形发生器150不连接至系统500连接。因此,等式(2)可以被改写,如等式(10)所示。
C1(ΔV1)Burst + C2(ΔV2)Burst =C1(ΔV1)Off + C2(ΔV2)Off (10)
因此,可以通过使用等式(11)来找出电压VPL。
在这个情况下,使用等式(11)、对C1和C2的电容值的了解、以及通过使用信号检测模块188在突发时段510和突发关时段514期间的测得电压,可以计算峰值等离子体电势VPL,使得可以确定期望的夹紧电压VDCV。
等离子体处理方法示例
图6A是用于基于在处理腔室中在基板的等离子体处理期间所使用的工艺配方的应用,来确定期望的夹紧电压的方法600的过程流程图。除了图6A以外,还参照图1A-图5B来描述方法600。在一个实施例中,方法600可以通过CPU 133执行存储在控制器126的存储器134内的计算机实施指令来执行。在一个实施例中,方法600至少包括夹紧电压确定过程605,夹紧电压确定过程605包括操作606-614。
在操作602处,在处理腔室100中启动处理配方,这使等离子体101在处理腔室100的处理区域129中形成。在一些实施例中,在此操作期间,RF源组件163以一RF频率向处理腔室内的电极输送足够的RF功率以形成等离子体101。在一个示例中,RF源组件163以400kHz与100MHz之间的RF频率(诸如40MHz)向设置在基板支撑组件136内的支撑基部107输送RF功率。向支撑基部107输送的RF功率被配置为点燃并维持通过使用设置在处理容积129内的处理气体来形成的处理等离子体101。
在操作604处,控制器126向DC电压源P2发送命令信号,以在偏压电极104处启动和建立第一夹紧电压。第一夹紧电压的幅值被设定为存储在控制器126的存储器中的配方中的夹紧电压。配方设定点一般被设置为这样的水平:通过初始测试或通过一般知识,其幅值低到足以不使基板支撑件内的顶部介电层被击穿,但其幅值高到足以与基板接收表面105A实现良好的热接触,从而充分地密封基板背侧气体(例如,氦气)。
在操作606处,在一个实施例中,PV波形发生器150开始产生一系列PV波形,所述系列PV波形在偏压电极104处建立PV波形。在操作606期间,PV发生器150可以被配置为产生并向处理腔室100内的偏压电极104提供PV波形的突发316。在替代实施例中,RF源组件163开始在处理腔室100内的电极(例如,支撑基部107)处产生RF波形的突发,如关于图5B所论述。
在一些实施例中,在操作606期间,期望向电极(诸如偏压电极104)施加的脉冲电压水平(例如,Vpp)被控制在期望的上升速度,所述上升速度不大于分别通过电阻器R1和R2使电容器C5和C6放电或充电的速度(图3B),使得在VDCV和VPL与脉冲电压一起上升时,跨电容器C1的实际夹紧电压保持恒定。如果这种上升速度关系被满足,那么根据等式(1),跨电容器C1的实际夹紧电压将在脉冲电压上升期间保持接近夹紧电压设定点VClamp。电容器C5通过电阻器R1的充电或放电速度由如下的RC时间常数所确定:
τ1=R1(C5) (12)。
电容器C6通过电阻器R2的充电或放电速度由如下的RC时间常数所确定:
τ2=R2(C6) (13)。
因此,脉冲电压水平Vpp变化的上升时间应大于RC时间常数τ1和τ2。在一些实施例中,脉冲电压水平Vpp的上升时间被设置为RC时间常数τ1和τ2中较大者的至少三倍。
在操作608处,在使向偏压电极104施加的脉冲电压水平(例如Vpp)上升时,使用信号检测模块188来在等离子体处理配方的执行期间监测在处理腔室100内的不同部分内建立的波形。在一个示例中,信号检测模块188被配置为在脉冲电压水平上升时,监测随时间在偏压电极104和支撑基部107处建立的波形。在一个示例中,在偏压电极104和支撑基部107处建立的波形可以通过测量分别在图3B或图5A所示的系统300或500内的节点N1和N5处建立的波形信号来检测。一般地,在操作608期间,信号检测模块188用于对随时间在系统内的各种节点处建立的波形信号进行连续监测或重复取样,诸如检测图3A、图4A或图5B所示的时间T1-T5中的一者或多者的波形信号。
在操作610处,通过使用根据等式(2)导出的至少一个等式(诸如等式(5)、(6)、(7)、(8)、(9)或(11)),来使用在操作608期间收集的信息来计算等离子体工艺期间的等离子体电势。要用于确定峰值等离子体电势VPL的期望等式基于对在等离子体处理期间所使用的系统配置的了解和/或存储在存储器内的软件指令中的设置。典型地,当脉冲电压水平、RF功率或其他等离子体相关的参数(例如压力、气体组成物等)在等离子体处理期间改变时,并入存储在控制器126的存储器中的指令内的相关等式中的一者或多者可以在CPU 133执行存储指令的期间被使用,以确定在处理期间的任何时候的峰值等离子体电势VPL。
在操作612处,通过使用等式(1)和操作610的结果来确定要在当前等离子体工艺的后续部分期间使用的期望夹紧电压VDCV。如上所述,等式(1)中的夹紧电压设定点(VClamp)是配方中的夹紧电压设定点,它一般是存储在控制器126的存储器内的预定值。
在操作614处,然后由控制器126或反馈处理器125向DC电压源P2发送命令信号,使得可以通过适当设定DC电压源P2的电压来向偏压电极104施加期望的夹紧电压VDCV,如上所述。在一些实施例中,夹紧电压确定过程605的操作606-614在脉冲电压上升阶段期间至少再重复一次,或重复直到在等离子体处理期间的突发开时段310期间实现了期望的脉冲电压水平(例如,Vpp)。在一些其他的实施例中,只有夹紧电压确定过程605的操作608-614在等离子体处理期间被重复一次或多次。在一个示例中,一旦在突发开时段310期间实现了期望的脉冲电压水平(例如,Vpp),操作608-614就重复一次或多次。
在进行操作608-614一次或多次之后实现了脉冲电压水平(例如,Vpp)的稳定状态值之后,执行操作616,其中DC电压源P2或DC电压源输出电压VBCM的设定点被存储在存储器中。在一些实施例中,期望将DC电压源输出电压VBCM值的中间设定点(例如,在脉冲电压上升阶段期间确定的非最终值)存储在存储器中,使得它们可以在未来的等离子体处理序列中用作基线。存储在存储器中的DC电压源输出电压VBCM的设定点可以用于在附加基板上执行的未来等离子体工艺,所述基板是使用相同或类似的等离子体处理配方来处理的。如上文所简要论述,等离子体处理配方一般包括一个或多个处理步骤,所述一个或多个处理步骤适于控制在设置在处理腔室内的基板上执行的一个或多个等离子体处理参数。所述一个或多个等离子体处理参数可以包括PV波形特性(例如占空比、脉冲电压水平Vpp、突发时段、突发关时段、脉冲电压开启时间等)、腔室压力、基板温度、气体流速、气体组成物和其他有用的参数。例如,PV波形发生器150被设定为提供具有从0.01kV至10kV的脉冲电压水平(例如,Vpp)的脉冲,并且夹紧网络116的DC电压源输出电压VBCM被设定为介于-3kV至+3kV之间,诸如+2.5kV的恒定DC电压。
参照图4C,在一些实施例中,在操作606期间形成的产生的所述系列PV波形包括在突发490内建立一系列PV波形。在突发492期间形成的PV波形中发现的“低”脉冲电压水平Vpp的幅值明显小于突发491中发现的“高”脉冲电压水平Vpp。突发491中发现的“高”脉冲电压水平Vpp由于峰到峰脉冲电压较大,将具有对期望的夹紧电压VDCV设定点的最大影响。因此,在一些实施例中,因为系统被配置为使得在电极偏压电路的耦接至电极的区域内维持电荷守恒,即使包含突发492的“低”脉冲电压水平Vpp定位在包含突发491的“高”脉冲电压水平Vpp与突发关时段493之间,在“高”脉冲电压水平Vpp期间实现的峰值等离子体电势VPL也可用于确定DC电压源输出电压VBCM的设定点。在一个示例中,等式(5)、(6)、(7)、(8)、(9)或(11)中的一者可用于确定等离子体处理期间的等离子体电势。
参照图4D,在一些实施例中,在操作606期间形成的产生的所述系列PV波形包括在突发494内建立一系列PV波形。在一些实施例中,因为系统被配置为使得在电极偏压电路的区域内维持电荷守恒,即使包含突发492的“低”脉冲电压水平Vpp定位在包含突发491的“高”脉冲电压水平Vpp与突发关时段493之间,在“高”脉冲电压水平Vpp期间实现的峰值等离子体电势VPL也可以被确定并用于确定DC电压源输出电压VBCM的设定点。因此,等式(5)、(6)、(7)、(8)、(9)或(11)可用于确定等离子体处理期间的等离子体电势。
图6B是用于基于在先前等离子体处理序列中(诸如在进行方法600至少一次之后)对DC电压源输出电压VBCM的设定点的确定来输送期望的夹紧电压VDCV的方法650的过程流程图。方法650可以通过CPU 133执行与控制器126的存储器134一起存储的计算机实施指令来执行。
在操作652处,通过在处理腔室的处理区域129中形成等离子体101来在处理腔室中启动处理配方。操作652可以与上面关于操作602所描述的方法类似的方式来执行。
在操作654处,控制器126向DC电压源P2发送命令信号,以在偏压电极104处启动和建立第一夹紧电压。第一夹紧电压的幅值是基于处理配方中或存储在控制器126的存储器中的设定点来设定的。在一个实施例中,存储的设定点基于在先前进行的工艺期间所使用的DC电压源输出电压VBCM值,诸如来自方法600中的操作中的一者的执行的结果。
在操作656处,在一个实施例中,PV波形发生器150开始产生一系列PV波形,所述系列PV波形在偏压电极104处建立PV波形。在替代实施例中,RF源组件163开始产生RF波形,这在处理腔室100内的电极(诸如支撑基部107)处建立RF波形。如上面关于操作606所论述,向电极施加的脉冲电压水平(例如,Vpp)在一时间段内上升,所述时间段大于用于使C5通过R1和使C6通过R2充电或放电的RC时间常数(例如大两倍或三倍)。典型地,操作656可以与上面关于操作606所描述的方法类似的方式来执行。
在操作659处,在操作656的同时,控制器126或反馈处理器125向DC电压源P2发送命令信号,以达到DC电压源输出电压VBCM的设定点,使得在基板上执行的处理步骤的至少一部分期间,DC电压源P2将期望的夹紧电压VDCV施加到偏压电极104并在偏压电极104处维持。方法650可以附加地对随后在处理腔室中处理的所有基板执行。然而,如果在任何后续的等离子体工艺中改变了一个或多个等离子体处理配方参数,则可期望对使用这些改变的等离子体处理配方参数来执行的所有后续工艺执行方法600然后执行方法650。
在一些实施例中,可以在处理步骤内重复使用方法600中的步骤608-614,以针对等离子体性质和峰值等离子体电势VPL的漂移进行调整,这导致DC电压源P2的不同的DC电压源输出电压VBCM,从而维持夹紧电压设定点VClamp。
DC偏压分析示例
在一些实施例中,在处理期间向基板施加的DC偏压(VDC Bias)的量被计算,然后用于在等离子体处理配方的一个或多个部分期间调整处理参数中的一者或多者。在对称波形(例如,正弦波形(RF波形)或S形(sigmoidal)波形)被输送的等离子体处理期间的任何时候,DC偏压可以通过使用等式(14)来计算。
VDC Bias=(VPL – Vpp)/2 (14)
在本文所述的操作中的一者或多者期间,信号检测模块188和控制器126用于检测和监测随时间在系统内的各种节点处建立的波形信号,使得一个或多个计算机实施指令可用于确定DC偏压和/或峰值DC偏压。
本文所公开的实施例中的一者或多者的方面包括一种在处理期间可靠地对基板进行偏压和夹紧以改进在多个基板上执行的等离子体处理结果的系统和方法。
可以以下面提供的多个非限制性示例表达所公开的技术。
示例1:一种等离子体处理腔室,包括:基板支撑组件,包括:基板支撑表面;第一偏压电极;第一介电层,设置在所述第一偏压电极与所述基板支撑表面之间;波形发生器;第一功率输送线路,将所述波形发生器电耦接至所述第一偏压电极,其中所述第一功率输送线路包括阻断电容器;夹紧网络,在所述阻断电容器与所述偏压电极之间的第一点处耦接至所述第一功率输送线路,所述夹紧网络包括:直流(DC)电压源,耦接在所述第一点与地线之间;以及阻断电阻器,耦接在所述第一点与所述直流(DC)电压源的输出之间;以及信号检测模块,被配置为从第一信号线接收第一电信号,所述第一信号线在设置在所述阻断电容器与所述偏压电极之间的点处与所述第一功率输送线路耦接;以及控制器,被配置为与所述信号检测模块通信,并且由于在所接收到的电信号内所接收到的信息,控制由所述直流(DC)电压源在所述第一点处向所述第一功率输送线路供应的电压的幅值。
示例2:如示例1所述的等离子体处理腔室,其中:所述波形发生器被配置为在第一时间段期间产生多个脉冲电压波形,并且在第二时间段期间停止所述多个脉冲电压波形的所述产生;在所述第一时间段期间,由所述信号检测模块所接收的电信号的第一部分包括产生的所述多个脉冲电压波形的波形的包括第一电压水平的第一部分;在所述第二时间段期间,由所述信号检测模块所接收的电信号的第二部分包括第二电压水平;以及计算机实施指令,存储在存储器中,所述计算机实施指令当由处理器执行时,被配置为在所述控制器控制向所述第一功率输送线路供应的所述电压的所述幅值之前,将所述第一电压水平与所述第二电压水平进行比较。
示例3:如示例1所述的等离子体处理腔室,其中所述基板支撑组件包括静电卡盘,其中所述静电卡盘包括所述第一介电层和所述第一偏压电极。
示例4:如示例1所述的等离子体处理腔室,其中所述阻断电阻器的电阻大于100kOhm。
示例5:如示例1所述的等离子体处理腔室,其中所述基板支撑组件进一步包括:支撑基部;以及第二介电层,设置在所述支撑基部与所述第一偏压电极之间;以及所述波形发生器包括通过第一电导体电耦接至所述第一偏压电极的脉冲电压波形发生器,并且被配置为在所述第一偏压电极处建立脉冲电压波形;射频发生器,通过第二功率输送线路电耦接至所述支撑基部,并且被配置为在所述支撑基部处建立射频电压波形;以及所述信号检测模块被进一步配置为从第二信号线接收第二电信号,所述第二信号线耦接至所述第二功率输送线路。
示例6:如示例1所述的等离子体处理腔室,其中所述第一介电层的厚度介于约0.1mm与约2mm之间。
示例7:如示例1所述的等离子体处理腔室,其中所述夹紧网络与所述波形发生器并联连接,并且所述夹紧网络进一步包括:第一二极管,与所述第一点与所述直流(DC)电压源之间的所述阻断电阻器并联耦接,其中所述二极管的阳极侧耦接至所述第一点;第一电容器,耦接在所述二极管的阴极侧与地线之间;以及第二电阻器,与DC电压源串联,与所述第一电容器并联耦接。
示例8:如示例1所述的等离子体处理腔室,其中所述基板支撑组件进一步包括第二偏压电极,其中所述第一偏压电极和所述第二偏压电极各自选自包括边缘控制电极和卡紧极电极的群组。
示例9:一种等离子体处理腔室,包括:基板支撑组件,包括:基板支撑表面;第一电极;第一介电层,设置在所述第一电极与所述基板支撑表面之间;波形发生器;第一功率输送线路,将所述波形发生器电耦接至所述第一电极,其中所述第一功率输送线路包括阻断电容器;夹紧网络,在所述阻断电容器与所述第一电极之间的第一点处耦接至所述第一功率输送线路,所述夹紧网络包括:直流(DC)电压源,耦接在所述第一点与地线之间;以及阻断电阻器,耦接在所述第一点与所述直流(DC)电压源之间;以及信号检测模块,被配置为从第一信号迹线接收第一电信号,所述第一信号迹线在设置在所述阻断电容器与所述第一电极之间的点处耦接至所述第一功率输送线路。
示例10:如示例9所述的等离子体处理腔室,进一步包括:二极管,与所述第一点与所述直流(DC)电压源之间的所述阻断电阻器并联耦接,其中所述二极管的阳极侧与所述第一点耦接。
示例11:如示例9所述的等离子体处理腔室,其中:所述波形发生器被配置为在第一时间段期间产生多个脉冲电压波形,并且在第二时间段期间停止所述多个脉冲电压波形的所述产生;在所述第一时间段期间,由所述信号检测模块所接收的电信号的第一部分包括产生的所述多个脉冲电压波形的波形的包括第一电压水平的第一部分;在所述第二时间段期间,由所述信号检测模块所接收的电信号的第二部分包括第二电压水平;以及计算机实施指令,存储在存储器中,所述计算机实施指令当由处理器执行时,被配置为在所述控制器控制向所述第一功率输送线路供应的所述电压的所述幅值之前,将所述第一电压水平与所述第二电压水平进行比较。
示例12:如示例9所述的等离子体处理腔室,其中所述基板支撑组件包括静电卡盘,其中所述静电卡盘包括所述第一介电层和所述第一电极。
示例13:如示例9所述的等离子体处理腔室,其中所述阻断电阻器的电阻大于100kOhm。
示例14:如示例9所述的等离子体处理腔室,其中:所述基板支撑组件进一步包括:支撑基部;以及第二介电层,设置在所述支撑基部与所述第一电极之间;以及所述波形发生器包括通过第一电导体电耦接至所述第一电极的脉冲电压波形发生器,并且被配置为在所述第一电极处建立脉冲电压波形;射频发生器,通过第二功率输送线路电耦接至所述支撑基部,并且被配置为在所述支撑基部处建立射频电压波形;以及所述信号检测模块被进一步配置为从第二信号迹线接收第二电信号,所述第二信号迹线耦接至所述第二功率输送线路。
示例15:如示例9所述的等离子体处理腔室,其中所述第一介电层的厚度介于约0.1mm与约2mm之间。
示例16:如示例9所述的等离子体处理腔室,其中所述夹紧网络与所述波形发生器并联连接,并且所述夹紧网络进一步包括:第一二极管,与所述第一点与所述直流(DC)电压源之间的所述阻断电阻器并联耦接,其中所述二极管的阳极侧耦接至所述第一点;第一电容器,耦接在所述二极管的阴极侧与地线之间;以及第二电阻器,与DC电压源串联,与所述第一电容器并联耦接。
示例17:如示例9所述的等离子体处理腔室,其中所述第一电极包括边缘控制电极或卡紧极电极。
示例18:一种用于对基板进行等离子体处理的方法,包括:在处理腔室的处理区域内产生等离子体,其中所述处理区域包括基板支撑件,所述基板支撑件包括基板支撑表面、第一偏压电极和设置在所述第一偏压电极与所述基板支撑表面之间的第一介电层;在第一时间段期间,从波形发生器通过第一功率输送线路向所述第一偏压电极输送一个或多个波形;在第二时间段内停止向所述第一偏压电极输送所述一个或多个波形;从夹紧网络向所述第一偏压电极施加第一夹紧电压;通过从信号迹线接收电信号,来在所述第一时间段期间检测所述一个或多个波形的至少一个特性,所述信号迹线在设置在所述第一功率输送线路上的第一点处耦接至所述第一功率输送线路;在所述第二时间段期间检测从所述信号线所接收的电信号的至少一个特性;以及基于以下各项来调整向所述第一偏压电极施加的所述第一夹紧电压:在所述第一时间段期间从所述信号迹线接收的所述一个或多个波形的检测到的所述特性;以及在所述第二时间段期间从所述信号迹线接收的所述电信号的所检测到的至少一个特性。
示例19:如示例18所述的方法,其中在所述第一时间段期间从所述波形发生器提供多个脉冲,所述多个脉冲中的每一者具有脉冲电压水平,并且在所述第一时间段的第二部分期间,所述多个脉冲中的一个或多个脉冲的所述脉冲电压水平相对于在所述第一时间段的第一部分内提供的一个或多个脉冲增加。
示例20:如示例19所述的方法,其中在所述第一时间段的所述部分期间施加所述第一夹紧电压包括:增加由所述夹紧网络向所述偏压电极供应的所述电压。
示例21:如示例18所述的方法,其中所述夹紧网络包括:直流(DC)电压源,耦接在所述第一点与地线之间;以及阻断电阻器,耦接在所述第一点与所述DC源之间。
示例22:如示例21所述的方法,其中所述一个或多个波形各自包括脉冲电压水平,并且在所述第一时间段的一部分期间,所述脉冲电压水平从第一电压水平增加到第二电压水平。
示例23:如示例22所述的方法,其中所述第一功率输送线路包括设置在所述波形发生器与所述偏压电极之间的阻断电容器,并且所述夹紧网络的电压以与跨所述阻断电容器的电压的上升实质相等的速度上升。
示例24:如示例21所述的方法,其中所述阻断电阻器的电阻大于100kOhm。
示例25:如示例21所述的方法,其中在任何瞬间,通过所述阻断电阻器流动到地线的DC电流小于约20mA。
示例26:如示例18所述的方法,其中在所述第一时间段的一部分期间施加所述第一夹紧电压包括:增加由所述夹紧网络向所述偏压电极供应的所述电压。
示例27:如示例18所述的方法,其中在所述第一时间段的部分期间施加所述第一夹紧电压包括:减少由所述夹紧网络向所述偏压电极供应的所述电压。
示例28:如示例18所述的方法,进一步包括:通过分析以下各项来确定在所述第一时间段期间实现的峰值等离子体电势:在所述第一时间段期间检测到的所述一个或多个波形的所述至少一个特性;以及在所述第二时间段期间检测到的所述电信号的所述至少一个特性。
示例29:如示例28所述的方法,其中调整所述第一夹紧电压进一步包括:将确定的所述峰值等离子体电势添加到夹紧电压设定点常数值,以形成期望的夹紧电压;以及向所述夹紧网络的直流(DC)电压源输送控制信号,其中所述控制信号包括与所形成的期望的夹紧电压相关的信息。
示例30:如示例18所述的方法,其中在所述第一时间段期间检测所述一个或多个波形的所述至少一个特性包括检测所述一个或多个脉冲电压波形中的脉冲电压波形的峰值处的第一电压;以及在所述第二时间段期间检测所述一个或多个波形的所述至少一个特性包括在所述第二时间段期间检测第二电压。
示例31:如示例30所述的方法,其中基于检测到的所述特性来调整向所述第一偏压电极施加的所述第一夹紧电压进一步包括:确定所述第一电压与所述第二电压之间的差异;以及基于所述第一电压与所述第二电压之间的所确定的差异来确定等离子体电势值,以及其中调整所述第一夹紧电压包括向所述第一偏压电极输送基板偏压电压,并且所述基板偏压电压包括所确定的等离子体电势值和先前确定的夹紧电压设定点值的总和。
示例32:如示例31所述的方法,其中所述确定所述等离子体电势值进一步包括:将所述第一电压与所述第二电压之间的所确定的差异乘以组合电路电容值,并且所述组合电路电容值包括直接与所述第一点耦接的电路组件的电容值。
示例33:一种用于对基板进行等离子体处理的方法,包括:在处理腔室的处理区域内产生等离子体,其中所述处理区域包括基板支撑件,所述基板支撑件包括基板支撑表面、第一偏压电极和设置在所述第一偏压电极与所述基板支撑表面之间的第一介电层;在第一时间段期间,从波形发生器通过第一功率输送线路向所述第一偏压电极输送多个波形,其中所述第一功率输送线路包括阻断电容器,所述阻断电容器设置在所述波形发生器与所述偏压电极之间;在完整的第二时间段期间,停止向所述第一偏压电极输送所述多个脉冲电压波形;从夹紧网络向所述第一偏压电极施加第一夹紧电压;通过从信号迹线接收电信号,在所述第一时间段期间检测所输送的多个脉冲电压波形中的一者或多者的至少一个特性,所述信号迹线在设置在所述阻断电容器与所述偏压电极之间的第一点处耦接至所述第一功率输送线路;在所述第二时间段期间检测从所述信号迹线接收的电信号的至少一个特性;以及基于在所述第一时间段和所述第二时间段期间所检测的所输送的多个脉冲电压波形中的一者或多者的特性、以及从所述信号迹线所接收的所述电信号的所述至少一个特性来调整向所述第一偏压电极施加的所述第一夹紧电压。
示例34:如示例33所述的方法,其中在所述第一时间段期间从所述波形发生器提供多个脉冲电压波形,所述多个脉冲中的每一者具有脉冲电压水平,并且在所述第一时间段的第二部分期间,所述多个脉冲中的一个或多个脉冲的所述脉冲电压水平相对于在所述第一时间段的第一部分内提供的一个或多个脉冲增加。
示例35:如示例34所述的方法,其中在所述第一时间段的所述部分期间施加所述第一夹紧电压包括:增加由所述夹紧网络向所述偏压电极供应的所述电压。
示例36:如示例33所述的方法,其中所述夹紧网络包括:直流(DC)电压源,耦接在所述第一点与地线之间;以及阻断电阻器,耦接在所述第一点与所述DC源之间。
示例37:如示例36所述的方法,其中所述一个或多个波形各自包括脉冲电压水平,并且在所述第一时间段的部分期间,所述脉冲电压水平从第一电压水平增加到第二电压水平。
示例38:如示例36所述的方法,其中在任何瞬间,通过所述阻断电阻器流动到地线的DC电流都小于约20mA。
示例39:如示例33所述的方法,进一步包括:通过分析以下各项来确定在所述第一时间段期间实现的峰值等离子体电势:在所述第一时间段期间检测到的所述一个或多个波形的所述至少一个特性;以及在所述第二时间段期间检测到的所述电信号的所述至少一个特性。
示例40:如示例39所述的方法,其中调整所述第一夹紧电压进一步包括:将所确定的峰值等离子体电势添加到存储在存储器中的夹紧电压设定点常数值,以形成期望的夹紧电压;以及向所述夹紧网络的直流(DC)电压源输送控制信号,其中所述控制信号包括与所形成的期望的夹紧电压相关的信息。
示例41:如示例33所述的方法,其中:在所述第一时间段期间检测所述一个或多个波形的所述至少一个特性包括检测所述一个或多个脉冲电压波形中的脉冲电压波形的峰值处的第一电压,以及在所述第二时间段期间检测所述一个或多个波形的所述至少一个特性包括在所述第二时间段期间检测第二电压。
示例42:如示例41所述的方法,其中基于所检测到的特性来调整向所述第一偏压电极施加的所述第一夹紧电压进一步包括:确定所述第一电压与所述第二电压之间的差异;以及基于所述第一电压与所述第二电压之间的所确定的差异来确定等离子体电势值,以及其中调整所述第一夹紧电压包括向所述第一偏压电极输送基板偏压电压,并且所述基板偏压电压包括所确定的等离子体电势值和先前确定的夹紧电压设定点值的总和。
示例43:如示例42所述的方法,其中确定所述等离子体电势值进一步包括:将所述第一电压与所述第二电压之间的所确定的差异乘以组合电路电容值,并且所述组合电路电容值包括直接耦接至所述第一点的电路元件的电容值。
虽然前述内容涉及本公开内容的实施例,但也可以在不脱离本公开内容的基本范围的情况下设计本公开内容的其他的和另外的实施例,并且本公开内容的范围是由随后的权利要求所确定的。
Claims (43)
1.一种等离子体处理腔室,包括:
基板支撑组件,包括:
基板支撑表面;
第一偏压电极;
第一介电层,设置在所述第一偏压电极与所述基板支撑表面之间;
波形发生器;
第一功率输送线路,将所述波形发生器电耦接至所述第一偏压电极,其中所述第一功率输送线路包括阻断电容器;
夹紧网络,在所述阻断电容器与所述偏压电极之间的第一点处耦接至所述第一功率输送线路,所述夹紧网络包括:
直流(DC)电压源,耦接在所述第一点与地线之间;以及
阻断电阻器,耦接在所述第一点与所述直流(DC)电压源的输出之间;以及
信号检测模块,被配置为从第一信号迹线接收第一电信号,所述第一信号迹线在设置在所述阻断电容器与所述偏压电极之间的点处耦接至所述第一功率输送线路;以及
控制器,被配置为与所述信号检测模块通信,并且由于在所接收到的电信号内所接收到的信息,控制由所述直流(DC)电压源在所述第一点处向所述第一功率输送线路供应的电压的幅值。
2.如权利要求1所述的等离子体处理腔室,其中
所述波形发生器被配置为在第一时间段期间产生多个脉冲电压波形,并且在第二时间段期间停止所述多个脉冲电压波形的所述产生,
在所述第一时间段期间,由所述信号检测模块所接收的电信号的第一部分包括所产生的多个脉冲电压波形的波形的包括第一电压水平的第一部分,
在所述第二时间段期间,由所述信号检测模块所接收的电信号的第二部分包括第二电压水平,以及
计算机实施指令,存储在存储器中,所述计算机实施指令当由处理器执行时,被配置为在所述控制器控制向所述第一功率输送线路供应的所述电压的所述幅值之前,将所述第一电压水平与所述第二电压水平进行比较。
3.如权利要求1所述的等离子体处理腔室,其中所述基板支撑组件包括静电卡盘,其中所述静电卡盘包括所述第一介电层和所述第一偏压电极。
4.如权利要求1所述的等离子体处理腔室,其中所述阻断电阻器的电阻大于100kOhm。
5.如权利要求1所述的等离子体处理腔室,其中
所述基板支撑组件进一步包括:
支撑基部;以及
第二介电层,设置在所述支撑基部与所述第一偏压电极之间;以及所述波形发生器包括通过第一电导体电耦接至所述第一偏压电极的脉冲电压波形发生器,并且被配置为在所述第一偏压电极处建立脉冲电压波形,射频发生器,通过第二功率输送线路电耦接至所述支撑基部,并且被配置为在所述支撑基部处建立射频电压波形,以及
所述信号检测模块被进一步配置为从第二信号迹线接收第二电信号,所述第二信号迹线耦接至所述第二功率输送线路。
6.如权利要求1所述的等离子体处理腔室,其中所述第一介电层的厚度介于约0.1mm与约2mm之间。
7.如权利要求1所述的等离子体处理腔室,其中所述夹紧网络与所述波形发生器并联连接,并且所述夹紧网络进一步包括:
第一二极管,与所述第一点与所述直流(DC)电压源之间的所述阻断电阻器并联耦接,其中所述二极管的阳极侧耦接至所述第一点;
第一电容器,耦接在所述二极管的阴极侧与地线之间;以及
第二电阻器,与DC电压源串联,与所述第一电容器并联耦接。
8.如权利要求1所述的等离子体处理腔室,其中所述基板支撑组件进一步包括第二偏压电极,其中所述第一偏压电极和所述第二偏压电极各自选自包括边缘控制电极和卡紧极电极的群组。
9.一种等离子体处理腔室,包括:
基板支撑组件,包括:
基板支撑表面;
第一电极;
第一介电层,设置在所述第一电极与所述基板支撑表面之间;
波形发生器;
第一功率输送线路,将所述波形发生器电耦接至所述第一电极,其中所述第一功率输送线路包括阻断电容器;
夹紧网络,在所述阻断电容器与所述第一电极之间的第一点处耦接至所述第一功率输送线路,所述夹紧网络包括:
直流(DC)电压源,耦接在所述第一点与地线之间;以及
阻断电阻器,耦接在所述第一点与所述直流(DC)电压源之间;以及
信号检测模块,被配置为从第一信号迹线接收第一电信号,所述第一信号迹线在设置在所述阻断电容器与所述第一电极之间的点处耦接至所述第一功率输送线路。
10.如权利要求9所述的等离子体处理腔室,进一步包括:二极管,与所述第一点与所述直流(DC)电压源之间的所述阻断电阻器并联耦接,其中所述二极管的阳极侧耦接至所述第一点。
11.如权利要求9所述的等离子体处理腔室,其中
所述波形发生器被配置为在第一时间段期间产生多个脉冲电压波形,并且在第二时间段期间停止所述多个脉冲电压波形的所述产生,
在所述第一时间段期间,由所述信号检测模块所接收的电信号的第一部分包括所产生的多个脉冲电压波形的波形的包括第一电压水平的第一部分,
在所述第二时间段期间,由所述信号检测模块所接收的电信号的第二部分包括第二电压水平,以及
计算机实施指令,存储在存储器中,所述计算机实施指令当由处理器执行时,被配置为在所述控制器控制向所述第一功率输送线路供应的所述电压的所述幅值之前,将所述第一电压水平与所述第二电压水平进行比较。
12.如权利要求9所述的等离子体处理腔室,其中所述基板支撑组件包括静电卡盘,其中所述静电卡盘包括所述第一介电层和所述第一电极。
13.如权利要求9所述的等离子体处理腔室,其中所述阻断电阻器的电阻大于100kOhm。
14.如权利要求9所述的等离子体处理腔室,其中
所述基板支撑组件进一步包括:
支撑基部;以及
第二介电层,设置在所述支撑基部与所述第一电极之间;以及所述波形发生器包括通过第一电导体电耦接至所述第一电极的脉冲电压波形发生器,并且被配置为在所述第一电极处建立脉冲电压波形,
射频发生器,通过第二功率输送线路电耦接至所述支撑基部,并且被配置为在所述支撑基部处建立射频电压波形,以及
所述信号检测模块被进一步配置为从第二信号迹线接收第二电信号,所述第二信号迹线耦接至所述第二功率输送线路。
15.如权利要求9所述的等离子体处理腔室,其中所述第一介电层的厚度介于约0.1mm与约2mm之间。
16.如权利要求9所述的等离子体处理腔室,其中所述夹紧网络与所述波形发生器并联连接,并且所述夹紧网络进一步包括:
第一二极管,与所述第一点与所述直流(DC)电压源之间的所述阻断电阻器并联耦接,其中所述二极管的阳极侧耦接至所述第一点;
第一电容器,耦接在所述二极管的阴极侧与地线之间;以及
第二电阻器,与DC电压源串联,与所述第一电容器并联耦接。
17.如权利要求9所述的等离子体处理腔室,其中所述第一电极包括边缘控制电极或卡紧极电极。
18.一种用于对基板进行等离子体处理的方法,包括:
在处理腔室的处理区域内产生等离子体,其中所述处理区域包括基板支撑件,所述基板支撑件包括基板支撑表面、第一偏压电极和设置在所述第一偏压电极与所述基板支撑表面之间的第一介电层;
在第一时间段期间,从波形发生器通过第一功率输送线路向所述第一偏压电极输送一个或多个波形;
在第二时间段,停止向所述第一偏压电极输送所述一个或多个波形;
从夹紧网络向所述第一偏压电极施加第一夹紧电压;
通过从信号迹线接收电信号,在所述第一时间段期间检测所述一个或多个波形的至少一个特性,所述信号迹线在设置在所述第一功率输送线路上的第一点处耦接至所述第一功率输送线路;
在所述第二时间段期间检测从所述信号迹线接收的电信号的至少一个特性;以及
基于以下各项来调整向所述第一偏压电极施加的所述第一夹紧电压:
在所述第一时间段期间从所述信号迹线接收的所述一个或多个波形的所检测到的特性;以及
在所述第二时间段期间从所述信号迹线接收的所述电信号的所检测到的至少一个特性。
19.如权利要求18所述的方法,其中在所述第一时间段期间从所述波形发生器提供多个脉冲,所述多个脉冲中的每一者具有脉冲电压水平,并且在所述第一时间段的第二部分期间,所述多个脉冲中的一个或多个脉冲的所述脉冲电压水平相对于在所述第一时间段的第一部分内提供的一个或多个脉冲增加。
20.如权利要求19所述的方法,其中在所述第一时间段的所述部分期间施加所述第一夹紧电压包括:增加由所述夹紧网络向所述偏压电极供应的所述电压。
21.如权利要求18所述的方法,其中所述夹紧网络包括:
直流(DC)电压源,耦接在所述第一点与地线之间;以及
阻断电阻器,耦接在所述第一点与所述DC源之间。
22.如权利要求21所述的方法,其中所述一个或多个波形各自包括脉冲电压水平,并且在所述第一时间段的部分期间,所述脉冲电压水平从第一电压水平增加到第二电压水平。
23.如权利要求22所述的方法,其中所述第一功率输送线路包括设置在所述波形发生器与所述偏压电极之间的阻断电容器,并且所述夹紧网络的电压以与跨所述阻断电容器的电压的上升实质相等的速率上升。
24.如权利要求21所述的方法,其中所述阻断电阻器的电阻大于100kOhm。
25.如权利要求21所述的方法,其中在任何瞬间,通过所述阻断电阻器流动到地线的DC电流都小于约20mA。
26.如权利要求18所述的方法,其中在所述第一时间段的部分期间施加所述第一夹紧电压包括:增加由所述夹紧网络向所述偏压电极供应的所述电压。
27.如权利要求18所述的方法,其中在所述第一时间段的部分期间施加所述第一夹紧电压包括:减少由所述夹紧网络向所述偏压电极供应的所述电压。
28.如权利要求18所述的方法,进一步包括:通过分析以下各项来确定在所述第一时间段期间实现的峰值等离子体电势:
在所述第一时间段期间检测到的所述一个或多个波形的所述至少一个特性;以及
在所述第二时间段期间检测到的所述电信号的所述至少一个特性。
29.如权利要求28所述的方法,其中调整所述第一夹紧电压进一步包括:
将所确定的峰值等离子体电势添加到存储在存储器中的夹紧电压设定点常数值,以形成期望的夹紧电压;以及
向所述夹紧网络的直流(DC)电压源输送控制信号,其中所述控制信号包括与所形成的期望的夹紧电压相关的信息。
30.如权利要求18所述的方法,其中:
在所述第一时间段期间检测所述一个或多个波形的所述至少一个特性包括检测所述一个或多个脉冲电压波形中的脉冲电压波形的峰值处的第一电压,以及
在所述第二时间段期间检测所述一个或多个波形的所述至少一个特性包括在所述第二时间段期间检测第二电压。
31.如权利要求30所述的方法,其中基于所检测到的特性来调整向所述第一偏压电极施加的所述第一夹紧电压进一步包括:
确定所述第一电压与所述第二电压之间的差异;以及
基于所述第一电压与所述第二电压之间的所确定的差异来确定等离子体电势值,以及
其中调整所述第一夹紧电压包括向所述第一偏压电极输送基板偏压电压,并且所述基板偏压电压包括所确定的等离子体电势值和先前确定的夹紧电压设定点值的总和。
32.如权利要求31所述的方法,其中确定所述等离子体电势值进一步包括:将所述第一电压与所述第二电压之间的所确定的差异乘以组合电路电容值,并且所述组合电路电容值包括直接耦接至所述第一点的电路元件的电容值。
33.一种用于对基板进行等离子体处理的方法,包括:
在处理腔室的处理区域内产生等离子体,其中所述处理区域包括基板支撑件,所述基板支撑件包括基板支撑表面、第一偏压电极和设置在所述第一偏压电极与所述基板支撑表面之间的第一介电层;
在第一时间段期间,从波形发生器通过第一功率输送线路向所述第一偏压电极输送多个脉冲电压波形,其中所述第一功率输送线路包括阻断电容器,所述阻断电容器设置在所述波形发生器与所述偏压电极之间;
在完整的第二时间段期间,停止向所述第一偏压电极输送所述多个脉冲电压波形;
从夹紧网络向所述第一偏压电极施加第一夹紧电压;
通过从信号迹线接收电信号,在所述第一时间段期间检测所输送的多个脉冲电压波形中的一者或多者的至少一个特性,所述信号迹线在设置在所述阻断电容器与所述偏压电极之间的第一点处耦接至所述第一功率输送线路;
在所述第二时间段期间检测从所述信号迹线接收的电信号的至少一个特性;以及
基于在所述第一时间段和所述第二时间段期间所检测的所输送的多个脉冲电压波形中的一者或多者的特性、以及从所述信号迹线所接收的所述电信号的所述至少一个特性来调整向所述第一偏压电极施加的所述第一夹紧电压。
34.如权利要求33所述的方法,其中在所述第一时间段期间从所述波形发生器提供多个脉冲电压波形,所述多个脉冲中的每一者具有脉冲电压水平,并且在所述第一时间段的第二部分期间,所述多个脉冲中的一个或多个脉冲的所述脉冲电压水平相对于在所述第一时间段的第一部分内提供的一个或多个脉冲增加。
35.如权利要求34所述的方法,其中在所述第一时间段的所述部分期间施加所述第一夹紧电压包括:增加由所述夹紧网络向所述偏压电极供应的所述电压。
36.如权利要求33所述的方法,其中所述夹紧网络包括:
直流(DC)电压源,耦接在所述第一点与地线之间;以及
阻断电阻器,耦接在所述第一点与所述DC源之间。
37.如权利要求36所述的方法,其中所述一个或多个波形各自包括脉冲电压水平,并且在所述第一时间段的部分期间,所述脉冲电压水平从第一电压水平增加到第二电压水平。
38.如权利要求36所述的方法,其中在任何瞬间,通过所述阻断电阻器流动到地线的DC电流都小于约20mA。
39.如权利要求33所述的方法,进一步包括:通过分析以下各项来确定在所述第一时间段期间实现的峰值等离子体电势:
在所述第一时间段期间检测到的所述一个或多个波形的所述至少一个特性;以及
在所述第二时间段期间检测到的所述电信号的所述至少一个特性。
40.如权利要求39所述的方法,其中调整所述第一夹紧电压进一步包括:
将所确定的峰值等离子体电势添加到存储在存储器中的夹紧电压设定点常数值,以形成期望的夹紧电压;以及
向所述夹紧网络的直流(DC)电压源输送控制信号,其中所述控制信号包括与所形成的期望的夹紧电压相关的信息。
41.如权利要求33所述的方法,其中:
在所述第一时间段期间检测所述一个或多个波形的所述至少一个特性包括检测所述一个或多个脉冲电压波形中的脉冲电压波形的峰值处的第一电压,以及
在所述第二时间段期间检测所述一个或多个波形的所述至少一个特性包括在所述第二时间段期间检测第二电压。
42.如权利要求41所述的方法,其中基于所检测到的特性来调整向所述第一偏压电极施加的所述第一夹紧电压进一步包括:
确定所述第一电压与所述第二电压之间的差异;以及
基于所述第一电压与所述第二电压之间的所确定的差异来确定等离子体电势值,以及
其中调整所述第一夹紧电压包括向所述第一偏压电极输送基板偏压电压,并且所述基板偏压电压包括所确定的等离子体电势值和先前确定的夹紧电压设定点值的总和。
43.如权利要求42所述的方法,其中确定所述等离子体电势值进一步包括:将所述第一电压与所述第二电压之间的所确定的差异乘以组合电路电容值,并且所述组合电路电容值包括直接耦接至所述第一点的电路元件的电容值。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/319,007 | 2021-05-12 | ||
US17/319,013 US11948780B2 (en) | 2021-05-12 | 2021-05-12 | Automatic electrostatic chuck bias compensation during plasma processing |
US17/319,013 | 2021-05-12 | ||
PCT/US2022/027892 WO2022240651A1 (en) | 2021-05-12 | 2022-05-05 | Automatic electrostatic chuck bias compensation during plasma processing |
Publications (1)
Publication Number | Publication Date |
---|---|
CN117296124A true CN117296124A (zh) | 2023-12-26 |
Family
ID=83998903
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202280034204.XA Pending CN117296124A (zh) | 2021-05-12 | 2022-05-05 | 等离子体处理期间的自动静电卡盘偏压补偿 |
Country Status (2)
Country | Link |
---|---|
US (1) | US11948780B2 (zh) |
CN (1) | CN117296124A (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CA2995969C (en) * | 2018-02-22 | 2019-08-20 | Mitchell B. Miller | A system and method for charging electrostatic devices utilizing displacement current, referred to as deflection converter |
CN114826891A (zh) * | 2019-05-20 | 2022-07-29 | 华为技术有限公司 | Tsn中控制器间通信的方法、装置及系统 |
Family Cites Families (579)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4070589A (en) | 1976-10-29 | 1978-01-24 | The Singer Company | High speed-high voltage switching with low power consumption |
US4340462A (en) | 1981-02-13 | 1982-07-20 | Lam Research Corporation | Adjustable electrode plasma processing chamber |
US4504895A (en) | 1982-11-03 | 1985-03-12 | General Electric Company | Regulated dc-dc converter using a resonating transformer |
US4464223A (en) | 1983-10-03 | 1984-08-07 | Tegal Corp. | Plasma reactor apparatus and method |
US4585516A (en) | 1985-03-04 | 1986-04-29 | Tegal Corporation | Variable duty cycle, multiple frequency, plasma reactor |
US4683529A (en) | 1986-11-12 | 1987-07-28 | Zytec Corporation | Switching power supply with automatic power factor correction |
KR970003885B1 (ko) | 1987-12-25 | 1997-03-22 | 도오교오 에레구토론 가부시끼 가이샤 | 에칭 방법 및 그 장치 |
WO1991009150A1 (en) | 1989-12-15 | 1991-06-27 | Canon Kabushiki Kaisha | Method of and device for plasma treatment |
US4992919A (en) | 1989-12-29 | 1991-02-12 | Lee Chu Quon | Parallel resonant converter with zero voltage switching |
US5099697A (en) | 1990-04-02 | 1992-03-31 | Agar Corporation Ltd. | Two and three-phase flow measurement |
US5140510A (en) | 1991-03-04 | 1992-08-18 | Motorola, Inc. | Constant frequency power converter |
US5418707A (en) | 1992-04-13 | 1995-05-23 | The United States Of America As Represented By The United States Department Of Energy | High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs |
US5286297A (en) | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
KR100324792B1 (ko) | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
US5662770A (en) | 1993-04-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks |
JP2748213B2 (ja) | 1993-05-24 | 1998-05-06 | 日本レーザ電子株式会社 | プラズマ製膜装置 |
US5449410A (en) | 1993-07-28 | 1995-09-12 | Applied Materials, Inc. | Plasma processing apparatus |
KR100302167B1 (ko) | 1993-11-05 | 2001-11-22 | 히가시 데쓰로 | 플라즈마처리장치및플라즈마처리방법 |
US5451846A (en) | 1993-12-14 | 1995-09-19 | Aeg Automation Systems Corporation | Low current compensation control for thyristor armature power supply |
US5565036A (en) | 1994-01-19 | 1996-10-15 | Tel America, Inc. | Apparatus and method for igniting plasma in a process module |
TW299559B (zh) | 1994-04-20 | 1997-03-01 | Tokyo Electron Co Ltd | |
US5651865A (en) | 1994-06-17 | 1997-07-29 | Eni | Preferential sputtering of insulators from conductive targets |
US5554959A (en) | 1994-10-25 | 1996-09-10 | Vac-Com, Inc. | Linear power amplifier with a pulse density modulated switching power supply |
US5716534A (en) | 1994-12-05 | 1998-02-10 | Tokyo Electron Limited | Plasma processing method and plasma etching method |
US6133557A (en) | 1995-01-31 | 2000-10-17 | Kyocera Corporation | Wafer holding member |
JP3778299B2 (ja) | 1995-02-07 | 2006-05-24 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
JP3292270B2 (ja) | 1995-02-27 | 2002-06-17 | 富士通株式会社 | 静電吸着装置 |
US5597438A (en) | 1995-09-14 | 1997-01-28 | Siemens Aktiengesellschaft | Etch chamber having three independently controlled electrodes |
US6253704B1 (en) | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
JPH09129612A (ja) | 1995-10-26 | 1997-05-16 | Tokyo Electron Ltd | エッチングガス及びエッチング方法 |
US6902683B1 (en) * | 1996-03-01 | 2005-06-07 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
IT1289479B1 (it) | 1996-01-26 | 1998-10-15 | Schlafhorst & Co W | Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata |
US6252354B1 (en) | 1996-11-04 | 2001-06-26 | Applied Materials, Inc. | RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control |
US5770023A (en) | 1996-02-12 | 1998-06-23 | Eni A Division Of Astec America, Inc. | Etch process employing asymmetric bipolar pulsed DC |
TW335517B (en) | 1996-03-01 | 1998-07-01 | Hitachi Ltd | Apparatus and method for processing plasma |
US6055150A (en) | 1996-05-02 | 2000-04-25 | Applied Materials, Inc. | Multi-electrode electrostatic chuck having fuses in hollow cavities |
US5948704A (en) | 1996-06-05 | 1999-09-07 | Lam Research Corporation | High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
JP3220383B2 (ja) | 1996-07-23 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置及びその方法 |
JP3122618B2 (ja) | 1996-08-23 | 2001-01-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3220394B2 (ja) | 1996-09-27 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6214162B1 (en) | 1996-09-27 | 2001-04-10 | Tokyo Electron Limited | Plasma processing apparatus |
US5882424A (en) | 1997-01-21 | 1999-03-16 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field |
US5830330A (en) | 1997-05-22 | 1998-11-03 | Tokyo Electron Limited | Method and apparatus for low pressure sputtering |
JP3599564B2 (ja) | 1998-06-25 | 2004-12-08 | 東京エレクトロン株式会社 | イオン流形成方法及び装置 |
US6051114A (en) | 1997-06-23 | 2000-04-18 | Applied Materials, Inc. | Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition |
US5933314A (en) | 1997-06-27 | 1999-08-03 | Lam Research Corp. | Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks |
JPH1125894A (ja) | 1997-06-30 | 1999-01-29 | Shinku Device:Kk | プラズマイオンシャワー試料処理装置とその方法 |
US6187685B1 (en) | 1997-08-01 | 2001-02-13 | Surface Technology Systems Limited | Method and apparatus for etching a substrate |
JP2001516963A (ja) | 1997-09-17 | 2001-10-02 | 東京エレクトロン株式会社 | ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法 |
US6392187B1 (en) | 1997-10-15 | 2002-05-21 | Tokyo Electron Limited | Apparatus and method for utilizing a plasma density gradient to produce a flow of particles |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6043607A (en) | 1997-12-16 | 2000-03-28 | Applied Materials, Inc. | Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform |
US6198616B1 (en) * | 1998-04-03 | 2001-03-06 | Applied Materials, Inc. | Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system |
US6126778A (en) | 1998-07-22 | 2000-10-03 | Micron Technology, Inc. | Beat frequency modulation for plasma generation |
US6355992B1 (en) | 1998-08-11 | 2002-03-12 | Utron Inc. | High voltage pulse generator |
JP3706027B2 (ja) | 1998-09-18 | 2005-10-12 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US6125025A (en) | 1998-09-30 | 2000-09-26 | Lam Research Corporation | Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors |
US7583492B2 (en) | 1998-09-30 | 2009-09-01 | Lam Research Corporation | Method of determining the correct average bias compensation voltage during a plasma process |
US7218503B2 (en) | 1998-09-30 | 2007-05-15 | Lam Research Corporation | Method of determining the correct average bias compensation voltage during a plasma process |
US6117279A (en) | 1998-11-12 | 2000-09-12 | Tokyo Electron Limited | Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition |
US6849154B2 (en) | 1998-11-27 | 2005-02-01 | Tokyo Electron Limited | Plasma etching apparatus |
JP2000173982A (ja) | 1998-12-01 | 2000-06-23 | Matsushita Electric Ind Co Ltd | プラズマ処理装置およびプラズマ処理方法 |
JP3357313B2 (ja) | 1999-03-11 | 2002-12-16 | 住友特殊金属株式会社 | 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法 |
JP2000269196A (ja) | 1999-03-19 | 2000-09-29 | Toshiba Corp | プラズマ処理方法及びプラズマ処理装置 |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6451389B1 (en) | 1999-04-17 | 2002-09-17 | Advanced Energy Industries, Inc. | Method for deposition of diamond like carbon |
US6273958B2 (en) | 1999-06-09 | 2001-08-14 | Applied Materials, Inc. | Substrate support for plasma processing |
US6367413B1 (en) | 1999-06-15 | 2002-04-09 | Tokyo Electron Limited | Apparatus for monitoring substrate biasing during plasma processing of a substrate |
WO2001005020A1 (en) | 1999-07-13 | 2001-01-18 | Tokyo Electron Limited | Radio frequency power source for generating an inductively coupled plasma |
WO2001009918A1 (en) | 1999-08-02 | 2001-02-08 | Advanced Energy Industries, Inc. | Enhanced electron emissive surfaces for a thin film deposition system using ion sources |
US6232236B1 (en) | 1999-08-03 | 2001-05-15 | Applied Materials, Inc. | Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system |
DE19937859C2 (de) | 1999-08-13 | 2003-06-18 | Huettinger Elektronik Gmbh | Elektrische Versorgungseinheit für Plasmaanlagen |
DE60041341D1 (de) | 1999-08-17 | 2009-02-26 | Tokyo Electron Ltd | Gepulstes plasmabehandlungsverfahren und vorrichtung |
US6818103B1 (en) | 1999-10-15 | 2004-11-16 | Advanced Energy Industries, Inc. | Method and apparatus for substrate biasing in multiple electrode sputtering systems |
JP4021601B2 (ja) | 1999-10-29 | 2007-12-12 | 株式会社東芝 | スパッタ装置および成膜方法 |
US6201208B1 (en) | 1999-11-04 | 2001-03-13 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma processing with control of ion energy distribution at the substrates |
WO2001052302A1 (en) | 2000-01-10 | 2001-07-19 | Tokyo Electron Limited | Segmented electrode assembly and method for plasma processing |
US20030079983A1 (en) | 2000-02-25 | 2003-05-01 | Maolin Long | Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources |
TW507256B (en) | 2000-03-13 | 2002-10-21 | Mitsubishi Heavy Ind Ltd | Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus |
AU2001245938A1 (en) | 2000-03-28 | 2001-10-08 | Tokyo Electron Limited | Method and apparatus for controlling power delivered to a multiple segment electrode |
JP4454781B2 (ja) | 2000-04-18 | 2010-04-21 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3851057B2 (ja) | 2000-04-21 | 2006-11-29 | シャープ株式会社 | 画像形成装置 |
EP1435655A3 (en) | 2000-05-10 | 2004-07-14 | Ibiden Co., Ltd. | Electrostatic chuck |
JP4559595B2 (ja) | 2000-07-17 | 2010-10-06 | 東京エレクトロン株式会社 | 被処理体の載置装置及びプラズマ処理装置 |
JP4590031B2 (ja) | 2000-07-26 | 2010-12-01 | 東京エレクトロン株式会社 | 被処理体の載置機構 |
US6483731B1 (en) | 2000-07-31 | 2002-11-19 | Vanner, Inc. | Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section |
US7183177B2 (en) | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US6485572B1 (en) | 2000-08-28 | 2002-11-26 | Micron Technology, Inc. | Use of pulsed grounding source in a plasma reactor |
TW506234B (en) | 2000-09-18 | 2002-10-11 | Tokyo Electron Ltd | Tunable focus ring for plasma processing |
JP4612947B2 (ja) | 2000-09-29 | 2011-01-12 | 日立プラズマディスプレイ株式会社 | 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置 |
KR100378187B1 (ko) | 2000-11-09 | 2003-03-29 | 삼성전자주식회사 | 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법 |
JP3897582B2 (ja) | 2000-12-12 | 2007-03-28 | キヤノン株式会社 | 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置 |
JP2002198355A (ja) | 2000-12-26 | 2002-07-12 | Tokyo Electron Ltd | プラズマ処理装置 |
KR100842947B1 (ko) | 2000-12-26 | 2008-07-01 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 방법 및 플라즈마 처리 장치 |
WO2002054835A2 (en) | 2001-01-08 | 2002-07-11 | Tokyo Electron Limited | Addition of power at selected harmonics of plasma processor drive frequency |
WO2002059954A1 (fr) | 2001-01-25 | 2002-08-01 | Tokyo Electron Limited | Appareil de gravure par plasma et procede de gravure par plasma |
US6777037B2 (en) | 2001-02-21 | 2004-08-17 | Hitachi, Ltd. | Plasma processing method and apparatus |
US6741446B2 (en) | 2001-03-30 | 2004-05-25 | Lam Research Corporation | Vacuum plasma processor and method of operating same |
JP2002299322A (ja) | 2001-03-30 | 2002-10-11 | Toshiba Corp | プラズマ処理装置およびプラズマ処理方法 |
JP2002313899A (ja) | 2001-04-11 | 2002-10-25 | Sumitomo Electric Ind Ltd | 基板保持構造体および基板処理装置 |
US7146260B2 (en) | 2001-04-24 | 2006-12-05 | Medius, Inc. | Method and apparatus for dynamic configuration of multiprocessor system |
ATE254192T1 (de) | 2001-04-27 | 2003-11-15 | Europ Economic Community | Verfahren und vorrichtung zur sequentiellen plasmabehandlung |
JP4819244B2 (ja) | 2001-05-15 | 2011-11-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
WO2002097855A1 (en) | 2001-05-29 | 2002-12-05 | Tokyo Electron Limited | Plasma processing apparatus and method |
SE525231C2 (sv) | 2001-06-14 | 2005-01-11 | Chemfilt R & D Ab | Förfarande och anordning för att alstra plasma |
DE10136259A1 (de) | 2001-07-25 | 2003-02-20 | Oce Printing Systems Gmbh | Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte |
US20030029859A1 (en) | 2001-08-08 | 2003-02-13 | Applied Materials, Inc. | Lamphead for a rapid thermal processing chamber |
DE10151703B4 (de) | 2001-10-19 | 2004-12-09 | OCé PRINTING SYSTEMS GMBH | Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer |
TWI282658B (en) | 2001-10-23 | 2007-06-11 | Delta Electronics Inc | A parallel connection system of DC/AC voltage converter |
EP1439900B1 (en) | 2001-10-31 | 2012-05-09 | Tokyo Electron Limited | Method of etching high aspect ratio features |
JP4129855B2 (ja) | 2001-12-13 | 2008-08-06 | 東京エレクトロン株式会社 | プラズマ処理装置 |
DE10161743B4 (de) | 2001-12-15 | 2004-08-05 | Hüttinger Elektronik GmbH & Co. KG | Hochfrequenzanregungsanordnung |
US6768621B2 (en) | 2002-01-18 | 2004-07-27 | Solectria Corporation | Contactor feedback and precharge/discharge circuit |
JP4024053B2 (ja) | 2002-02-08 | 2007-12-19 | キヤノンアネルバ株式会社 | 高周波プラズマ処理方法及び高周波プラズマ処理装置 |
US6760213B2 (en) | 2002-03-04 | 2004-07-06 | Hitachi High-Technologies Corporation | Electrostatic chuck and method of treating substrate using electrostatic chuck |
DE10211609B4 (de) | 2002-03-12 | 2009-01-08 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last |
KR100511854B1 (ko) | 2002-06-18 | 2005-09-02 | 아네르바 가부시키가이샤 | 정전 흡착 장치 |
US6830650B2 (en) | 2002-07-12 | 2004-12-14 | Advanced Energy Industries, Inc. | Wafer probe for measuring plasma and surface characteristics in plasma processing environments |
US6808607B2 (en) | 2002-09-25 | 2004-10-26 | Advanced Energy Industries, Inc. | High peak power plasma pulsed supply with arc handling |
US7147759B2 (en) | 2002-09-30 | 2006-12-12 | Zond, Inc. | High-power pulsed magnetron sputtering |
US20040066601A1 (en) | 2002-10-04 | 2004-04-08 | Varian Semiconductor Equipment Associates, Inc. | Electrode configuration for retaining cooling gas on electrostatic wafer clamp |
US6896775B2 (en) | 2002-10-29 | 2005-05-24 | Zond, Inc. | High-power pulsed magnetically enhanced plasma processing |
DE10250229B4 (de) | 2002-10-29 | 2004-08-05 | Hüttinger Elektronik GmbH & Co. KG | Leistungsregelung für Hochfrequenzverstärker |
JP4323232B2 (ja) | 2002-12-04 | 2009-09-02 | 芝浦メカトロニクス株式会社 | 静電吸着方法、静電吸着装置及び貼り合せ装置 |
US6830595B2 (en) | 2002-12-20 | 2004-12-14 | Advanced Energy Technology Inc. | Method of making composite electrode and current collectors |
US7206189B2 (en) | 2002-12-20 | 2007-04-17 | Advanced Energy Technology Inc. | Composite electrode and current collectors and processes for making the same |
DE10306347A1 (de) | 2003-02-15 | 2004-08-26 | Hüttinger Elektronik GmbH & Co. KG | Leistungszufuhrregeleinheit |
DE10312549B3 (de) | 2003-03-21 | 2004-08-26 | Hüttinger Elektronik Gmbh + Co. Kg | Gasentladungsprozess-Spannungsversorgungseinheit |
US7126808B2 (en) | 2003-04-01 | 2006-10-24 | Varian Semiconductor Equipment Associates, Inc. | Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping |
JP4354243B2 (ja) | 2003-04-21 | 2009-10-28 | 東京エレクトロン株式会社 | 被処理体の昇降機構及び処理装置 |
JP4031732B2 (ja) | 2003-05-26 | 2008-01-09 | 京セラ株式会社 | 静電チャック |
US7625460B2 (en) | 2003-08-01 | 2009-12-01 | Micron Technology, Inc. | Multifrequency plasma reactor |
DE10336881B4 (de) | 2003-08-11 | 2008-05-15 | Hüttinger Elektronik GmbH & Co. KG | Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung |
US6902646B2 (en) | 2003-08-14 | 2005-06-07 | Advanced Energy Industries, Inc. | Sensor array for measuring plasma characteristics in plasma processing environments |
JP4418193B2 (ja) | 2003-08-22 | 2010-02-17 | 東京エレクトロン株式会社 | パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置 |
DE10341717A1 (de) | 2003-09-10 | 2005-05-25 | Applied Films Gmbh & Co. Kg | Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden |
US7115185B1 (en) | 2003-09-16 | 2006-10-03 | Advanced Energy Industries, Inc. | Pulsed excitation of inductively coupled plasma sources |
US9771648B2 (en) | 2004-08-13 | 2017-09-26 | Zond, Inc. | Method of ionized physical vapor deposition sputter coating high aspect-ratio structures |
WO2005052606A1 (ja) | 2003-11-28 | 2005-06-09 | Advantest Corporation | デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法 |
US7645341B2 (en) | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
US7379309B2 (en) | 2004-01-14 | 2008-05-27 | Vanner, Inc. | High-frequency DC-DC converter control |
US7663319B2 (en) | 2004-02-22 | 2010-02-16 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US9123508B2 (en) | 2004-02-22 | 2015-09-01 | Zond, Llc | Apparatus and method for sputtering hard coatings |
US7095179B2 (en) | 2004-02-22 | 2006-08-22 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US7700474B2 (en) | 2006-04-07 | 2010-04-20 | Tokyo Electron Limited | Barrier deposition using ionized physical vapor deposition (iPVD) |
US6972524B1 (en) | 2004-03-24 | 2005-12-06 | Lam Research Corporation | Plasma processing system control |
DE102004024805B4 (de) | 2004-05-17 | 2015-11-12 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung |
JP4401867B2 (ja) | 2004-05-20 | 2010-01-20 | 株式会社沖データ | 画像形成装置 |
CN102270577B (zh) | 2004-06-21 | 2014-07-23 | 东京毅力科创株式会社 | 等离子体处理装置和方法 |
US7988816B2 (en) | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7740704B2 (en) | 2004-06-25 | 2010-06-22 | Tokyo Electron Limited | High rate atomic layer deposition apparatus and method of using |
JP2006011174A (ja) | 2004-06-28 | 2006-01-12 | Ricoh Co Ltd | 記録体異常発生予測装置、定着装置および画像形成装置 |
US20060040499A1 (en) | 2004-08-20 | 2006-02-23 | Steve Walther | In situ surface contaminant removal for ion implanting |
DE102004044797B4 (de) | 2004-09-16 | 2008-02-07 | Hüttinger Elektronik GmbH & Co. KG | Anregungsanordnung für Induktionsöfen |
EP1803142A1 (en) | 2004-09-24 | 2007-07-04 | Zond, Inc. | Apparatus for generating high-current electrical discharges |
US7601246B2 (en) | 2004-09-29 | 2009-10-13 | Lam Research Corporation | Methods of sputtering a protective coating on a semiconductor substrate |
US7244311B2 (en) | 2004-10-13 | 2007-07-17 | Lam Research Corporation | Heat transfer system for improved semiconductor processing uniformity |
SE0402644D0 (sv) | 2004-11-02 | 2004-11-02 | Biocell Ab | Method and apparatus for producing electric discharges |
US7821767B2 (en) | 2004-11-04 | 2010-10-26 | Ulvac, Inc. | Electrostatic chuck device |
US7255747B2 (en) | 2004-12-22 | 2007-08-14 | Sokudo Co., Ltd. | Coat/develop module with independent stations |
KR101089096B1 (ko) | 2004-12-28 | 2011-12-06 | 엘지디스플레이 주식회사 | 노광장치용 척 |
US20060171848A1 (en) | 2005-01-31 | 2006-08-03 | Advanced Energy Industries, Inc. | Diagnostic plasma sensors for endpoint and end-of-life detection |
KR100649508B1 (ko) | 2005-02-02 | 2006-11-27 | 권오영 | 하이브리드 전원시스템 |
EP1691481B1 (de) | 2005-02-12 | 2014-04-02 | TRUMPF Hüttinger GmbH + Co. KG | Amplitudenmodulator |
PL1701376T3 (pl) | 2005-03-10 | 2007-04-30 | Huettinger Elektronik Gmbh Co Kg | Próżniowy generator plazmowy |
US7535688B2 (en) | 2005-03-25 | 2009-05-19 | Tokyo Electron Limited | Method for electrically discharging substrate, substrate processing apparatus and program |
US7586099B2 (en) | 2005-03-30 | 2009-09-08 | Huettinger Elektronik Gmbh + Co. Kg | Vacuum plasma generator |
ATE500604T1 (de) | 2005-03-30 | 2011-03-15 | Huettinger Elektronik Gmbh | Vakuumplasmagenerator |
US7305311B2 (en) | 2005-04-22 | 2007-12-04 | Advanced Energy Industries, Inc. | Arc detection and handling in radio frequency power applications |
JP3910210B2 (ja) | 2005-05-13 | 2007-04-25 | 松下電器産業株式会社 | 誘電体バリア放電ランプ点灯装置 |
US20060278521A1 (en) | 2005-06-14 | 2006-12-14 | Stowell Michael W | System and method for controlling ion density and energy using modulated power signals |
AR057882A1 (es) | 2005-11-09 | 2007-12-26 | Novartis Ag | Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra |
US20070114981A1 (en) | 2005-11-21 | 2007-05-24 | Square D Company | Switching power supply system with pre-regulator for circuit or personnel protection devices |
JP4418424B2 (ja) | 2005-11-21 | 2010-02-17 | 日本リライアンス株式会社 | 交流電源装置およびその装置におけるアーク抑制方法 |
JP4827081B2 (ja) | 2005-12-28 | 2011-11-30 | 東京エレクトロン株式会社 | プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体 |
WO2007082388A1 (en) | 2006-01-23 | 2007-07-26 | Audera International Sales Inc. | Power supply for limited power sources and audio amplifier using power supply |
US7872292B2 (en) | 2006-02-21 | 2011-01-18 | United Microelectronics Corp. | Capacitance dielectric layer and capacitor |
EP1837893A1 (de) | 2006-03-25 | 2007-09-26 | HÜTTINGER Elektronik GmbH + Co. KG | Messeeinrichtung eines HF-Plasmasystems |
JP4597894B2 (ja) | 2006-03-31 | 2010-12-15 | 東京エレクトロン株式会社 | 基板載置台および基板処理装置 |
US7588667B2 (en) | 2006-04-07 | 2009-09-15 | Tokyo Electron Limited | Depositing rhuthenium films using ionized physical vapor deposition (IPVD) |
GB2437080B (en) | 2006-04-11 | 2011-10-12 | Hauzer Techno Coating Bv | A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus |
US7692936B2 (en) | 2006-05-05 | 2010-04-06 | Huettinger Elektronik Gmbh + Co. Kg | Medium frequency power generator |
EP1852959A1 (de) | 2006-05-05 | 2007-11-07 | HÜTTINGER Elektronik GmbH + Co. KG | Stromversorgung für einen Mittelfrequenz-Plasmagenerator |
JP4887913B2 (ja) | 2006-06-02 | 2012-02-29 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
US7777152B2 (en) | 2006-06-13 | 2010-08-17 | Applied Materials, Inc. | High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck |
US8083961B2 (en) | 2006-07-31 | 2011-12-27 | Tokyo Electron Limited | Method and system for controlling the uniformity of a ballistic electron beam by RF modulation |
JP2008041993A (ja) | 2006-08-08 | 2008-02-21 | Shinko Electric Ind Co Ltd | 静電チャック |
KR100757347B1 (ko) | 2006-08-30 | 2007-09-10 | 삼성전자주식회사 | 이온 주입 장치 |
US8192576B2 (en) | 2006-09-20 | 2012-06-05 | Lam Research Corporation | Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing |
EP1912266A1 (en) | 2006-10-10 | 2008-04-16 | STMicroelectronics S.r.l. | Method of forming phase change memory devices in a pulsed DC deposition chamber |
JP5171010B2 (ja) | 2006-10-27 | 2013-03-27 | 東京エレクトロン株式会社 | 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム |
DE102006052060B4 (de) | 2006-11-04 | 2009-11-26 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Anordnung zur Anregung einer Gaslaseranordnung |
DE102006052061B4 (de) | 2006-11-04 | 2009-04-23 | Hüttinger Elektronik Gmbh + Co. Kg | Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren |
US20080106842A1 (en) | 2006-11-06 | 2008-05-08 | Tokyo Electron Limited | Mounting device, plasma processing apparatus and plasma processing method |
JP4864661B2 (ja) | 2006-11-22 | 2012-02-01 | 東京エレクトロン株式会社 | 太陽電池の製造方法及び太陽電池の製造装置 |
EP1926122B1 (de) | 2006-11-23 | 2009-11-11 | HÜTTINGER Elektronik GmbH + Co. KG | Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung |
US7795817B2 (en) | 2006-11-24 | 2010-09-14 | Huettinger Elektronik Gmbh + Co. Kg | Controlled plasma power supply |
KR101312292B1 (ko) | 2006-12-11 | 2013-09-27 | 엘아이지에이디피 주식회사 | 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법 |
KR101447302B1 (ko) | 2006-12-12 | 2014-10-06 | 오를리콘 어드벤스드 테크놀로지스 아크티엔게젤샤프트 | 고전력 임펄스 마그네트론 스퍼터링(hipims)에서의 아크 억제 및 펄싱 |
US8422193B2 (en) | 2006-12-19 | 2013-04-16 | Axcelis Technologies, Inc. | Annulus clamping and backside gas cooled electrostatic chuck |
JP5252613B2 (ja) | 2006-12-25 | 2013-07-31 | 国立大学法人東北大学 | イオン注入装置およびイオン注入方法 |
US20080160212A1 (en) | 2006-12-27 | 2008-07-03 | Bon-Woong Koo | Method and apparatuses for providing electrical contact for plasma processing applications |
US7718538B2 (en) | 2007-02-21 | 2010-05-18 | Applied Materials, Inc. | Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates |
US8217299B2 (en) | 2007-02-22 | 2012-07-10 | Advanced Energy Industries, Inc. | Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch |
DE102007009070A1 (de) | 2007-02-23 | 2008-08-28 | OCé PRINTING SYSTEMS GMBH | Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer |
DE502007006093D1 (de) | 2007-03-08 | 2011-02-10 | Huettinger Elektronik Gmbh | Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses |
EP1968188B1 (de) | 2007-03-09 | 2012-08-08 | HÜTTINGER Elektronik GmbH + Co. KG | Klasse-D Verstärkeranordnung |
US8055203B2 (en) | 2007-03-14 | 2011-11-08 | Mks Instruments, Inc. | Multipoint voltage and current probe system |
JP4903610B2 (ja) | 2007-03-27 | 2012-03-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR100855002B1 (ko) | 2007-05-23 | 2008-08-28 | 삼성전자주식회사 | 플라즈마 이온 주입시스템 |
JP5018244B2 (ja) | 2007-05-30 | 2012-09-05 | 住友大阪セメント株式会社 | 静電チャック |
US7758764B2 (en) | 2007-06-28 | 2010-07-20 | Lam Research Corporation | Methods and apparatus for substrate processing |
US20090004836A1 (en) | 2007-06-29 | 2009-01-01 | Varian Semiconductor Equipment Associates, Inc. | Plasma doping with enhanced charge neutralization |
DE112007003667A5 (de) | 2007-07-23 | 2010-07-01 | Hüttinger Elektronik GmbH & Co. KG | Plasmaversorgungseinrichtung |
KR20090024866A (ko) | 2007-09-05 | 2009-03-10 | 주식회사 코미코 | 기판 지지유닛 및 이를 갖는 기판 가공 장치 |
JP4607930B2 (ja) | 2007-09-14 | 2011-01-05 | 株式会社東芝 | プラズマ処理装置およびプラズマ処理方法 |
US8140292B2 (en) | 2007-09-18 | 2012-03-20 | Wisconsin Alumni Research Foundation | Method and system for controlling a voltage waveform |
JP5301812B2 (ja) | 2007-11-14 | 2013-09-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8133359B2 (en) | 2007-11-16 | 2012-03-13 | Advanced Energy Industries, Inc. | Methods and apparatus for sputtering deposition using direct current |
US9039871B2 (en) | 2007-11-16 | 2015-05-26 | Advanced Energy Industries, Inc. | Methods and apparatus for applying periodic voltage using direct current |
WO2009069670A1 (ja) | 2007-11-26 | 2009-06-04 | Tokyo Electron Limited | 微小構造体検査装置および微小構造体検査方法 |
CN101952945B (zh) | 2007-11-29 | 2013-08-14 | 朗姆研究公司 | 控制微负载的脉冲式偏置等离子体工艺 |
JP5224837B2 (ja) | 2008-02-01 | 2013-07-03 | 株式会社東芝 | 基板のプラズマ処理装置及びプラズマ処理方法 |
SG188140A1 (en) | 2008-02-08 | 2013-03-28 | Lam Res Corp | Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal |
DE102008012089B4 (de) | 2008-02-29 | 2015-06-11 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens |
WO2009111473A2 (en) | 2008-03-06 | 2009-09-11 | Tokyo Electron Limited | Method for curing a porous low dielectric constant dielectric film |
US7977256B2 (en) | 2008-03-06 | 2011-07-12 | Tokyo Electron Limited | Method for removing a pore-generating material from an uncured low-k dielectric film |
US7858533B2 (en) | 2008-03-06 | 2010-12-28 | Tokyo Electron Limited | Method for curing a porous low dielectric constant dielectric film |
US20090236214A1 (en) | 2008-03-20 | 2009-09-24 | Karthik Janakiraman | Tunable ground planes in plasma chambers |
US7791912B2 (en) | 2008-05-02 | 2010-09-07 | Advanced Energy Industries, Inc. | Protection method, system and apparatus for a power converter |
US8391025B2 (en) | 2008-05-02 | 2013-03-05 | Advanced Energy Industries, Inc. | Preemptive protection for a power convertor |
US8018164B2 (en) | 2008-05-29 | 2011-09-13 | Applied Materials, Inc. | Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources |
JP5429772B2 (ja) | 2008-06-30 | 2014-02-26 | 株式会社アルバック | 電源装置 |
US8460567B2 (en) | 2008-07-01 | 2013-06-11 | Tokyo Electron Limited | Method and system for etching a MEM device |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US20100018648A1 (en) | 2008-07-23 | 2010-01-28 | Applied Marterials, Inc. | Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring |
US8895942B2 (en) | 2008-09-16 | 2014-11-25 | Tokyo Electron Limited | Dielectric treatment module using scanning IR radiation source |
JP5295833B2 (ja) | 2008-09-24 | 2013-09-18 | 株式会社東芝 | 基板処理装置および基板処理方法 |
JP5270310B2 (ja) | 2008-11-13 | 2013-08-21 | 東京エレクトロン株式会社 | 静電チャック及び基板処理装置 |
US8313664B2 (en) | 2008-11-21 | 2012-11-20 | Applied Materials, Inc. | Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber |
JP5295748B2 (ja) | 2008-12-18 | 2013-09-18 | 東京エレクトロン株式会社 | 構成部品の洗浄方法及び記憶媒体 |
US9887069B2 (en) | 2008-12-19 | 2018-02-06 | Lam Research Corporation | Controlling ion energy distribution in plasma processing systems |
US7825719B2 (en) | 2008-12-29 | 2010-11-02 | Advanced Energy Industries, Inc. | System and method for wideband phase-adjustable common excitation |
US8137345B2 (en) | 2009-01-05 | 2012-03-20 | Peak Surgical, Inc. | Electrosurgical devices for tonsillectomy and adenoidectomy |
CN102282916A (zh) | 2009-01-13 | 2011-12-14 | 里巴贝鲁株式会社 | 等离子体生成装置及方法 |
JP5221403B2 (ja) * | 2009-01-26 | 2013-06-26 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置および記憶媒体 |
US9254168B2 (en) | 2009-02-02 | 2016-02-09 | Medtronic Advanced Energy Llc | Electro-thermotherapy of tissue using penetrating microelectrode array |
US8383001B2 (en) | 2009-02-20 | 2013-02-26 | Tokyo Electron Limited | Plasma etching method, plasma etching apparatus and storage medium |
DE102009001355B4 (de) | 2009-03-05 | 2015-01-22 | TRUMPF Hüttinger GmbH + Co. KG | Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung |
US8313612B2 (en) | 2009-03-24 | 2012-11-20 | Lam Research Corporation | Method and apparatus for reduction of voltage potential spike during dechucking |
US8382999B2 (en) | 2009-03-26 | 2013-02-26 | Applied Materials, Inc. | Pulsed plasma high aspect ratio dielectric process |
JP5395491B2 (ja) | 2009-03-31 | 2014-01-22 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
CN101872733B (zh) | 2009-04-24 | 2012-06-27 | 中微半导体设备(上海)有限公司 | 感测和移除被加工半导体工艺件的残余电荷的系统和方法 |
JP5227245B2 (ja) | 2009-04-28 | 2013-07-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US11615941B2 (en) | 2009-05-01 | 2023-03-28 | Advanced Energy Industries, Inc. | System, method, and apparatus for controlling ion energy distribution in plasma processing systems |
US9767988B2 (en) | 2010-08-29 | 2017-09-19 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
US9287086B2 (en) | 2010-04-26 | 2016-03-15 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution |
US9435029B2 (en) | 2010-08-29 | 2016-09-06 | Advanced Energy Industries, Inc. | Wafer chucking system for advanced plasma ion energy processing systems |
US9287092B2 (en) | 2009-05-01 | 2016-03-15 | Advanced Energy Industries, Inc. | Method and apparatus for controlling ion energy distribution |
JP5357639B2 (ja) | 2009-06-24 | 2013-12-04 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8716984B2 (en) | 2009-06-29 | 2014-05-06 | Advanced Energy Industries, Inc. | Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load |
JP5496568B2 (ja) | 2009-08-04 | 2014-05-21 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US8404598B2 (en) | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
CN102474971B (zh) | 2009-08-07 | 2015-03-04 | 株式会社京三制作所 | 脉冲调制高频功率控制方法以及脉冲调制高频电源装置 |
TWM412457U (en) | 2009-09-18 | 2011-09-21 | Lam Res Corp | Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly |
JP5960384B2 (ja) | 2009-10-26 | 2016-08-02 | 新光電気工業株式会社 | 静電チャック用基板及び静電チャック |
KR101757922B1 (ko) | 2009-10-27 | 2017-07-14 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
KR101757920B1 (ko) | 2009-10-27 | 2017-07-14 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 플라즈마 처리 방법 |
US8501631B2 (en) | 2009-11-19 | 2013-08-06 | Lam Research Corporation | Plasma processing system control based on RF voltage |
US8270141B2 (en) | 2009-11-20 | 2012-09-18 | Applied Materials, Inc. | Electrostatic chuck with reduced arcing |
US8284580B2 (en) | 2009-12-10 | 2012-10-09 | Emerson Electric Co. | Power supply discontinuous input voltage extender |
KR101286242B1 (ko) | 2009-12-14 | 2013-07-15 | 삼성전자주식회사 | 반도체 소자 제조 방법 |
DE102009054987A1 (de) | 2009-12-18 | 2011-06-22 | HÜTTINGER Elektronik GmbH + Co. KG, 79111 | Verfahren zur Erzeugung von Wechselstromleistung |
US8658541B2 (en) | 2010-01-15 | 2014-02-25 | Applied Materials, Inc. | Method of controlling trench microloading using plasma pulsing |
US20110177694A1 (en) | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
US9373521B2 (en) | 2010-02-24 | 2016-06-21 | Tokyo Electron Limited | Etching processing method |
JP5632626B2 (ja) | 2010-03-04 | 2014-11-26 | 東京エレクトロン株式会社 | 自動整合装置及びプラズマ処理装置 |
WO2011112991A1 (en) | 2010-03-11 | 2011-09-15 | Salient Surgical Technologies, Inc. | Bipolar electrosurgical cutter with position insensitive return electrode contact |
US9309594B2 (en) | 2010-04-26 | 2016-04-12 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution of a projected plasma |
JP5660804B2 (ja) | 2010-04-30 | 2015-01-28 | 東京エレクトロン株式会社 | カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置 |
US8361906B2 (en) | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
JP2013534970A (ja) | 2010-06-11 | 2013-09-09 | 東京エレクトロン株式会社 | 化学気相成長を制御するための装置及び方法 |
US8852347B2 (en) | 2010-06-11 | 2014-10-07 | Tokyo Electron Limited | Apparatus for chemical vapor deposition control |
US9139910B2 (en) | 2010-06-11 | 2015-09-22 | Tokyo Electron Limited | Method for chemical vapor deposition control |
JP5558224B2 (ja) | 2010-06-23 | 2014-07-23 | 東京エレクトロン株式会社 | 基板処理方法 |
US20120000421A1 (en) | 2010-07-02 | 2012-01-05 | Varian Semicondutor Equipment Associates, Inc. | Control apparatus for plasma immersion ion implantation of a dielectric substrate |
DE102010031568B4 (de) | 2010-07-20 | 2014-12-11 | TRUMPF Hüttinger GmbH + Co. KG | Arclöschanordnung und Verfahren zum Löschen von Arcs |
US9728429B2 (en) | 2010-07-27 | 2017-08-08 | Lam Research Corporation | Parasitic plasma prevention in plasma processing chambers |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8828883B2 (en) | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
US9362089B2 (en) | 2010-08-29 | 2016-06-07 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
CA3109036C (en) | 2010-08-31 | 2023-08-01 | Theraclone Sciences, Inc. | Human immunodeficiency virus (hiv)-neutralizing antibodies |
JP5820661B2 (ja) | 2010-09-14 | 2015-11-24 | 東京エレクトロン株式会社 | マイクロ波照射装置 |
US20120088371A1 (en) | 2010-10-07 | 2012-04-12 | Applied Materials, Inc. | Methods for etching substrates using pulsed dc voltage |
DE102010048810A1 (de) | 2010-10-20 | 2012-04-26 | Hüttinger Elektronik Gmbh + Co. Kg | System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse |
DE102010048809A1 (de) | 2010-10-20 | 2012-04-26 | Hüttinger Elektronik Gmbh + Co. Kg | Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung |
US9123762B2 (en) | 2010-10-22 | 2015-09-01 | Applied Materials, Inc. | Substrate support with symmetrical feed structure |
US8757603B2 (en) | 2010-10-22 | 2014-06-24 | Applied Materials, Inc. | Low force substrate lift |
EP2463890A1 (en) | 2010-12-08 | 2012-06-13 | Applied Materials, Inc. | Generating plasmas in pulsed power systems |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8809199B2 (en) | 2011-02-12 | 2014-08-19 | Tokyo Electron Limited | Method of etching features in silicon nitride films |
WO2012122064A1 (en) | 2011-03-04 | 2012-09-13 | Tokyo Electron Limited | Method of etching silicon nitride films |
US8884525B2 (en) | 2011-03-22 | 2014-11-11 | Advanced Energy Industries, Inc. | Remote plasma source generating a disc-shaped plasma |
US9263241B2 (en) | 2011-05-10 | 2016-02-16 | Advanced Energy Industries, Inc. | Current threshold response mode for arc management |
US8979842B2 (en) | 2011-06-10 | 2015-03-17 | Medtronic Advanced Energy Llc | Wire electrode devices for tonsillectomy and adenoidectomy |
EP2541584B1 (en) | 2011-06-27 | 2018-08-08 | TRUMPF Huettinger Sp. Z o. o. | Generating a highly ionized plasma in a plasma chamber |
US8399366B1 (en) | 2011-08-25 | 2013-03-19 | Tokyo Electron Limited | Method of depositing highly conformal amorphous carbon films over raised features |
US8735291B2 (en) | 2011-08-25 | 2014-05-27 | Tokyo Electron Limited | Method for etching high-k dielectric using pulsed bias power |
TWI638587B (zh) | 2011-10-05 | 2018-10-11 | 美商應用材料股份有限公司 | 對稱電漿處理腔室 |
US9399812B2 (en) | 2011-10-11 | 2016-07-26 | Applied Materials, Inc. | Methods of preventing plasma induced damage during substrate processing |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
JP5977509B2 (ja) | 2011-12-09 | 2016-08-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP5867701B2 (ja) | 2011-12-15 | 2016-02-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5808012B2 (ja) | 2011-12-27 | 2015-11-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8963377B2 (en) | 2012-01-09 | 2015-02-24 | Eagle Harbor Technologies Inc. | Efficient IGBT switching |
TWI556306B (zh) | 2012-02-01 | 2016-11-01 | Tokyo Electron Ltd | Plasma etching method and plasma etching device |
JPWO2013118660A1 (ja) | 2012-02-09 | 2015-05-11 | 東京エレクトロン株式会社 | 半導体製造装置の製造方法及び半導体製造装置 |
US9922802B2 (en) | 2012-02-20 | 2018-03-20 | Tokyo Electron Limited | Power supply system, plasma etching apparatus, and plasma etching method |
US9368329B2 (en) | 2012-02-22 | 2016-06-14 | Lam Research Corporation | Methods and apparatus for synchronizing RF pulses in a plasma processing system |
US9228878B2 (en) | 2012-03-19 | 2016-01-05 | Advanced Energy Industries, Inc. | Dual beam non-contact displacement sensor |
US9644221B2 (en) | 2012-03-30 | 2017-05-09 | Toray Industries, Inc. | Method of producing chemical by continuous fermentation and continuous fermentation apparatus |
US9293928B2 (en) | 2013-04-23 | 2016-03-22 | Kevin Alexander | System and method for a dynamically configurable power distribution control and management system |
JP6359236B2 (ja) | 2012-05-07 | 2018-07-18 | トーカロ株式会社 | 静電チャック |
US9404176B2 (en) | 2012-06-05 | 2016-08-02 | Applied Materials, Inc. | Substrate support with radio frequency (RF) return path |
JP5921964B2 (ja) | 2012-06-11 | 2016-05-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプローブ装置 |
JP5534365B2 (ja) | 2012-06-18 | 2014-06-25 | 株式会社京三製作所 | 高周波電力供給装置、及び反射波電力制御方法 |
US9530618B2 (en) | 2012-07-06 | 2016-12-27 | Infineon Technologies Ag | Plasma system, chuck and method of making a semiconductor device |
US9865893B2 (en) | 2012-07-27 | 2018-01-09 | Lockheed Martin Advanced Energy Storage, Llc | Electrochemical energy storage systems and methods featuring optimal membrane systems |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
EP3403995B1 (en) | 2012-08-15 | 2021-01-27 | Lockheed Martin Energy, LLC | High solubility iron hexacyanides |
US9210790B2 (en) | 2012-08-28 | 2015-12-08 | Advanced Energy Industries, Inc. | Systems and methods for calibrating a switched mode ion energy distribution system |
US9685297B2 (en) | 2012-08-28 | 2017-06-20 | Advanced Energy Industries, Inc. | Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system |
KR101860182B1 (ko) | 2012-08-28 | 2018-05-21 | 어드밴스드 에너지 인더스트리즈 인코포레이티드 | 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법 |
KR102085496B1 (ko) | 2012-08-28 | 2020-03-05 | 에이이에스 글로벌 홀딩스 피티이 리미티드 | 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널 |
JP6027374B2 (ja) | 2012-09-12 | 2016-11-16 | 東京エレクトロン株式会社 | プラズマ処理装置及びフィルタユニット |
US20140077611A1 (en) | 2012-09-14 | 2014-03-20 | Henry Todd Young | Capacitor bank, laminated bus, and power supply apparatus |
JP6207880B2 (ja) | 2012-09-26 | 2017-10-04 | 東芝メモリ株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US8916056B2 (en) | 2012-10-11 | 2014-12-23 | Varian Semiconductor Equipment Associates, Inc. | Biasing system for a plasma processing apparatus |
US20140109886A1 (en) | 2012-10-22 | 2014-04-24 | Transient Plasma Systems, Inc. | Pulsed power systems and methods |
US9287098B2 (en) | 2012-11-01 | 2016-03-15 | Advanced Energy Industries, Inc. | Charge removal from electrodes in unipolar sputtering system |
US9226380B2 (en) | 2012-11-01 | 2015-12-29 | Advanced Energy Industries, Inc. | Adjustable non-dissipative voltage boosting snubber network |
US9396960B2 (en) | 2012-11-01 | 2016-07-19 | Tokyo Electron Limited | Plasma processing method and plasma processing apparatus |
US9129776B2 (en) | 2012-11-01 | 2015-09-08 | Advanced Energy Industries, Inc. | Differing boost voltages applied to two or more anodeless electrodes for plasma processing |
JP2014112644A (ja) | 2012-11-06 | 2014-06-19 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
US10049948B2 (en) | 2012-11-30 | 2018-08-14 | Lam Research Corporation | Power switching system for ESC with array of thermal control elements |
US8941969B2 (en) | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
JP6099995B2 (ja) | 2013-01-24 | 2017-03-22 | 東京エレクトロン株式会社 | 試験装置 |
DE102013202428A1 (de) | 2013-02-14 | 2014-08-14 | Trumpf Huettinger Sp. Z O. O. | Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung |
EP2770083B1 (en) | 2013-02-20 | 2015-11-18 | University of West Bohemia in Pilsen | High-rate reactive sputtering of dielectric stoichiometric films |
US9536713B2 (en) | 2013-02-27 | 2017-01-03 | Advanced Energy Industries, Inc. | Reliable plasma ignition and reignition |
WO2014132099A1 (en) | 2013-02-28 | 2014-09-04 | Hitachi, Ltd | Management system and method of dynamic storage service level monitoring |
KR102064914B1 (ko) | 2013-03-06 | 2020-01-10 | 삼성전자주식회사 | 식각 공정 장치 및 식각 공정 방법 |
WO2014164910A1 (en) | 2013-03-12 | 2014-10-09 | Applied Materials, Inc. | Multi zone heating and cooling esc for plasma process chamber |
US20140273487A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Pulsed dc plasma etching process and apparatus |
JP2016511551A (ja) | 2013-03-13 | 2016-04-14 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 銅のuv支援反応性イオンエッチング |
US20140263181A1 (en) | 2013-03-15 | 2014-09-18 | Jaeyoung Park | Method and apparatus for generating highly repetitive pulsed plasmas |
US20140263182A1 (en) | 2013-03-15 | 2014-09-18 | Tokyo Electron Limited | Dc pulse etcher |
US9209032B2 (en) | 2013-03-15 | 2015-12-08 | Tokyo Electron Limited | Electric pressure systems for control of plasma properties and uniformity |
US8889534B1 (en) | 2013-05-29 | 2014-11-18 | Tokyo Electron Limited | Solid state source introduction of dopants and additives for a plasma doping process |
WO2014197611A1 (en) | 2013-06-04 | 2014-12-11 | Eagle Harbor Technologies, Inc. | Analog integrator system and method |
US9460894B2 (en) | 2013-06-28 | 2016-10-04 | Lam Research Corporation | Controlling ion energy within a plasma chamber |
EP4177370A1 (en) | 2013-07-17 | 2023-05-10 | AES Global Holdings, Pte. Ltd. | System for balancing consumption of targets in pulsed dual magnetron sputtering (dms) |
WO2015020813A1 (en) | 2013-08-06 | 2015-02-12 | Applied Materials, Inc. | Locally heated multi-zone substrate support |
JP2015037091A (ja) | 2013-08-12 | 2015-02-23 | 東京エレクトロン株式会社 | エッチング方法 |
US9655221B2 (en) | 2013-08-19 | 2017-05-16 | Eagle Harbor Technologies, Inc. | High frequency, repetitive, compact toroid-generation for radiation production |
US9053908B2 (en) | 2013-09-19 | 2015-06-09 | Lam Research Corporation | Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching |
DE102013110883B3 (de) | 2013-10-01 | 2015-01-15 | TRUMPF Hüttinger GmbH + Co. KG | Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess |
US9721802B2 (en) | 2013-10-03 | 2017-08-01 | Applied Materials, Inc. | LED based optical source coupled with plasma source |
JP6162016B2 (ja) | 2013-10-09 | 2017-07-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20150111394A1 (en) | 2013-10-23 | 2015-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming uniform film on semiconductor substrate |
JP6100672B2 (ja) | 2013-10-25 | 2017-03-22 | 東京エレクトロン株式会社 | 温度制御機構、温度制御方法及び基板処理装置 |
JP6374647B2 (ja) | 2013-11-05 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6312405B2 (ja) | 2013-11-05 | 2018-04-18 | 東京エレクトロン株式会社 | プラズマ処理装置 |
CN109166782B (zh) | 2013-11-06 | 2020-08-07 | 应用材料公司 | 通过dc偏压调制的颗粒产生抑制器 |
US9318304B2 (en) | 2013-11-11 | 2016-04-19 | Applied Materials, Inc. | Frequency tuning for dual level radio frequency (RF) pulsing |
US10020800B2 (en) | 2013-11-14 | 2018-07-10 | Eagle Harbor Technologies, Inc. | High voltage nanosecond pulser with variable pulse width and pulse repetition frequency |
WO2015073921A1 (en) | 2013-11-14 | 2015-05-21 | Eagle Harbor Technologies, Inc. | This disclosure relates generally to a high voltage nanosecond pulser. |
US11539352B2 (en) | 2013-11-14 | 2022-12-27 | Eagle Harbor Technologies, Inc. | Transformer resonant converter |
US10978955B2 (en) | 2014-02-28 | 2021-04-13 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US10892140B2 (en) | 2018-07-27 | 2021-01-12 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US9706630B2 (en) | 2014-02-28 | 2017-07-11 | Eagle Harbor Technologies, Inc. | Galvanically isolated output variable pulse generator disclosure |
DE102013226511B4 (de) | 2013-12-18 | 2016-12-15 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung |
DE102013226537B4 (de) | 2013-12-18 | 2022-12-29 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas |
US9853579B2 (en) | 2013-12-18 | 2017-12-26 | Applied Materials, Inc. | Rotatable heated electrostatic chuck |
US9101038B2 (en) | 2013-12-20 | 2015-08-04 | Lam Research Corporation | Electrostatic chuck including declamping electrode and method of declamping |
CN104752134B (zh) | 2013-12-29 | 2017-02-15 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种反应腔室及等离子体加工设备 |
WO2015105651A1 (en) | 2014-01-08 | 2015-07-16 | Applied Materials, Inc. | Development of high etch selective hardmask material by ion implantation into amorphous carbon films |
US10790816B2 (en) | 2014-01-27 | 2020-09-29 | Eagle Harbor Technologies, Inc. | Solid-state replacement for tube-based modulators |
US10483089B2 (en) | 2014-02-28 | 2019-11-19 | Eagle Harbor Technologies, Inc. | High voltage resistive output stage circuit |
TWI590329B (zh) | 2014-03-02 | 2017-07-01 | 東京威力科創股份有限公司 | 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法 |
US9472410B2 (en) | 2014-03-05 | 2016-10-18 | Applied Materials, Inc. | Pixelated capacitance controlled ESC |
WO2015148490A1 (en) | 2014-03-24 | 2015-10-01 | Advanced Energy Industries, Inc. | System and method for control of high efficiency generator source impedance |
KR102222902B1 (ko) | 2014-05-12 | 2021-03-05 | 삼성전자주식회사 | 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법 |
JP2017143085A (ja) | 2014-06-23 | 2017-08-17 | 東京エレクトロン株式会社 | グラフェン膜を有する被処理体を処理する方法 |
US9544987B2 (en) | 2014-06-30 | 2017-01-10 | Advanced Energy Industries, Inc. | Frequency tuning for pulsed radio frequency plasma processing |
WO2016002547A1 (ja) | 2014-07-02 | 2016-01-07 | 東京エレクトロン株式会社 | 基板処理装置 |
US10121641B2 (en) | 2014-07-21 | 2018-11-06 | Lam Research Corporation | Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems |
KR102302723B1 (ko) | 2014-07-23 | 2021-09-14 | 어플라이드 머티어리얼스, 인코포레이티드 | 튜닝가능한 온도 제어되는 기판 지지 어셈블리 |
KR20160022458A (ko) | 2014-08-19 | 2016-03-02 | 삼성전자주식회사 | 플라즈마 장비 및 이의 동작 방법 |
JP6435135B2 (ja) | 2014-08-26 | 2018-12-05 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
JP6315809B2 (ja) | 2014-08-28 | 2018-04-25 | 東京エレクトロン株式会社 | エッチング方法 |
US10115567B2 (en) | 2014-09-17 | 2018-10-30 | Tokyo Electron Limited | Plasma processing apparatus |
JP6373160B2 (ja) | 2014-10-15 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6400425B2 (ja) | 2014-10-15 | 2018-10-03 | 東京エレクトロン株式会社 | 多層膜をエッチングする方法 |
DE102014115139A1 (de) | 2014-10-17 | 2016-04-21 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung |
US10102321B2 (en) | 2014-10-24 | 2018-10-16 | Lam Research Corporation | System, method and apparatus for refining radio frequency transmission system models |
US9666447B2 (en) | 2014-10-28 | 2017-05-30 | Tokyo Electron Limited | Method for selectivity enhancement during dry plasma etching |
JP6320282B2 (ja) | 2014-12-05 | 2018-05-09 | 東京エレクトロン株式会社 | エッチング方法 |
EP3035365A1 (en) | 2014-12-19 | 2016-06-22 | TRUMPF Huettinger Sp. Z o. o. | Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply |
KR102346036B1 (ko) | 2014-12-25 | 2021-12-30 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 플라즈마 처리 방법 |
US20170263478A1 (en) | 2015-01-16 | 2017-09-14 | Lam Research Corporation | Detection System for Tunable/Replaceable Edge Coupling Ring |
US9673059B2 (en) | 2015-02-02 | 2017-06-06 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning integration schemes |
EP3054472A1 (en) | 2015-02-03 | 2016-08-10 | TRUMPF Huettinger Sp. Z o. o. | Arc treatment device and method therefor |
DE102015202317A1 (de) | 2015-02-10 | 2016-08-11 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung |
US9530667B2 (en) | 2015-02-13 | 2016-12-27 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using carbon |
WO2016131061A1 (en) | 2015-02-13 | 2016-08-18 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch |
US9607843B2 (en) | 2015-02-13 | 2017-03-28 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content |
US9576816B2 (en) | 2015-02-13 | 2017-02-21 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen |
JP6396822B2 (ja) | 2015-02-16 | 2018-09-26 | 東京エレクトロン株式会社 | プラズマ処理装置のサセプタの電位を制御する方法 |
US9306533B1 (en) | 2015-02-20 | 2016-04-05 | Reno Technologies, Inc. | RF impedance matching network |
US9525412B2 (en) | 2015-02-18 | 2016-12-20 | Reno Technologies, Inc. | Switching circuit |
JP6449674B2 (ja) | 2015-02-23 | 2019-01-09 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6424120B2 (ja) | 2015-03-23 | 2018-11-14 | 東京エレクトロン株式会社 | 電源システム、プラズマ処理装置及び電源制御方法 |
US9799494B2 (en) | 2015-04-03 | 2017-10-24 | Tokyo Electron Limited | Energetic negative ion impact ionization plasma |
US9786503B2 (en) | 2015-04-08 | 2017-10-10 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning schemes without using hard masks |
JP6449091B2 (ja) | 2015-04-20 | 2019-01-09 | 東京エレクトロン株式会社 | スリップリング、支持機構及びプラズマ処理装置 |
JP6498022B2 (ja) | 2015-04-22 | 2019-04-10 | 東京エレクトロン株式会社 | エッチング処理方法 |
US9812305B2 (en) | 2015-04-27 | 2017-11-07 | Advanced Energy Industries, Inc. | Rate enhanced pulsed DC sputtering system |
US9865471B2 (en) | 2015-04-30 | 2018-01-09 | Tokyo Electron Limited | Etching method and etching apparatus |
US10017857B2 (en) | 2015-05-02 | 2018-07-10 | Applied Materials, Inc. | Method and apparatus for controlling plasma near the edge of a substrate |
US11542927B2 (en) | 2015-05-04 | 2023-01-03 | Eagle Harbor Technologies, Inc. | Low pressure dielectric barrier discharge plasma thruster |
JP2016225439A (ja) | 2015-05-29 | 2016-12-28 | 東京エレクトロン株式会社 | プラズマ処理装置及び基板剥離検知方法 |
TW201717247A (zh) | 2015-06-02 | 2017-05-16 | 蘭姆研究公司 | 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法 |
US10063062B2 (en) | 2015-06-18 | 2018-08-28 | Tokyo Electron Limited | Method of detecting plasma discharge in a plasma processing system |
US10249498B2 (en) | 2015-06-19 | 2019-04-02 | Tokyo Electron Limited | Method for using heated substrates for process chemistry control |
US9922806B2 (en) | 2015-06-23 | 2018-03-20 | Tokyo Electron Limited | Etching method and plasma processing apparatus |
US10163610B2 (en) | 2015-07-13 | 2018-12-25 | Lam Research Corporation | Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation |
US10373811B2 (en) | 2015-07-24 | 2019-08-06 | Aes Global Holdings, Pte. Ltd | Systems and methods for single magnetron sputtering |
US9761459B2 (en) | 2015-08-05 | 2017-09-12 | Lam Research Corporation | Systems and methods for reverse pulsing |
US9620376B2 (en) | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
SG10201607880PA (en) | 2015-09-25 | 2017-04-27 | Tokyo Electron Ltd | METHOD FOR FORMING TiON FILM |
US9978606B2 (en) | 2015-10-02 | 2018-05-22 | Applied Materials, Inc. | Methods for atomic level resolution and plasma processing control |
US9741539B2 (en) | 2015-10-05 | 2017-08-22 | Applied Materials, Inc. | RF power delivery regulation for processing substrates |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US9881820B2 (en) | 2015-10-22 | 2018-01-30 | Lam Research Corporation | Front opening ring pod |
US20170115657A1 (en) | 2015-10-22 | 2017-04-27 | Lam Research Corporation | Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ |
US10062599B2 (en) | 2015-10-22 | 2018-08-28 | Lam Research Corporation | Automated replacement of consumable parts using interfacing chambers |
US10124492B2 (en) | 2015-10-22 | 2018-11-13 | Lam Research Corporation | Automated replacement of consumable parts using end effectors interfacing with plasma processing system |
US10373755B2 (en) | 2015-11-30 | 2019-08-06 | Eagle Harbor Technologies, Inc. | High voltage transformer |
JP6604833B2 (ja) | 2015-12-03 | 2019-11-13 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
CN108369921B (zh) | 2015-12-07 | 2023-12-12 | 应用材料公司 | 使用静电夹盘夹持及解夹持基板的方法及装置 |
US9997374B2 (en) | 2015-12-18 | 2018-06-12 | Tokyo Electron Limited | Etching method |
JP6385915B2 (ja) | 2015-12-22 | 2018-09-05 | 東京エレクトロン株式会社 | エッチング方法 |
US9601319B1 (en) | 2016-01-07 | 2017-03-21 | Lam Research Corporation | Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US9577516B1 (en) | 2016-02-18 | 2017-02-21 | Advanced Energy Industries, Inc. | Apparatus for controlled overshoot in a RF generator |
US9966231B2 (en) | 2016-02-29 | 2018-05-08 | Lam Research Corporation | Direct current pulsing plasma systems |
JP6392266B2 (ja) | 2016-03-22 | 2018-09-19 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US10672596B2 (en) | 2016-03-28 | 2020-06-02 | Tokyo Electron Limited | Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source |
JP6974668B2 (ja) | 2016-03-31 | 2021-12-01 | 東京エレクトロン株式会社 | ウェハレスドライクリーニング発光分光法を使用するドライエッチングプロセス特徴の制御 |
JP6741461B2 (ja) | 2016-04-19 | 2020-08-19 | 日本特殊陶業株式会社 | 加熱部材及び複合加熱部材 |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
KR20170127724A (ko) | 2016-05-12 | 2017-11-22 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US10304668B2 (en) | 2016-05-24 | 2019-05-28 | Tokyo Electron Limited | Localized process control using a plasma system |
US10340123B2 (en) | 2016-05-26 | 2019-07-02 | Tokyo Electron Limited | Multi-frequency power modulation for etching high aspect ratio features |
JP6689674B2 (ja) | 2016-05-30 | 2020-04-28 | 東京エレクトロン株式会社 | エッチング方法 |
US20170358431A1 (en) | 2016-06-13 | 2017-12-14 | Applied Materials, Inc. | Systems and methods for controlling a voltage waveform at a substrate during plasma processing |
US10083883B2 (en) * | 2016-06-20 | 2018-09-25 | Applied Materials, Inc. | Wafer processing equipment having capacitive micro sensors |
US10804886B2 (en) | 2016-06-21 | 2020-10-13 | Eagle Harbor Technologies, Inc. | High voltage pre-pulsing |
US10903047B2 (en) * | 2018-07-27 | 2021-01-26 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US11004660B2 (en) | 2018-11-30 | 2021-05-11 | Eagle Harbor Technologies, Inc. | Variable output impedance RF generator |
US11430635B2 (en) | 2018-07-27 | 2022-08-30 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US9852889B1 (en) | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
WO2018048925A1 (en) | 2016-09-06 | 2018-03-15 | Tokyo Electron Limited | Method of quasi atomic layer etching |
TWI680496B (zh) | 2016-09-13 | 2019-12-21 | 美商應用材料股份有限公司 | 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積 |
JP2018046179A (ja) | 2016-09-15 | 2018-03-22 | 株式会社東芝 | 静電チャック及び半導体製造装置 |
US10320373B2 (en) | 2016-10-11 | 2019-06-11 | Eagle Harbor Technologies, Inc. | RF production using nonlinear semiconductor junction capacitance |
US9872373B1 (en) | 2016-10-25 | 2018-01-16 | Applied Materials, Inc. | Smart multi-level RF pulsing methods |
JP2018078515A (ja) | 2016-11-11 | 2018-05-17 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
US10312048B2 (en) | 2016-12-12 | 2019-06-04 | Applied Materials, Inc. | Creating ion energy distribution functions (IEDF) |
WO2018126223A1 (en) | 2016-12-30 | 2018-07-05 | Eagle Harbor Technologies, Inc. | High voltage inductive adder |
US20180190501A1 (en) | 2017-01-05 | 2018-07-05 | Tokyo Electron Limited | Plasma processing apparatus |
US10242845B2 (en) | 2017-01-17 | 2019-03-26 | Lam Research Corporation | Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber |
US20180218905A1 (en) | 2017-02-02 | 2018-08-02 | Applied Materials, Inc. | Applying equalized plasma coupling design for mura free susceptor |
US10373804B2 (en) | 2017-02-03 | 2019-08-06 | Applied Materials, Inc. | System for tunable workpiece biasing in a plasma reactor |
CN110692188B (zh) | 2017-02-07 | 2022-09-09 | 鹰港科技有限公司 | 变压器谐振转换器 |
US10923379B2 (en) | 2017-02-15 | 2021-02-16 | Lam Research Corporation | Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure |
CN110431655A (zh) | 2017-03-17 | 2019-11-08 | 东京毅力科创株式会社 | 用于蚀刻度量改进的表面改性控制 |
WO2018183874A1 (en) | 2017-03-31 | 2018-10-04 | Eagle Harbor Technologies, Inc. | High voltage resistive output stage circuit |
US10879044B2 (en) | 2017-04-07 | 2020-12-29 | Lam Research Corporation | Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing |
JP7029340B2 (ja) | 2017-04-25 | 2022-03-03 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
EP3396698A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
EP3396700A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
EP3396699A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
US10666198B2 (en) | 2017-05-09 | 2020-05-26 | Eagle Harbor Technologies, Inc | Efficient high power microwave generation using recirculating pulses |
US10460916B2 (en) | 2017-05-15 | 2019-10-29 | Applied Materials, Inc. | Real time monitoring with closed loop chucking force control |
JP7261179B2 (ja) | 2017-05-30 | 2023-04-19 | タイタン・アドバンスト・エナジー・ソリューションズ・インコーポレイテッド | バッテリの寿命評価および容量の回復 |
US11289355B2 (en) | 2017-06-02 | 2022-03-29 | Lam Research Corporation | Electrostatic chuck for use in semiconductor processing |
JP6826955B2 (ja) | 2017-06-14 | 2021-02-10 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP6832800B2 (ja) | 2017-06-21 | 2021-02-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6865128B2 (ja) | 2017-07-19 | 2021-04-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
TWI788390B (zh) | 2017-08-10 | 2023-01-01 | 美商應用材料股份有限公司 | 用於電漿處理的分佈式電極陣列 |
TWI806772B (zh) | 2017-08-17 | 2023-06-21 | 日商東京威力科創股份有限公司 | 工業製造設備中特性的即時感測裝置和方法 |
JP7045152B2 (ja) | 2017-08-18 | 2022-03-31 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
KR102601455B1 (ko) | 2017-08-25 | 2023-11-13 | 이글 하버 테크놀로지스, 인코포레이티드 | 나노초 펄스를 이용한 임의의 파형 발생 |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10763150B2 (en) | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
CN111263858B (zh) | 2017-09-26 | 2022-03-01 | 先进能源工业公司 | 用于等离子体激发的系统和方法 |
KR102514231B1 (ko) | 2017-10-30 | 2023-03-24 | 엔지케이 인슐레이터 엘티디 | 정전 척 및 그 제조법 |
JP6894000B2 (ja) | 2017-11-06 | 2021-06-23 | 日本碍子株式会社 | 静電チャックアセンブリ及び静電チャック |
WO2019099102A1 (en) | 2017-11-16 | 2019-05-23 | Tokyo Electron Limited | Plasma processing system with synchronized signal modulation |
CN111868873B (zh) | 2017-11-17 | 2023-06-16 | 先进工程解决方案全球控股私人有限公司 | 等离子体处理源和衬底偏置的同步的脉冲化 |
CN111788655B (zh) * | 2017-11-17 | 2024-04-05 | 先进工程解决方案全球控股私人有限公司 | 对等离子体处理的离子偏置电压的空间和时间控制 |
TWI767088B (zh) | 2017-11-17 | 2022-06-11 | 新加坡商Aes全球公司 | 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統 |
JP7033441B2 (ja) | 2017-12-01 | 2022-03-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10811267B2 (en) | 2017-12-21 | 2020-10-20 | Micron Technology, Inc. | Methods of processing semiconductor device structures and related systems |
WO2019143474A1 (en) | 2018-01-18 | 2019-07-25 | Applied Materials, Inc. | Etching apparatus and methods |
US10269540B1 (en) | 2018-01-25 | 2019-04-23 | Advanced Energy Industries, Inc. | Impedance matching system and method of operating the same |
US11848177B2 (en) | 2018-02-23 | 2023-12-19 | Lam Research Corporation | Multi-plate electrostatic chucks with ceramic baseplates |
CN112313509A (zh) | 2018-03-08 | 2021-02-02 | 鹰港科技有限公司 | 用于结构的无损评价的精密涡流传感器 |
US11456160B2 (en) | 2018-03-26 | 2022-09-27 | Tokyo Electron Limited | Plasma processing apparatus |
DE102018204587B4 (de) | 2018-03-26 | 2019-10-24 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung |
JP7055054B2 (ja) | 2018-04-11 | 2022-04-15 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム |
JP7061922B2 (ja) | 2018-04-27 | 2022-05-02 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6910320B2 (ja) | 2018-05-01 | 2021-07-28 | 東京エレクトロン株式会社 | マイクロ波出力装置及びプラズマ処理装置 |
CN112106169A (zh) | 2018-05-03 | 2020-12-18 | 应用材料公司 | 用于基座的rf接地配置 |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
JP7061511B2 (ja) | 2018-05-10 | 2022-04-28 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
JP7126381B2 (ja) | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
JP2019216140A (ja) | 2018-06-11 | 2019-12-19 | 東京エレクトロン株式会社 | 成膜装置及び成膜装置におけるクリーニング方法 |
JP6846384B2 (ja) | 2018-06-12 | 2021-03-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法 |
US10916409B2 (en) | 2018-06-18 | 2021-02-09 | Lam Research Corporation | Active control of radial etch uniformity |
CN112088303A (zh) | 2018-06-18 | 2020-12-15 | 东京毅力科创株式会社 | 对制造设备中的特性的降低干扰的实时感测 |
JP6842443B2 (ja) | 2018-06-22 | 2021-03-17 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマを生成する方法 |
JP6846387B2 (ja) | 2018-06-22 | 2021-03-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP7175239B2 (ja) | 2018-06-22 | 2022-11-18 | 東京エレクトロン株式会社 | 制御方法、プラズマ処理装置、プログラム及び記憶媒体 |
WO2019244734A1 (ja) | 2018-06-22 | 2019-12-26 | 東京エレクトロン株式会社 | 制御方法及びプラズマ処理装置 |
JP7038614B2 (ja) | 2018-06-27 | 2022-03-18 | 東京エレクトロン株式会社 | 基板処理方法 |
US11011351B2 (en) | 2018-07-13 | 2021-05-18 | Lam Research Corporation | Monoenergetic ion generation for controlled etch |
WO2020017328A1 (ja) | 2018-07-17 | 2020-01-23 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
JP7175114B2 (ja) | 2018-07-19 | 2022-11-18 | 東京エレクトロン株式会社 | 載置台及び電極部材 |
JP7186032B2 (ja) | 2018-07-27 | 2022-12-08 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US10607814B2 (en) | 2018-08-10 | 2020-03-31 | Eagle Harbor Technologies, Inc. | High voltage switch with isolated power |
US11302518B2 (en) | 2018-07-27 | 2022-04-12 | Eagle Harbor Technologies, Inc. | Efficient energy recovery in a nanosecond pulser circuit |
US11532457B2 (en) | 2018-07-27 | 2022-12-20 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US11222767B2 (en) | 2018-07-27 | 2022-01-11 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
JP7079686B2 (ja) | 2018-07-27 | 2022-06-02 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
WO2020026802A1 (ja) | 2018-07-30 | 2020-02-06 | 東京エレクトロン株式会社 | 制御方法及びプラズマ処理装置 |
JP7306886B2 (ja) | 2018-07-30 | 2023-07-11 | 東京エレクトロン株式会社 | 制御方法及びプラズマ処理装置 |
CN112805920A (zh) | 2018-08-10 | 2021-05-14 | 鹰港科技有限公司 | 用于rf等离子体反应器的等离子体鞘控制 |
US20200058469A1 (en) | 2018-08-14 | 2020-02-20 | Tokyo Electron Limited | Systems and methods of control for plasma processing |
US11688586B2 (en) | 2018-08-30 | 2023-06-27 | Tokyo Electron Limited | Method and apparatus for plasma processing |
KR20210042939A (ko) | 2018-09-05 | 2021-04-20 | 도쿄엘렉트론가부시키가이샤 | 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정 |
US10672589B2 (en) | 2018-10-10 | 2020-06-02 | Tokyo Electron Limited | Plasma processing apparatus and control method |
CN113273074A (zh) | 2018-11-14 | 2021-08-17 | 先进工程解决方案全球控股私人有限公司 | 用于设定点跟踪中的最小延迟的交错开关模式功率级的加性合成 |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
JP2020095793A (ja) | 2018-12-10 | 2020-06-18 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
US10720305B2 (en) | 2018-12-21 | 2020-07-21 | Advanced Energy Industries, Inc. | Plasma delivery system for modulated plasma systems |
US10796887B2 (en) | 2019-01-08 | 2020-10-06 | Eagle Harbor Technologies, Inc. | Efficient nanosecond pulser with source and sink capability for plasma control applications |
KR20210111269A (ko) | 2019-01-09 | 2021-09-10 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 플라즈마 처리 방법 |
KR20200086826A (ko) | 2019-01-10 | 2020-07-20 | 삼성전자주식회사 | 플라즈마 처리 방법 및 플라즈마 처리 장치 |
WO2020154310A1 (en) | 2019-01-22 | 2020-07-30 | Applied Materials, Inc. | Feedback loop for controlling a pulsed voltage waveform |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
CN111524782B (zh) | 2019-02-05 | 2023-07-25 | 东京毅力科创株式会社 | 等离子体处理装置 |
US20200373114A1 (en) | 2019-05-24 | 2020-11-26 | Eagle Harbor Technologies, Inc. | Klystron Driver |
EP3994716A4 (en) | 2019-07-02 | 2023-06-28 | Eagle Harbor Technologies, Inc. | Nanosecond pulser rf isolation |
KR20220031713A (ko) | 2019-07-12 | 2022-03-11 | 에이이에스 글로벌 홀딩스 피티이 리미티드 | 단일 제어식 스위치를 갖는 바이어스 공급부 |
KR102399816B1 (ko) * | 2019-08-05 | 2022-05-20 | 주식회사 히타치하이테크 | 플라스마 처리 장치 |
US11108384B2 (en) | 2019-09-25 | 2021-08-31 | Eagle Harbor Technologies, Inc. | Nonlinear transmission line high voltage pulse sharpening with energy recovery |
TWI778449B (zh) | 2019-11-15 | 2022-09-21 | 美商鷹港科技股份有限公司 | 高電壓脈衝電路 |
EP4082036A4 (en) | 2019-12-24 | 2023-06-07 | Eagle Harbor Technologies, Inc. | NANOSECOND PULSE RF ISOLATION FOR PLASMA SYSTEMS |
US11742184B2 (en) | 2020-02-28 | 2023-08-29 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
-
2021
- 2021-05-12 US US17/319,013 patent/US11948780B2/en active Active
-
2022
- 2022-05-05 CN CN202280034204.XA patent/CN117296124A/zh active Pending
Also Published As
Publication number | Publication date |
---|---|
US20220367158A1 (en) | 2022-11-17 |
US11948780B2 (en) | 2024-04-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7382155B2 (ja) | 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法 | |
US10923321B2 (en) | Apparatus and method of generating a pulsed waveform | |
JP6986113B2 (ja) | 修正された周期的電圧関数を電気ノードに提供するための装置およびコンピュータ読み取り可能な記憶媒体 | |
US20220157555A1 (en) | Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system | |
KR101761493B1 (ko) | 스위칭 모드 이온 에너지 분포 시스템을 교정하기 위한 시스템 및 방법 | |
CN117296124A (zh) | 等离子体处理期间的自动静电卡盘偏压补偿 | |
US20150114563A1 (en) | Plasma processing apparatus and probe apparatus | |
JP7461565B2 (ja) | イオンエネルギー分布を制御する装置および方法 | |
US11791138B2 (en) | Automatic electrostatic chuck bias compensation during plasma processing | |
KR20240007234A (ko) | 플라즈마 프로세싱 동안의 자동 정전 척 바이어스 보상 | |
JP2024519760A (ja) | プラズマ処理中の自動静電チャックバイアス補償 | |
US20230132339A1 (en) | Plasma processing chambers configured for tunable substrate and edge sheath control | |
US20240055244A1 (en) | Pulsed voltage compensation for plasma processing applications | |
US20230170192A1 (en) | Method and apparatus for realtime wafer potential measurement in a plasma processing chamber |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |