JP7461565B2 - イオンエネルギー分布を制御する装置および方法 - Google Patents
イオンエネルギー分布を制御する装置および方法 Download PDFInfo
- Publication number
- JP7461565B2 JP7461565B2 JP2023503137A JP2023503137A JP7461565B2 JP 7461565 B2 JP7461565 B2 JP 7461565B2 JP 2023503137 A JP2023503137 A JP 2023503137A JP 2023503137 A JP2023503137 A JP 2023503137A JP 7461565 B2 JP7461565 B2 JP 7461565B2
- Authority
- JP
- Japan
- Prior art keywords
- voltage
- current
- substrate
- source
- pulsed
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title description 41
- 239000000758 substrate Substances 0.000 claims description 315
- 150000002500 ions Chemical class 0.000 claims description 183
- 238000003079 width control Methods 0.000 claims description 132
- 238000012545 processing Methods 0.000 claims description 109
- 239000003990 capacitor Substances 0.000 claims description 77
- 238000005315 distribution function Methods 0.000 claims description 25
- 230000000903 blocking effect Effects 0.000 claims description 18
- 239000004065 semiconductor Substances 0.000 claims description 3
- 238000010586 diagram Methods 0.000 description 35
- 238000005259 measurement Methods 0.000 description 22
- 230000001276 controlling effect Effects 0.000 description 20
- 230000006870 function Effects 0.000 description 10
- 230000008569 process Effects 0.000 description 10
- 230000000875 corresponding effect Effects 0.000 description 9
- 230000035945 sensitivity Effects 0.000 description 9
- 230000001360 synchronised effect Effects 0.000 description 9
- 230000007246 mechanism Effects 0.000 description 8
- 239000006227 byproduct Substances 0.000 description 7
- 230000000694 effects Effects 0.000 description 6
- 239000000523 sample Substances 0.000 description 6
- 230000008859 change Effects 0.000 description 5
- 238000000151 deposition Methods 0.000 description 5
- 239000007789 gas Substances 0.000 description 5
- 238000009616 inductively coupled plasma Methods 0.000 description 4
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 4
- 238000001816 cooling Methods 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 229940029329 intrinsic factor Drugs 0.000 description 3
- 230000001133 acceleration Effects 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 230000003116 impacting effect Effects 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910017107 AlOx Inorganic materials 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 238000000231 atomic layer deposition Methods 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 150000001768 cations Chemical class 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000002847 impedance measurement Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000003472 neutralizing effect Effects 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32018—Glow discharge
- H01J37/32027—DC powered
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/507—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32577—Electrical connecting means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32642—Focus rings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
- H01J37/32706—Polarising the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/3299—Feedback systems
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/503—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- General Chemical & Material Sciences (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma Technology (AREA)
- Recrystallisation Techniques (AREA)
- Drying Of Semiconductors (AREA)
Description
図1は、本開示の少なくとも一実施形態による、処理チャンバ100の概略断面図である。処理チャンバ100は、本明細書に記載するスキームを実践するように構成される。この実施形態では、処理チャンバは、反応性イオンエッチング(RIE)プラズマチャンバなどのプラズマ処理チャンバである。他のいくつかの実施形態では、処理チャンバは、プラズマ強化堆積チャンバ、例えば、プラズマ強化化学気相堆積(PECVD)チャンバ、プラズマ強化物理気相堆積(PEPVD)チャンバ、またはプラズマ強化原子層堆積(PEALD)チャンバである。他のいくつかの実施形態では、処理チャンバは、プラズマ処理チャンバ、またはプラズマベースのイオン埋込みチャンバ、例えばプラズマドーピング(PLAD)チャンバである。
図4Aは、一例の回路465の概要図である。後述するように、いくつかの実施形態では、図4Aに示される回路例は、図5Aおよび図6Aの回路図に相当する。図5Aおよび図6Aは、例えば、第2のパワーモジュールの回路によって異なる。
ΔV=I1×T/C1 (1)
式中、ΔVはIEDF幅、Tはイオン電流段階の持続時間である。標的IEDF幅(ΔV)を得るためには、シース容量C1、およびシース容量を通る所望の電流I1が決定されるべきである。
I0=I1+I2 (2)
I2=I3+I4 (3)
I1/C1=I2/C2+I3/C3 (4)
I3/C3=I4/C4+dV1/dt (5)
および次式のイオン電流である。
I1=C1×ΔV/T (8)
式中、ΔVはIEDF幅、Tはイオン電流段階の持続時間である。標的IEDF幅(ΔV)を得るためには、シース容量C1、およびシース容量を通る所望の電流I1が決定されるべきである。
I0=I1+I2 (12)
I2=I3+I4 (13)
I1/C1=I2/C2+I3/C3 (14)
I3/C3=I4/C4 (15)
I3/C3=I4/C4+I5/C5 (16)
式中、(I4-I5)は、ダイオードD3が不活性のときにDC電圧源V0および抵抗器Rを通る電流である。
I3/C3=I4/C4+R×dI4/dt (18)
および次式のイオン電流I0である。
I0=(C1/C2+C1/C3+1)×I3+(C1/C2+1)×I4 (20)
I4=I5+V0/R (21)
ΔV=I1×T/C1 (25)
式中、ΔVはIEDF幅、Tはイオン電流段階の持続時間である。標的IEDF幅(ΔV)を得るためには、シース容量C1、およびシース容量を通る所望の電流I1が決定されるべきである。
I0=I1+I2 (26)
I2=I3+I4 (27)
I4=I5+Ic (28)
I1/C1=I2/C2+I3/C3 (29)
I3/C3=I4/C4+I5/C5 (30)
および次式のイオン電流である。
I1=C1×ΔV/T (33)
図11は、本開示の少なくとも一実施形態による、エッジリングIEDF幅制御回路155および/または基板IEDF幅制御回路158を使用してIEDF幅を制御する方法1100のフローチャートである。方法1100は、図5~図10に示される回路構成のうち1つまたは複数を使用して実現することができる。方法1100はまた、処理チャンバ100または処理チャンバ200を操作する方法を提供する。
DCパルス波形を主パルサ出力に送るように構成された主パルサと、主パルサの出力に電気的に結合され、形成されたプラズマ内のイオンのイオンエネルギー分布関数を制御するように構成された、パワーモジュールとを備え、パワーモジュールが、電圧源または電流源であって、主パルサ出力と接地との間に電気的に結合され、DC電流源、または抵抗器に直列に電気的に結合されたDC電圧源を含む、電圧源または電流源と、電圧源または電流源と並列に、主パルサ出力と接地との間に電気的に結合された、スイッチとを備える、パルス直流電流(DC)パワー送達システム。
Claims (19)
- パルス直流電流(DC)パワー送達システムであって、
DCパルス波形を主パルサ出力に送るように構成された主パルサと、
前記主パルサの前記主パルサ出力に電気的に結合され、形成されたプラズマ内のイオンのイオンエネルギー分布関数を制御するように構成された、パワーモジュールと、
を備え、前記パワーモジュールが、
電圧源または電流源であって、前記主パルサ出力と接地との間に電気的に結合され、抵抗器に直列に電気的に結合されたDC電圧源、DC電流源、または整形DCパルス電圧源のうち少なくとも1つを含む、電圧源または電流源と、
前記電圧源または前記電流源と並列に、前記主パルサ出力と前記接地との間に電気的に結合された、スイッチとを備える、パルス直流電流(DC)パワー送達システム。 - 前記電圧源または前記電流源が、前記DC電圧源および前記抵抗器を含み、
前記パワーモジュールが容量およびダイオードをさらに備え、前記容量および前記ダイオードが両方とも、前記DC電圧源および前記抵抗器と並列に電気的に結合される、請求項1に記載のパルスDCパワー送達システム。 - 電流リターンパスが、前記パワーモジュールと前記主パルサ出力との間に電気的に結合される、請求項2に記載のパルスDCパワー送達システム。
- 前記電流リターンパスが、抵抗器と直列に電気的に結合されたインダクタを備え、前記抵抗器が前記パワーモジュールまたは前記主パルサ出力と直列に電気的に結合され、前記インダクタがさらに、前記主パルサ出力または前記パワーモジュールに電気的に結合される、請求項3に記載のパルスDCパワー送達システム。
- 前記主パルサ出力が、半導体処理チャンバ内の電極に電気的に結合可能である、請求項1に記載のパルスDCパワー送達システム。
- 処理装置によって実行されると、前記DC電圧源または前記DC電流源または前記整形DCパルス電圧源の出力を調節して、前記主パルサ出力から処理チャンバ内の第1の電極に送られる電圧波形の傾斜、前記主パルサ出力から処理チャンバ内の第2の電極に送られる電圧波形の傾斜、またはそれらの組み合わせを制御する、ソフトウェアをさらに備える、請求項1に記載のパルスDCパワー送達システム。
- 前記主パルサ出力が、プラズマ処理チャンバ内に配設された基板電極またはエッジリング電極に電気的に結合される、請求項6に記載のパルスDCパワー送達システム。
- 前記パワーモジュールと処理チャンバ内の電極との間に電気的に結合された阻止容量と、
前記阻止容量と前記電極との間に電気的に結合されたバイアス補償モジュールと、
をさらに備える、請求項1に記載のパルスDCパワー送達システム。 - DCパルス波形を主パルサ出力に送るように構成された主パルサと、
前記主パルサの前記出力に電気的に結合され、形成されたプラズマ内のイオンのイオンエネルギー分布関数を制御するように構成された、パワーモジュールと、
を備え、前記パワーモジュールが、
電圧源または電流源であって、前記主パルサ出力と処理チャンバ内の電極との間に電気的に結合されるように構成され、DC電流源、または整形DCパルス電圧源、または抵抗器に直列に電気的に結合されたDC電圧源を含む、電圧源または電流源と、
前記電圧源または前記電流源と並列に電気的に結合された、スイッチと、
を備える、パルス直流電流(DC)パワー送達システム。 - 前記パワーモジュールが容量およびダイオードをさらに備え、前記容量および前記ダイオードが両方とも、前記DC電圧源と並列に電気的に結合される、請求項9に記載のパルスDCパワー送達システム。
- 前記パワーモジュールが、半導体処理チャンバ内の電極に電気的に結合可能である、請求項9に記載のパルスDCパワー送達システム。
- 処理装置によって実行されると、前記DC電圧源または前記DC電流源または前記整形DCパルス電圧源の出力を調節して、前記主パルサ出力から処理チャンバ内の第1の電極に送られる電圧波形の傾斜、前記主パルサ出力から処理チャンバ内の第2の電極に送られる電圧波形の傾斜、またはそれらの組み合わせを制御する、ソフトウェアをさらに備える、請求項9に記載のパルスDCパワー送達システム。
- パワーモジュール出力が、プラズマ処理チャンバ内に配設された基板電極またはエッジリング電極に電気的に結合される、請求項9に記載のパルスDCパワー送達システム。
- 前記パワーモジュールと前記処理チャンバ内の電極との間に、または前記パワーモジュールと並列に電気的に結合された、バイアス補償モジュールをさらに備える、請求項9に記載のパルスDCパワー送達システム。
- 前記バイアス補償モジュールと前記主パルサ出力との間に電気的に結合された阻止キャパシタをさらに備える、請求項14に記載のパルスDCパワー送達システム。
- 命令を格納する非一時的コンピュータ可読媒体であって、前記命令がプロセッサで実行されると、イオンエネルギー分布関数(IEDF)の幅を制御する動作を実施し、前記動作が、
第1のパルス電圧波形を、主パルサの主パルサ出力から処理チャンバの電極に送ることであって、前記主パルサがIEDF幅制御モジュールに電気的に結合され、前記IEDF幅制御モジュールが、
電圧源または電流源であって、前記主パルサ出力と接地との間または前記主パルサ出力と処理チャンバ内の電極との間に電気的に結合され、DC電流源、または整形DCパルス電圧源、または抵抗器に直列に電気的に結合されたDC電圧源を含む、電圧源または電流源と、
前記電圧源または前記電流源と並列に、前記主パルサ出力と前記接地との間または前記主パルサ出力と処理チャンバ内の電極との間に電気的に結合された、スイッチと、
を備える、第1のパルス電圧波形を送ることと、
前記IEDF幅制御モジュールから流れる電流、前記IEDF幅制御モジュールによって印加される電圧、および前記IEDF幅制御モジュールと前記処理チャンバ内の前記電極との間のノードにおける電圧微分のうち少なくとも1つを測定することと、
測定された前記電流、測定された前記電圧、および測定された前記電圧微分のうち少なくとも1つに基づいて、前記処理チャンバのイオン電流および前記処理チャンバの容量を計算することと、
前記IEDF幅制御モジュールから提供される電圧もしくは電流に対するセットポイント、または前記IEDF幅制御モジュールから提供される電圧微分に対するセットポイントを決定することと、
前記IEDF幅制御モジュールから提供される前記電圧もしくは前記電流、または前記IEDF幅制御モジュールから提供される電圧微分を調節して、前記IEDFの幅を制御することとを含む、非一時的コンピュータ可読媒体。 - 前記IEDF幅制御モジュールの電流および前記IEDF幅制御モジュールの電圧微分を測定することが、
前記IEDF幅制御モジュールのDC電圧を2つの異なる値に設定することと、
前記IEDF幅制御モジュールの電圧または電圧微分を2つの異なる値に設定することと、
前記IEDF幅制御モジュールのDC電流を2つの異なる値に設定することと、
を含む、請求項16に記載の非一時的コンピュータ可読媒体。 - 前記IEDF幅制御モジュールが容量およびダイオードを備え、前記容量および前記ダイオードが両方とも、前記DC電圧源または前記DC電流源または前記整形DCパルス電圧源と並列に電気的に結合される、請求項16に記載の非一時的コンピュータ可読媒体。
- 前記IEDF幅制御モジュールと前記主パルサ出力との間に結合された電流リターンパスをさらに備え、前記電流リターンパスが抵抗器と直列に結合されたインダクタを備え、前記抵抗器または前記インダクタが前記IEDF幅制御モジュールと直列に結合され、前記インダクタまたは前記抵抗器が前記主パルサ出力にさらに結合される、請求項16に記載の非一時的コンピュータ可読媒体。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/099,342 US11798790B2 (en) | 2020-11-16 | 2020-11-16 | Apparatus and methods for controlling ion energy distribution |
US17/099,342 | 2020-11-16 | ||
US17/159,133 US11901157B2 (en) | 2020-11-16 | 2021-01-26 | Apparatus and methods for controlling ion energy distribution |
US17/159,133 | 2021-01-26 | ||
PCT/US2021/054814 WO2022103544A1 (en) | 2020-11-16 | 2021-10-13 | Apparatus and methods for controlling ion energy distribution |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2023540835A JP2023540835A (ja) | 2023-09-27 |
JP7461565B2 true JP7461565B2 (ja) | 2024-04-03 |
Family
ID=81586829
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2023503137A Active JP7461565B2 (ja) | 2020-11-16 | 2021-10-13 | イオンエネルギー分布を制御する装置および方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US11901157B2 (ja) |
EP (1) | EP4244884A1 (ja) |
JP (1) | JP7461565B2 (ja) |
KR (1) | KR20230024422A (ja) |
CN (1) | CN116250059A (ja) |
TW (1) | TWI847069B (ja) |
WO (1) | WO2022103544A1 (ja) |
Families Citing this family (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP7475193B2 (ja) * | 2020-05-07 | 2024-04-26 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US11901157B2 (en) * | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) * | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11996274B2 (en) * | 2022-04-07 | 2024-05-28 | Mks Instruments, Inc. | Real-time, non-invasive IEDF plasma sensor |
US20240055228A1 (en) * | 2022-08-10 | 2024-02-15 | Mks Instruments, Inc. | Plasma Process Control of Multi-Electrode Systems Equipped with Ion Energy Sensors |
JP2024085546A (ja) * | 2022-12-15 | 2024-06-27 | 東京エレクトロン株式会社 | 凹部の埋込方法及びプラズマ処理装置 |
US20240249914A1 (en) * | 2023-01-23 | 2024-07-25 | Mks Instruments, Inc. | Non-Invasive IED Estimation For Pulsed-DC And Low Frequency Applications |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004193564A (ja) | 2002-11-29 | 2004-07-08 | Hitachi High-Technologies Corp | サグ補償機能付き高周波電源を有するプラズマ処理装置およびプラズマ処理方法 |
US20150076112A1 (en) | 2013-09-19 | 2015-03-19 | Lam Research Corporation | Method and Apparatus for Controlling Substrate DC-Bias and Ion Energy and Angular Distribution During Substrate Etching |
JP2018195588A (ja) | 2012-08-28 | 2018-12-06 | アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. | 広ダイナミックレンジイオンエネルギーバイアス制御、高速イオンエネルギー切り替え、イオンエネルギー制御およびパルスバイアス供給部、および仮想フロントパネル |
JP2019197892A (ja) | 2018-05-10 | 2019-11-14 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法 |
Family Cites Families (577)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4070589A (en) | 1976-10-29 | 1978-01-24 | The Singer Company | High speed-high voltage switching with low power consumption |
US4340462A (en) | 1981-02-13 | 1982-07-20 | Lam Research Corporation | Adjustable electrode plasma processing chamber |
US4504895A (en) | 1982-11-03 | 1985-03-12 | General Electric Company | Regulated dc-dc converter using a resonating transformer |
US4464223A (en) | 1983-10-03 | 1984-08-07 | Tegal Corp. | Plasma reactor apparatus and method |
US4585516A (en) | 1985-03-04 | 1986-04-29 | Tegal Corporation | Variable duty cycle, multiple frequency, plasma reactor |
US4683529A (en) | 1986-11-12 | 1987-07-28 | Zytec Corporation | Switching power supply with automatic power factor correction |
KR970003885B1 (ko) | 1987-12-25 | 1997-03-22 | 도오교오 에레구토론 가부시끼 가이샤 | 에칭 방법 및 그 장치 |
US5242561A (en) | 1989-12-15 | 1993-09-07 | Canon Kabushiki Kaisha | Plasma processing method and plasma processing apparatus |
US4992919A (en) | 1989-12-29 | 1991-02-12 | Lee Chu Quon | Parallel resonant converter with zero voltage switching |
US5099697A (en) | 1990-04-02 | 1992-03-31 | Agar Corporation Ltd. | Two and three-phase flow measurement |
US5140510A (en) | 1991-03-04 | 1992-08-18 | Motorola, Inc. | Constant frequency power converter |
US5418707A (en) | 1992-04-13 | 1995-05-23 | The United States Of America As Represented By The United States Department Of Energy | High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs |
US5286297A (en) | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
KR100324792B1 (ko) | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
US5662770A (en) | 1993-04-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks |
JP2748213B2 (ja) | 1993-05-24 | 1998-05-06 | 日本レーザ電子株式会社 | プラズマ製膜装置 |
US5449410A (en) | 1993-07-28 | 1995-09-12 | Applied Materials, Inc. | Plasma processing apparatus |
KR100302167B1 (ko) | 1993-11-05 | 2001-11-22 | 히가시 데쓰로 | 플라즈마처리장치및플라즈마처리방법 |
US5451846A (en) | 1993-12-14 | 1995-09-19 | Aeg Automation Systems Corporation | Low current compensation control for thyristor armature power supply |
US5565036A (en) | 1994-01-19 | 1996-10-15 | Tel America, Inc. | Apparatus and method for igniting plasma in a process module |
TW299559B (ja) | 1994-04-20 | 1997-03-01 | Tokyo Electron Co Ltd | |
US5651865A (en) | 1994-06-17 | 1997-07-29 | Eni | Preferential sputtering of insulators from conductive targets |
US5554959A (en) | 1994-10-25 | 1996-09-10 | Vac-Com, Inc. | Linear power amplifier with a pulse density modulated switching power supply |
US5716534A (en) | 1994-12-05 | 1998-02-10 | Tokyo Electron Limited | Plasma processing method and plasma etching method |
US6133557A (en) | 1995-01-31 | 2000-10-17 | Kyocera Corporation | Wafer holding member |
JP3778299B2 (ja) | 1995-02-07 | 2006-05-24 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
JP3292270B2 (ja) | 1995-02-27 | 2002-06-17 | 富士通株式会社 | 静電吸着装置 |
US5597438A (en) | 1995-09-14 | 1997-01-28 | Siemens Aktiengesellschaft | Etch chamber having three independently controlled electrodes |
US6253704B1 (en) | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
JPH09129612A (ja) | 1995-10-26 | 1997-05-16 | Tokyo Electron Ltd | エッチングガス及びエッチング方法 |
US6902683B1 (en) | 1996-03-01 | 2005-06-07 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
IT1289479B1 (it) | 1996-01-26 | 1998-10-15 | Schlafhorst & Co W | Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata |
US6252354B1 (en) | 1996-11-04 | 2001-06-26 | Applied Materials, Inc. | RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control |
US5770023A (en) | 1996-02-12 | 1998-06-23 | Eni A Division Of Astec America, Inc. | Etch process employing asymmetric bipolar pulsed DC |
TW335517B (en) | 1996-03-01 | 1998-07-01 | Hitachi Ltd | Apparatus and method for processing plasma |
US6055150A (en) | 1996-05-02 | 2000-04-25 | Applied Materials, Inc. | Multi-electrode electrostatic chuck having fuses in hollow cavities |
US5948704A (en) | 1996-06-05 | 1999-09-07 | Lam Research Corporation | High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
JP3220383B2 (ja) | 1996-07-23 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置及びその方法 |
JP3122618B2 (ja) | 1996-08-23 | 2001-01-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3220394B2 (ja) | 1996-09-27 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6214162B1 (en) | 1996-09-27 | 2001-04-10 | Tokyo Electron Limited | Plasma processing apparatus |
US5882424A (en) | 1997-01-21 | 1999-03-16 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field |
US5830330A (en) | 1997-05-22 | 1998-11-03 | Tokyo Electron Limited | Method and apparatus for low pressure sputtering |
JP3599564B2 (ja) | 1998-06-25 | 2004-12-08 | 東京エレクトロン株式会社 | イオン流形成方法及び装置 |
US6051114A (en) | 1997-06-23 | 2000-04-18 | Applied Materials, Inc. | Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition |
US5933314A (en) | 1997-06-27 | 1999-08-03 | Lam Research Corp. | Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks |
JPH1125894A (ja) | 1997-06-30 | 1999-01-29 | Shinku Device:Kk | プラズマイオンシャワー試料処理装置とその方法 |
US6187685B1 (en) | 1997-08-01 | 2001-02-13 | Surface Technology Systems Limited | Method and apparatus for etching a substrate |
EP1018088A4 (en) | 1997-09-17 | 2006-08-16 | Tokyo Electron Ltd | SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS |
EP1038042A1 (en) | 1997-10-15 | 2000-09-27 | Tokyo Electron Limited | Apparatus and method for utilizing a plasma density gradient to produce a flow of particles |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6043607A (en) | 1997-12-16 | 2000-03-28 | Applied Materials, Inc. | Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform |
US6198616B1 (en) | 1998-04-03 | 2001-03-06 | Applied Materials, Inc. | Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system |
US6126778A (en) | 1998-07-22 | 2000-10-03 | Micron Technology, Inc. | Beat frequency modulation for plasma generation |
US6355992B1 (en) | 1998-08-11 | 2002-03-12 | Utron Inc. | High voltage pulse generator |
WO2000017920A1 (fr) | 1998-09-18 | 2000-03-30 | Tokyo Electron Limited | Procede de traitement au plasma |
US6125025A (en) | 1998-09-30 | 2000-09-26 | Lam Research Corporation | Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors |
US7218503B2 (en) | 1998-09-30 | 2007-05-15 | Lam Research Corporation | Method of determining the correct average bias compensation voltage during a plasma process |
US7583492B2 (en) | 1998-09-30 | 2009-09-01 | Lam Research Corporation | Method of determining the correct average bias compensation voltage during a plasma process |
US6117279A (en) | 1998-11-12 | 2000-09-12 | Tokyo Electron Limited | Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition |
US6849154B2 (en) | 1998-11-27 | 2005-02-01 | Tokyo Electron Limited | Plasma etching apparatus |
JP2000173982A (ja) | 1998-12-01 | 2000-06-23 | Matsushita Electric Ind Co Ltd | プラズマ処理装置およびプラズマ処理方法 |
JP3357313B2 (ja) | 1999-03-11 | 2002-12-16 | 住友特殊金属株式会社 | 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法 |
JP2000269196A (ja) | 1999-03-19 | 2000-09-29 | Toshiba Corp | プラズマ処理方法及びプラズマ処理装置 |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6451389B1 (en) | 1999-04-17 | 2002-09-17 | Advanced Energy Industries, Inc. | Method for deposition of diamond like carbon |
US6273958B2 (en) | 1999-06-09 | 2001-08-14 | Applied Materials, Inc. | Substrate support for plasma processing |
US6367413B1 (en) | 1999-06-15 | 2002-04-09 | Tokyo Electron Limited | Apparatus for monitoring substrate biasing during plasma processing of a substrate |
EP1203441A1 (en) | 1999-07-13 | 2002-05-08 | Tokyo Electron Limited | Radio frequency power source for generating an inductively coupled plasma |
KR20020046276A (ko) | 1999-08-02 | 2002-06-20 | 로버트 엠. 포터 | 이온 소스를 이용하는 박막 퇴적 시스템의 개선된 전자방출 표면 |
US6232236B1 (en) | 1999-08-03 | 2001-05-15 | Applied Materials, Inc. | Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system |
DE19937859C2 (de) | 1999-08-13 | 2003-06-18 | Huettinger Elektronik Gmbh | Elektrische Versorgungseinheit für Plasmaanlagen |
EP1214459B1 (en) | 1999-08-17 | 2009-01-07 | Tokyo Electron Limited | Pulsed plasma processing method and apparatus |
US6818103B1 (en) | 1999-10-15 | 2004-11-16 | Advanced Energy Industries, Inc. | Method and apparatus for substrate biasing in multiple electrode sputtering systems |
JP4021601B2 (ja) | 1999-10-29 | 2007-12-12 | 株式会社東芝 | スパッタ装置および成膜方法 |
US6201208B1 (en) | 1999-11-04 | 2001-03-13 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma processing with control of ion energy distribution at the substrates |
WO2001052302A1 (en) | 2000-01-10 | 2001-07-19 | Tokyo Electron Limited | Segmented electrode assembly and method for plasma processing |
US20030079983A1 (en) | 2000-02-25 | 2003-05-01 | Maolin Long | Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources |
TW507256B (en) | 2000-03-13 | 2002-10-21 | Mitsubishi Heavy Ind Ltd | Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus |
WO2001073814A2 (en) | 2000-03-28 | 2001-10-04 | Tokyo Electron Limited | Method and apparatus for controlling power delivered to a multiple segment electrode |
JP4454781B2 (ja) | 2000-04-18 | 2010-04-21 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3851057B2 (ja) | 2000-04-21 | 2006-11-29 | シャープ株式会社 | 画像形成装置 |
EP1435655A3 (en) | 2000-05-10 | 2004-07-14 | Ibiden Co., Ltd. | Electrostatic chuck |
JP4559595B2 (ja) | 2000-07-17 | 2010-10-06 | 東京エレクトロン株式会社 | 被処理体の載置装置及びプラズマ処理装置 |
JP4590031B2 (ja) | 2000-07-26 | 2010-12-01 | 東京エレクトロン株式会社 | 被処理体の載置機構 |
US6483731B1 (en) | 2000-07-31 | 2002-11-19 | Vanner, Inc. | Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section |
US7183177B2 (en) | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US6485572B1 (en) | 2000-08-28 | 2002-11-26 | Micron Technology, Inc. | Use of pulsed grounding source in a plasma reactor |
TW506234B (en) | 2000-09-18 | 2002-10-11 | Tokyo Electron Ltd | Tunable focus ring for plasma processing |
JP4612947B2 (ja) | 2000-09-29 | 2011-01-12 | 日立プラズマディスプレイ株式会社 | 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置 |
KR100378187B1 (ko) | 2000-11-09 | 2003-03-29 | 삼성전자주식회사 | 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법 |
JP3897582B2 (ja) | 2000-12-12 | 2007-03-28 | キヤノン株式会社 | 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置 |
JP2002198355A (ja) | 2000-12-26 | 2002-07-12 | Tokyo Electron Ltd | プラズマ処理装置 |
WO2002052628A1 (fr) | 2000-12-26 | 2002-07-04 | Tokyo Electron Limited | Procede et appareil de traitement au plasma |
WO2002054835A2 (en) | 2001-01-08 | 2002-07-11 | Tokyo Electron Limited | Addition of power at selected harmonics of plasma processor drive frequency |
JPWO2002059954A1 (ja) | 2001-01-25 | 2004-10-14 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US6777037B2 (en) | 2001-02-21 | 2004-08-17 | Hitachi, Ltd. | Plasma processing method and apparatus |
US6741446B2 (en) | 2001-03-30 | 2004-05-25 | Lam Research Corporation | Vacuum plasma processor and method of operating same |
JP2002299322A (ja) | 2001-03-30 | 2002-10-11 | Toshiba Corp | プラズマ処理装置およびプラズマ処理方法 |
JP2002313899A (ja) | 2001-04-11 | 2002-10-25 | Sumitomo Electric Ind Ltd | 基板保持構造体および基板処理装置 |
US7146260B2 (en) | 2001-04-24 | 2006-12-05 | Medius, Inc. | Method and apparatus for dynamic configuration of multiprocessor system |
PT1253216E (pt) | 2001-04-27 | 2004-04-30 | Europ Economic Community | Metodo e aparelhagem para tratamento sequencial por plasma |
JP4819244B2 (ja) | 2001-05-15 | 2011-11-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
WO2002097855A1 (en) | 2001-05-29 | 2002-12-05 | Tokyo Electron Limited | Plasma processing apparatus and method |
SE525231C2 (sv) | 2001-06-14 | 2005-01-11 | Chemfilt R & D Ab | Förfarande och anordning för att alstra plasma |
DE10136259A1 (de) | 2001-07-25 | 2003-02-20 | Oce Printing Systems Gmbh | Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte |
US20030029859A1 (en) | 2001-08-08 | 2003-02-13 | Applied Materials, Inc. | Lamphead for a rapid thermal processing chamber |
DE10151703B4 (de) | 2001-10-19 | 2004-12-09 | OCé PRINTING SYSTEMS GMBH | Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer |
TWI282658B (en) | 2001-10-23 | 2007-06-11 | Delta Electronics Inc | A parallel connection system of DC/AC voltage converter |
JP2005508078A (ja) | 2001-10-31 | 2005-03-24 | 東京エレクトロン株式会社 | 高アスペクト比形態のエッチング方法 |
JP4129855B2 (ja) | 2001-12-13 | 2008-08-06 | 東京エレクトロン株式会社 | プラズマ処理装置 |
DE10161743B4 (de) | 2001-12-15 | 2004-08-05 | Hüttinger Elektronik GmbH & Co. KG | Hochfrequenzanregungsanordnung |
US6768621B2 (en) | 2002-01-18 | 2004-07-27 | Solectria Corporation | Contactor feedback and precharge/discharge circuit |
JP4024053B2 (ja) | 2002-02-08 | 2007-12-19 | キヤノンアネルバ株式会社 | 高周波プラズマ処理方法及び高周波プラズマ処理装置 |
US6760213B2 (en) | 2002-03-04 | 2004-07-06 | Hitachi High-Technologies Corporation | Electrostatic chuck and method of treating substrate using electrostatic chuck |
DE10211609B4 (de) | 2002-03-12 | 2009-01-08 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last |
KR100511854B1 (ko) | 2002-06-18 | 2005-09-02 | 아네르바 가부시키가이샤 | 정전 흡착 장치 |
US6830650B2 (en) | 2002-07-12 | 2004-12-14 | Advanced Energy Industries, Inc. | Wafer probe for measuring plasma and surface characteristics in plasma processing environments |
US6808607B2 (en) | 2002-09-25 | 2004-10-26 | Advanced Energy Industries, Inc. | High peak power plasma pulsed supply with arc handling |
US7147759B2 (en) | 2002-09-30 | 2006-12-12 | Zond, Inc. | High-power pulsed magnetron sputtering |
US20040066601A1 (en) | 2002-10-04 | 2004-04-08 | Varian Semiconductor Equipment Associates, Inc. | Electrode configuration for retaining cooling gas on electrostatic wafer clamp |
US6896775B2 (en) | 2002-10-29 | 2005-05-24 | Zond, Inc. | High-power pulsed magnetically enhanced plasma processing |
DE10250229B4 (de) | 2002-10-29 | 2004-08-05 | Hüttinger Elektronik GmbH & Co. KG | Leistungsregelung für Hochfrequenzverstärker |
JP4323232B2 (ja) | 2002-12-04 | 2009-09-02 | 芝浦メカトロニクス株式会社 | 静電吸着方法、静電吸着装置及び貼り合せ装置 |
US7206189B2 (en) | 2002-12-20 | 2007-04-17 | Advanced Energy Technology Inc. | Composite electrode and current collectors and processes for making the same |
US6830595B2 (en) | 2002-12-20 | 2004-12-14 | Advanced Energy Technology Inc. | Method of making composite electrode and current collectors |
DE10306347A1 (de) | 2003-02-15 | 2004-08-26 | Hüttinger Elektronik GmbH & Co. KG | Leistungszufuhrregeleinheit |
DE10312549B3 (de) | 2003-03-21 | 2004-08-26 | Hüttinger Elektronik Gmbh + Co. Kg | Gasentladungsprozess-Spannungsversorgungseinheit |
US7126808B2 (en) | 2003-04-01 | 2006-10-24 | Varian Semiconductor Equipment Associates, Inc. | Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping |
JP4354243B2 (ja) | 2003-04-21 | 2009-10-28 | 東京エレクトロン株式会社 | 被処理体の昇降機構及び処理装置 |
JP4031732B2 (ja) | 2003-05-26 | 2008-01-09 | 京セラ株式会社 | 静電チャック |
US7625460B2 (en) | 2003-08-01 | 2009-12-01 | Micron Technology, Inc. | Multifrequency plasma reactor |
DE10336881B4 (de) | 2003-08-11 | 2008-05-15 | Hüttinger Elektronik GmbH & Co. KG | Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung |
US6902646B2 (en) | 2003-08-14 | 2005-06-07 | Advanced Energy Industries, Inc. | Sensor array for measuring plasma characteristics in plasma processing environments |
JP4418193B2 (ja) | 2003-08-22 | 2010-02-17 | 東京エレクトロン株式会社 | パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置 |
DE10341717A1 (de) | 2003-09-10 | 2005-05-25 | Applied Films Gmbh & Co. Kg | Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden |
US7115185B1 (en) | 2003-09-16 | 2006-10-03 | Advanced Energy Industries, Inc. | Pulsed excitation of inductively coupled plasma sources |
US9771648B2 (en) | 2004-08-13 | 2017-09-26 | Zond, Inc. | Method of ionized physical vapor deposition sputter coating high aspect-ratio structures |
DE112004002262T5 (de) | 2003-11-28 | 2006-10-26 | Advantest Corp. | Digitale QP Detektionsvorrichtung, Spektrumanalysator aufweisend dieselbe und ein Verfahren zur digitalen QP Detektierung |
US7645341B2 (en) | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
US7379309B2 (en) | 2004-01-14 | 2008-05-27 | Vanner, Inc. | High-frequency DC-DC converter control |
US7095179B2 (en) | 2004-02-22 | 2006-08-22 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US7663319B2 (en) | 2004-02-22 | 2010-02-16 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US9123508B2 (en) | 2004-02-22 | 2015-09-01 | Zond, Llc | Apparatus and method for sputtering hard coatings |
US7700474B2 (en) | 2006-04-07 | 2010-04-20 | Tokyo Electron Limited | Barrier deposition using ionized physical vapor deposition (iPVD) |
US6972524B1 (en) | 2004-03-24 | 2005-12-06 | Lam Research Corporation | Plasma processing system control |
DE102004024805B4 (de) | 2004-05-17 | 2015-11-12 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung |
JP4401867B2 (ja) | 2004-05-20 | 2010-01-20 | 株式会社沖データ | 画像形成装置 |
US7988816B2 (en) | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
KR101247857B1 (ko) | 2004-06-21 | 2013-03-26 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
US7740704B2 (en) | 2004-06-25 | 2010-06-22 | Tokyo Electron Limited | High rate atomic layer deposition apparatus and method of using |
JP2006011174A (ja) | 2004-06-28 | 2006-01-12 | Ricoh Co Ltd | 記録体異常発生予測装置、定着装置および画像形成装置 |
US20060040499A1 (en) | 2004-08-20 | 2006-02-23 | Steve Walther | In situ surface contaminant removal for ion implanting |
DE102004044797B4 (de) | 2004-09-16 | 2008-02-07 | Hüttinger Elektronik GmbH & Co. KG | Anregungsanordnung für Induktionsöfen |
EP2477207A3 (en) | 2004-09-24 | 2014-09-03 | Zond, Inc. | Apparatus for generating high-current electrical discharges |
US7601246B2 (en) | 2004-09-29 | 2009-10-13 | Lam Research Corporation | Methods of sputtering a protective coating on a semiconductor substrate |
US7244311B2 (en) | 2004-10-13 | 2007-07-17 | Lam Research Corporation | Heat transfer system for improved semiconductor processing uniformity |
SE0402644D0 (sv) | 2004-11-02 | 2004-11-02 | Biocell Ab | Method and apparatus for producing electric discharges |
CN101278385B (zh) | 2004-11-04 | 2011-10-12 | 株式会社爱发科 | 静电吸盘装置 |
US7396412B2 (en) | 2004-12-22 | 2008-07-08 | Sokudo Co., Ltd. | Coat/develop module with shared dispense |
KR101089096B1 (ko) | 2004-12-28 | 2011-12-06 | 엘지디스플레이 주식회사 | 노광장치용 척 |
US20060171848A1 (en) | 2005-01-31 | 2006-08-03 | Advanced Energy Industries, Inc. | Diagnostic plasma sensors for endpoint and end-of-life detection |
KR100649508B1 (ko) | 2005-02-02 | 2006-11-27 | 권오영 | 하이브리드 전원시스템 |
EP1691481B1 (de) | 2005-02-12 | 2014-04-02 | TRUMPF Hüttinger GmbH + Co. KG | Amplitudenmodulator |
ATE344973T1 (de) | 2005-03-10 | 2006-11-15 | Huettinger Elektronik Gmbh | Vakuumplasmagenerator |
US7535688B2 (en) | 2005-03-25 | 2009-05-19 | Tokyo Electron Limited | Method for electrically discharging substrate, substrate processing apparatus and program |
US7586099B2 (en) | 2005-03-30 | 2009-09-08 | Huettinger Elektronik Gmbh + Co. Kg | Vacuum plasma generator |
ATE500604T1 (de) | 2005-03-30 | 2011-03-15 | Huettinger Elektronik Gmbh | Vakuumplasmagenerator |
US7305311B2 (en) | 2005-04-22 | 2007-12-04 | Advanced Energy Industries, Inc. | Arc detection and handling in radio frequency power applications |
JP3910210B2 (ja) | 2005-05-13 | 2007-04-25 | 松下電器産業株式会社 | 誘電体バリア放電ランプ点灯装置 |
US20060278521A1 (en) | 2005-06-14 | 2006-12-14 | Stowell Michael W | System and method for controlling ion density and energy using modulated power signals |
AR057882A1 (es) | 2005-11-09 | 2007-12-26 | Novartis Ag | Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra |
JP4418424B2 (ja) | 2005-11-21 | 2010-02-17 | 日本リライアンス株式会社 | 交流電源装置およびその装置におけるアーク抑制方法 |
US20070114981A1 (en) | 2005-11-21 | 2007-05-24 | Square D Company | Switching power supply system with pre-regulator for circuit or personnel protection devices |
JP4827081B2 (ja) | 2005-12-28 | 2011-11-30 | 東京エレクトロン株式会社 | プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体 |
EP1982400A4 (en) | 2006-01-23 | 2014-08-13 | Audera Internat Sales Inc | POWER SUPPLY FOR LIMITED POWER SOURCES AND AUDIOVER AMPLIFIERS WITH A POWER SUPPLY |
US7872292B2 (en) | 2006-02-21 | 2011-01-18 | United Microelectronics Corp. | Capacitance dielectric layer and capacitor |
EP1837893A1 (de) | 2006-03-25 | 2007-09-26 | HÜTTINGER Elektronik GmbH + Co. KG | Messeeinrichtung eines HF-Plasmasystems |
JP4597894B2 (ja) | 2006-03-31 | 2010-12-15 | 東京エレクトロン株式会社 | 基板載置台および基板処理装置 |
US7588667B2 (en) | 2006-04-07 | 2009-09-15 | Tokyo Electron Limited | Depositing rhuthenium films using ionized physical vapor deposition (IPVD) |
GB2437080B (en) | 2006-04-11 | 2011-10-12 | Hauzer Techno Coating Bv | A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus |
US7692936B2 (en) | 2006-05-05 | 2010-04-06 | Huettinger Elektronik Gmbh + Co. Kg | Medium frequency power generator |
EP1852959A1 (de) | 2006-05-05 | 2007-11-07 | HÜTTINGER Elektronik GmbH + Co. KG | Stromversorgung für einen Mittelfrequenz-Plasmagenerator |
JP4887913B2 (ja) | 2006-06-02 | 2012-02-29 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
US7777152B2 (en) | 2006-06-13 | 2010-08-17 | Applied Materials, Inc. | High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck |
US8083961B2 (en) | 2006-07-31 | 2011-12-27 | Tokyo Electron Limited | Method and system for controlling the uniformity of a ballistic electron beam by RF modulation |
JP2008041993A (ja) | 2006-08-08 | 2008-02-21 | Shinko Electric Ind Co Ltd | 静電チャック |
KR100757347B1 (ko) | 2006-08-30 | 2007-09-10 | 삼성전자주식회사 | 이온 주입 장치 |
EP1912266A1 (en) | 2006-10-10 | 2008-04-16 | STMicroelectronics S.r.l. | Method of forming phase change memory devices in a pulsed DC deposition chamber |
JP5171010B2 (ja) | 2006-10-27 | 2013-03-27 | 東京エレクトロン株式会社 | 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム |
DE102006052060B4 (de) | 2006-11-04 | 2009-11-26 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Anordnung zur Anregung einer Gaslaseranordnung |
DE102006052061B4 (de) | 2006-11-04 | 2009-04-23 | Hüttinger Elektronik Gmbh + Co. Kg | Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren |
US20080106842A1 (en) | 2006-11-06 | 2008-05-08 | Tokyo Electron Limited | Mounting device, plasma processing apparatus and plasma processing method |
JP4864661B2 (ja) | 2006-11-22 | 2012-02-01 | 東京エレクトロン株式会社 | 太陽電池の製造方法及び太陽電池の製造装置 |
DE502006005363D1 (de) | 2006-11-23 | 2009-12-24 | Huettinger Elektronik Gmbh | Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung |
US7795817B2 (en) | 2006-11-24 | 2010-09-14 | Huettinger Elektronik Gmbh + Co. Kg | Controlled plasma power supply |
KR101312292B1 (ko) | 2006-12-11 | 2013-09-27 | 엘아이지에이디피 주식회사 | 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법 |
EP2102889B1 (en) | 2006-12-12 | 2020-10-07 | Evatec AG | Rf substrate bias with high power impulse magnetron sputtering (hipims) |
US8422193B2 (en) | 2006-12-19 | 2013-04-16 | Axcelis Technologies, Inc. | Annulus clamping and backside gas cooled electrostatic chuck |
JP5252613B2 (ja) | 2006-12-25 | 2013-07-31 | 国立大学法人東北大学 | イオン注入装置およびイオン注入方法 |
US20080160212A1 (en) | 2006-12-27 | 2008-07-03 | Bon-Woong Koo | Method and apparatuses for providing electrical contact for plasma processing applications |
US7718538B2 (en) | 2007-02-21 | 2010-05-18 | Applied Materials, Inc. | Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates |
US8217299B2 (en) | 2007-02-22 | 2012-07-10 | Advanced Energy Industries, Inc. | Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch |
DE102007009070A1 (de) | 2007-02-23 | 2008-08-28 | OCé PRINTING SYSTEMS GMBH | Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer |
ATE493749T1 (de) | 2007-03-08 | 2011-01-15 | Huettinger Elektronik Gmbh | Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses |
EP1968188B1 (de) | 2007-03-09 | 2012-08-08 | HÜTTINGER Elektronik GmbH + Co. KG | Klasse-D Verstärkeranordnung |
US8055203B2 (en) | 2007-03-14 | 2011-11-08 | Mks Instruments, Inc. | Multipoint voltage and current probe system |
JP4903610B2 (ja) | 2007-03-27 | 2012-03-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR100855002B1 (ko) | 2007-05-23 | 2008-08-28 | 삼성전자주식회사 | 플라즈마 이온 주입시스템 |
JP5018244B2 (ja) | 2007-05-30 | 2012-09-05 | 住友大阪セメント株式会社 | 静電チャック |
US7758764B2 (en) | 2007-06-28 | 2010-07-20 | Lam Research Corporation | Methods and apparatus for substrate processing |
US20090004836A1 (en) | 2007-06-29 | 2009-01-01 | Varian Semiconductor Equipment Associates, Inc. | Plasma doping with enhanced charge neutralization |
EP2097920B1 (de) | 2007-07-23 | 2017-08-09 | TRUMPF Hüttinger GmbH + Co. KG | Plasmaversorgungseinrichtung |
KR20090024866A (ko) | 2007-09-05 | 2009-03-10 | 주식회사 코미코 | 기판 지지유닛 및 이를 갖는 기판 가공 장치 |
JP4607930B2 (ja) | 2007-09-14 | 2011-01-05 | 株式会社東芝 | プラズマ処理装置およびプラズマ処理方法 |
US8140292B2 (en) | 2007-09-18 | 2012-03-20 | Wisconsin Alumni Research Foundation | Method and system for controlling a voltage waveform |
JP5301812B2 (ja) | 2007-11-14 | 2013-09-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8133359B2 (en) | 2007-11-16 | 2012-03-13 | Advanced Energy Industries, Inc. | Methods and apparatus for sputtering deposition using direct current |
US9039871B2 (en) | 2007-11-16 | 2015-05-26 | Advanced Energy Industries, Inc. | Methods and apparatus for applying periodic voltage using direct current |
CN101874203A (zh) | 2007-11-26 | 2010-10-27 | 东京毅力科创株式会社 | 微细结构体检测装置以及微细结构体检测方法 |
WO2009073361A1 (en) | 2007-11-29 | 2009-06-11 | Lam Research Corporation | Pulsed bias plasma process to control microloading |
JP5224837B2 (ja) | 2008-02-01 | 2013-07-03 | 株式会社東芝 | 基板のプラズマ処理装置及びプラズマ処理方法 |
KR101659095B1 (ko) | 2008-02-08 | 2016-09-22 | 램 리써치 코포레이션 | 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기 |
DE102008012089B4 (de) | 2008-02-29 | 2015-06-11 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens |
US7977256B2 (en) | 2008-03-06 | 2011-07-12 | Tokyo Electron Limited | Method for removing a pore-generating material from an uncured low-k dielectric film |
CN102789975B (zh) | 2008-03-06 | 2015-10-14 | 东京毅力科创株式会社 | 用于固化多孔低介电常数电介质膜的方法 |
US7858533B2 (en) | 2008-03-06 | 2010-12-28 | Tokyo Electron Limited | Method for curing a porous low dielectric constant dielectric film |
US20090236214A1 (en) | 2008-03-20 | 2009-09-24 | Karthik Janakiraman | Tunable ground planes in plasma chambers |
US7791912B2 (en) | 2008-05-02 | 2010-09-07 | Advanced Energy Industries, Inc. | Protection method, system and apparatus for a power converter |
US8391025B2 (en) | 2008-05-02 | 2013-03-05 | Advanced Energy Industries, Inc. | Preemptive protection for a power convertor |
US8018164B2 (en) | 2008-05-29 | 2011-09-13 | Applied Materials, Inc. | Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources |
JP5429772B2 (ja) | 2008-06-30 | 2014-02-26 | 株式会社アルバック | 電源装置 |
US8460567B2 (en) | 2008-07-01 | 2013-06-11 | Tokyo Electron Limited | Method and system for etching a MEM device |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US20100018648A1 (en) | 2008-07-23 | 2010-01-28 | Applied Marterials, Inc. | Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring |
US8895942B2 (en) | 2008-09-16 | 2014-11-25 | Tokyo Electron Limited | Dielectric treatment module using scanning IR radiation source |
JP5295833B2 (ja) | 2008-09-24 | 2013-09-18 | 株式会社東芝 | 基板処理装置および基板処理方法 |
JP5270310B2 (ja) | 2008-11-13 | 2013-08-21 | 東京エレクトロン株式会社 | 静電チャック及び基板処理装置 |
US8313664B2 (en) | 2008-11-21 | 2012-11-20 | Applied Materials, Inc. | Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber |
JP5295748B2 (ja) | 2008-12-18 | 2013-09-18 | 東京エレクトロン株式会社 | 構成部品の洗浄方法及び記憶媒体 |
US9887069B2 (en) | 2008-12-19 | 2018-02-06 | Lam Research Corporation | Controlling ion energy distribution in plasma processing systems |
US7825719B2 (en) | 2008-12-29 | 2010-11-02 | Advanced Energy Industries, Inc. | System and method for wideband phase-adjustable common excitation |
US8137345B2 (en) | 2009-01-05 | 2012-03-20 | Peak Surgical, Inc. | Electrosurgical devices for tonsillectomy and adenoidectomy |
US20110298376A1 (en) | 2009-01-13 | 2011-12-08 | River Bell Co. | Apparatus And Method For Producing Plasma |
JP5221403B2 (ja) | 2009-01-26 | 2013-06-26 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置および記憶媒体 |
US9254168B2 (en) | 2009-02-02 | 2016-02-09 | Medtronic Advanced Energy Llc | Electro-thermotherapy of tissue using penetrating microelectrode array |
US8383001B2 (en) | 2009-02-20 | 2013-02-26 | Tokyo Electron Limited | Plasma etching method, plasma etching apparatus and storage medium |
DE102009001355B4 (de) | 2009-03-05 | 2015-01-22 | TRUMPF Hüttinger GmbH + Co. KG | Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung |
US8313612B2 (en) | 2009-03-24 | 2012-11-20 | Lam Research Corporation | Method and apparatus for reduction of voltage potential spike during dechucking |
US8382999B2 (en) | 2009-03-26 | 2013-02-26 | Applied Materials, Inc. | Pulsed plasma high aspect ratio dielectric process |
JP5395491B2 (ja) | 2009-03-31 | 2014-01-22 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
CN101872733B (zh) | 2009-04-24 | 2012-06-27 | 中微半导体设备(上海)有限公司 | 感测和移除被加工半导体工艺件的残余电荷的系统和方法 |
JP5227245B2 (ja) | 2009-04-28 | 2013-07-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9287092B2 (en) | 2009-05-01 | 2016-03-15 | Advanced Energy Industries, Inc. | Method and apparatus for controlling ion energy distribution |
US11615941B2 (en) | 2009-05-01 | 2023-03-28 | Advanced Energy Industries, Inc. | System, method, and apparatus for controlling ion energy distribution in plasma processing systems |
US9435029B2 (en) | 2010-08-29 | 2016-09-06 | Advanced Energy Industries, Inc. | Wafer chucking system for advanced plasma ion energy processing systems |
US9767988B2 (en) | 2010-08-29 | 2017-09-19 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
US9287086B2 (en) | 2010-04-26 | 2016-03-15 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution |
JP5357639B2 (ja) | 2009-06-24 | 2013-12-04 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8716984B2 (en) | 2009-06-29 | 2014-05-06 | Advanced Energy Industries, Inc. | Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load |
JP5496568B2 (ja) | 2009-08-04 | 2014-05-21 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US8404598B2 (en) | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
WO2011016266A1 (ja) | 2009-08-07 | 2011-02-10 | 株式会社京三製作所 | パルス変調高周波電力制御方法およびパルス変調高周波電源装置 |
SG169960A1 (en) | 2009-09-18 | 2011-04-29 | Lam Res Corp | Clamped monolithic showerhead electrode |
JP5960384B2 (ja) | 2009-10-26 | 2016-08-02 | 新光電気工業株式会社 | 静電チャック用基板及び静電チャック |
US9313872B2 (en) | 2009-10-27 | 2016-04-12 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
KR101757922B1 (ko) | 2009-10-27 | 2017-07-14 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 |
US8501631B2 (en) | 2009-11-19 | 2013-08-06 | Lam Research Corporation | Plasma processing system control based on RF voltage |
US8270141B2 (en) | 2009-11-20 | 2012-09-18 | Applied Materials, Inc. | Electrostatic chuck with reduced arcing |
US8284580B2 (en) | 2009-12-10 | 2012-10-09 | Emerson Electric Co. | Power supply discontinuous input voltage extender |
KR101286242B1 (ko) | 2009-12-14 | 2013-07-15 | 삼성전자주식회사 | 반도체 소자 제조 방법 |
DE102009054987A1 (de) | 2009-12-18 | 2011-06-22 | HÜTTINGER Elektronik GmbH + Co. KG, 79111 | Verfahren zur Erzeugung von Wechselstromleistung |
US8658541B2 (en) | 2010-01-15 | 2014-02-25 | Applied Materials, Inc. | Method of controlling trench microloading using plasma pulsing |
US20110177694A1 (en) | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
US9373521B2 (en) | 2010-02-24 | 2016-06-21 | Tokyo Electron Limited | Etching processing method |
JP5632626B2 (ja) | 2010-03-04 | 2014-11-26 | 東京エレクトロン株式会社 | 自動整合装置及びプラズマ処理装置 |
WO2011112991A1 (en) | 2010-03-11 | 2011-09-15 | Salient Surgical Technologies, Inc. | Bipolar electrosurgical cutter with position insensitive return electrode contact |
US9309594B2 (en) | 2010-04-26 | 2016-04-12 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution of a projected plasma |
JP5660804B2 (ja) | 2010-04-30 | 2015-01-28 | 東京エレクトロン株式会社 | カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置 |
US8361906B2 (en) | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
US9139910B2 (en) | 2010-06-11 | 2015-09-22 | Tokyo Electron Limited | Method for chemical vapor deposition control |
EP2580368B1 (en) | 2010-06-11 | 2015-11-18 | Tokyo Electron Limited | Apparatus for chemical vapor deposition control |
US8852347B2 (en) | 2010-06-11 | 2014-10-07 | Tokyo Electron Limited | Apparatus for chemical vapor deposition control |
JP5558224B2 (ja) | 2010-06-23 | 2014-07-23 | 東京エレクトロン株式会社 | 基板処理方法 |
US20120000421A1 (en) | 2010-07-02 | 2012-01-05 | Varian Semicondutor Equipment Associates, Inc. | Control apparatus for plasma immersion ion implantation of a dielectric substrate |
EP2407998B1 (en) | 2010-07-15 | 2019-02-13 | Ecole Polytechnique | Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation |
DE102010031568B4 (de) | 2010-07-20 | 2014-12-11 | TRUMPF Hüttinger GmbH + Co. KG | Arclöschanordnung und Verfahren zum Löschen von Arcs |
US9728429B2 (en) | 2010-07-27 | 2017-08-08 | Lam Research Corporation | Parasitic plasma prevention in plasma processing chambers |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8828883B2 (en) | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
US9362089B2 (en) | 2010-08-29 | 2016-06-07 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
CA3059961C (en) | 2010-08-31 | 2021-04-13 | Theraclone Sciences, Inc. | Human immunodeficiency virus (hiv)-neutralizing antibodies |
JP5820661B2 (ja) | 2010-09-14 | 2015-11-24 | 東京エレクトロン株式会社 | マイクロ波照射装置 |
US20120088371A1 (en) | 2010-10-07 | 2012-04-12 | Applied Materials, Inc. | Methods for etching substrates using pulsed dc voltage |
DE102010048809A1 (de) | 2010-10-20 | 2012-04-26 | Hüttinger Elektronik Gmbh + Co. Kg | Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung |
DE102010048810A1 (de) | 2010-10-20 | 2012-04-26 | Hüttinger Elektronik Gmbh + Co. Kg | System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse |
US8757603B2 (en) | 2010-10-22 | 2014-06-24 | Applied Materials, Inc. | Low force substrate lift |
US9123762B2 (en) | 2010-10-22 | 2015-09-01 | Applied Materials, Inc. | Substrate support with symmetrical feed structure |
EP2463890A1 (en) | 2010-12-08 | 2012-06-13 | Applied Materials, Inc. | Generating plasmas in pulsed power systems |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8809199B2 (en) | 2011-02-12 | 2014-08-19 | Tokyo Electron Limited | Method of etching features in silicon nitride films |
TWI478234B (zh) | 2011-03-04 | 2015-03-21 | Tokyo Electron Ltd | 氮化矽膜之蝕刻方法 |
US8884525B2 (en) | 2011-03-22 | 2014-11-11 | Advanced Energy Industries, Inc. | Remote plasma source generating a disc-shaped plasma |
US9263241B2 (en) | 2011-05-10 | 2016-02-16 | Advanced Energy Industries, Inc. | Current threshold response mode for arc management |
US8979842B2 (en) | 2011-06-10 | 2015-03-17 | Medtronic Advanced Energy Llc | Wire electrode devices for tonsillectomy and adenoidectomy |
EP2541584B1 (en) | 2011-06-27 | 2018-08-08 | TRUMPF Huettinger Sp. Z o. o. | Generating a highly ionized plasma in a plasma chamber |
US8735291B2 (en) | 2011-08-25 | 2014-05-27 | Tokyo Electron Limited | Method for etching high-k dielectric using pulsed bias power |
US8399366B1 (en) | 2011-08-25 | 2013-03-19 | Tokyo Electron Limited | Method of depositing highly conformal amorphous carbon films over raised features |
TW202418889A (zh) | 2011-10-05 | 2024-05-01 | 美商應用材料股份有限公司 | 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件 |
US9399812B2 (en) | 2011-10-11 | 2016-07-26 | Applied Materials, Inc. | Methods of preventing plasma induced damage during substrate processing |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
JP5977509B2 (ja) | 2011-12-09 | 2016-08-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP5867701B2 (ja) | 2011-12-15 | 2016-02-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5808012B2 (ja) | 2011-12-27 | 2015-11-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8963377B2 (en) | 2012-01-09 | 2015-02-24 | Eagle Harbor Technologies Inc. | Efficient IGBT switching |
KR102046193B1 (ko) | 2012-02-01 | 2019-11-18 | 도쿄엘렉트론가부시키가이샤 | 플라스마 에칭 방법 및 플라스마 에칭 장치 |
JPWO2013118660A1 (ja) | 2012-02-09 | 2015-05-11 | 東京エレクトロン株式会社 | 半導体製造装置の製造方法及び半導体製造装置 |
WO2013125523A1 (ja) | 2012-02-20 | 2013-08-29 | 東京エレクトロン株式会社 | 電源システム、プラズマエッチング装置及びプラズマエッチング方法 |
US9368329B2 (en) | 2012-02-22 | 2016-06-14 | Lam Research Corporation | Methods and apparatus for synchronizing RF pulses in a plasma processing system |
US9228878B2 (en) | 2012-03-19 | 2016-01-05 | Advanced Energy Industries, Inc. | Dual beam non-contact displacement sensor |
WO2013146920A1 (ja) | 2012-03-30 | 2013-10-03 | 東レ株式会社 | 連続発酵による化学品の製造方法および連続発酵装置 |
US9293928B2 (en) | 2013-04-23 | 2016-03-22 | Kevin Alexander | System and method for a dynamically configurable power distribution control and management system |
JP6359236B2 (ja) | 2012-05-07 | 2018-07-18 | トーカロ株式会社 | 静電チャック |
US9404176B2 (en) | 2012-06-05 | 2016-08-02 | Applied Materials, Inc. | Substrate support with radio frequency (RF) return path |
JP5921964B2 (ja) | 2012-06-11 | 2016-05-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプローブ装置 |
JP5534365B2 (ja) | 2012-06-18 | 2014-06-25 | 株式会社京三製作所 | 高周波電力供給装置、及び反射波電力制御方法 |
US9530618B2 (en) | 2012-07-06 | 2016-12-27 | Infineon Technologies Ag | Plasma system, chuck and method of making a semiconductor device |
US9865893B2 (en) | 2012-07-27 | 2018-01-09 | Lockheed Martin Advanced Energy Storage, Llc | Electrochemical energy storage systems and methods featuring optimal membrane systems |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
ES2865440T3 (es) | 2012-08-15 | 2021-10-15 | Lockheed Martin Energy Llc | Hexacianuros de hierro de alta solubilidad |
US9685297B2 (en) | 2012-08-28 | 2017-06-20 | Advanced Energy Industries, Inc. | Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system |
CN107978506B (zh) | 2012-08-28 | 2021-07-09 | 先进工程解决方案全球控股私人有限公司 | 控制开关模式离子能量分布系统的方法 |
US9210790B2 (en) | 2012-08-28 | 2015-12-08 | Advanced Energy Industries, Inc. | Systems and methods for calibrating a switched mode ion energy distribution system |
JP6027374B2 (ja) | 2012-09-12 | 2016-11-16 | 東京エレクトロン株式会社 | プラズマ処理装置及びフィルタユニット |
US20140077611A1 (en) | 2012-09-14 | 2014-03-20 | Henry Todd Young | Capacitor bank, laminated bus, and power supply apparatus |
JP6207880B2 (ja) | 2012-09-26 | 2017-10-04 | 東芝メモリ株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US8916056B2 (en) | 2012-10-11 | 2014-12-23 | Varian Semiconductor Equipment Associates, Inc. | Biasing system for a plasma processing apparatus |
US20140109886A1 (en) | 2012-10-22 | 2014-04-24 | Transient Plasma Systems, Inc. | Pulsed power systems and methods |
US9226380B2 (en) | 2012-11-01 | 2015-12-29 | Advanced Energy Industries, Inc. | Adjustable non-dissipative voltage boosting snubber network |
JP6154820B2 (ja) | 2012-11-01 | 2017-06-28 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9129776B2 (en) | 2012-11-01 | 2015-09-08 | Advanced Energy Industries, Inc. | Differing boost voltages applied to two or more anodeless electrodes for plasma processing |
US9287098B2 (en) | 2012-11-01 | 2016-03-15 | Advanced Energy Industries, Inc. | Charge removal from electrodes in unipolar sputtering system |
JP2014112644A (ja) | 2012-11-06 | 2014-06-19 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
US10049948B2 (en) | 2012-11-30 | 2018-08-14 | Lam Research Corporation | Power switching system for ESC with array of thermal control elements |
US8941969B2 (en) | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
JP6099995B2 (ja) | 2013-01-24 | 2017-03-22 | 東京エレクトロン株式会社 | 試験装置 |
DE102013202428A1 (de) | 2013-02-14 | 2014-08-14 | Trumpf Huettinger Sp. Z O. O. | Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung |
EP2770083B1 (en) | 2013-02-20 | 2015-11-18 | University of West Bohemia in Pilsen | High-rate reactive sputtering of dielectric stoichiometric films |
US9536713B2 (en) | 2013-02-27 | 2017-01-03 | Advanced Energy Industries, Inc. | Reliable plasma ignition and reignition |
US20160004475A1 (en) | 2013-02-28 | 2016-01-07 | Hitachi, Ltd | Management system and method of dynamic storage service level monitoring |
KR102064914B1 (ko) | 2013-03-06 | 2020-01-10 | 삼성전자주식회사 | 식각 공정 장치 및 식각 공정 방법 |
US9681497B2 (en) | 2013-03-12 | 2017-06-13 | Applied Materials, Inc. | Multi zone heating and cooling ESC for plasma process chamber |
US20140273487A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Pulsed dc plasma etching process and apparatus |
WO2014159144A1 (en) | 2013-03-13 | 2014-10-02 | Applied Materials, Inc | Uv-assisted reactive ion etch for copper |
US9209032B2 (en) | 2013-03-15 | 2015-12-08 | Tokyo Electron Limited | Electric pressure systems for control of plasma properties and uniformity |
US20140263182A1 (en) | 2013-03-15 | 2014-09-18 | Tokyo Electron Limited | Dc pulse etcher |
US20140263181A1 (en) | 2013-03-15 | 2014-09-18 | Jaeyoung Park | Method and apparatus for generating highly repetitive pulsed plasmas |
US8889534B1 (en) | 2013-05-29 | 2014-11-18 | Tokyo Electron Limited | Solid state source introduction of dopants and additives for a plasma doping process |
WO2014197611A1 (en) | 2013-06-04 | 2014-12-11 | Eagle Harbor Technologies, Inc. | Analog integrator system and method |
US9460894B2 (en) | 2013-06-28 | 2016-10-04 | Lam Research Corporation | Controlling ion energy within a plasma chamber |
KR102282261B1 (ko) | 2013-07-17 | 2021-07-26 | 에이이에스 글로벌 홀딩스 피티이 리미티드 | 펄스 듀얼 마그네트론 스퍼터링(dms) 프로세스에 있어서 타깃 소모의 균형을 이루기 위한 시스템 및 방법 |
JP6441927B2 (ja) | 2013-08-06 | 2018-12-19 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 局部的に加熱されるマルチゾーン式の基板支持体 |
JP2015037091A (ja) | 2013-08-12 | 2015-02-23 | 東京エレクトロン株式会社 | エッチング方法 |
US9655221B2 (en) | 2013-08-19 | 2017-05-16 | Eagle Harbor Technologies, Inc. | High frequency, repetitive, compact toroid-generation for radiation production |
DE102013110883B3 (de) | 2013-10-01 | 2015-01-15 | TRUMPF Hüttinger GmbH + Co. KG | Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess |
US9576810B2 (en) | 2013-10-03 | 2017-02-21 | Applied Materials, Inc. | Process for etching metal using a combination of plasma and solid state sources |
JP6162016B2 (ja) | 2013-10-09 | 2017-07-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20150111394A1 (en) | 2013-10-23 | 2015-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming uniform film on semiconductor substrate |
JP6100672B2 (ja) | 2013-10-25 | 2017-03-22 | 東京エレクトロン株式会社 | 温度制御機構、温度制御方法及び基板処理装置 |
JP6312405B2 (ja) | 2013-11-05 | 2018-04-18 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6374647B2 (ja) | 2013-11-05 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2017504955A (ja) | 2013-11-06 | 2017-02-09 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | Dcバイアス変調による、粒子発生抑制装置 |
US9318304B2 (en) | 2013-11-11 | 2016-04-19 | Applied Materials, Inc. | Frequency tuning for dual level radio frequency (RF) pulsing |
US10892140B2 (en) | 2018-07-27 | 2021-01-12 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US10020800B2 (en) | 2013-11-14 | 2018-07-10 | Eagle Harbor Technologies, Inc. | High voltage nanosecond pulser with variable pulse width and pulse repetition frequency |
US10978955B2 (en) | 2014-02-28 | 2021-04-13 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US9706630B2 (en) | 2014-02-28 | 2017-07-11 | Eagle Harbor Technologies, Inc. | Galvanically isolated output variable pulse generator disclosure |
CN116633324A (zh) | 2013-11-14 | 2023-08-22 | 鹰港科技有限公司 | 高压纳秒脉冲发生器 |
US11539352B2 (en) | 2013-11-14 | 2022-12-27 | Eagle Harbor Technologies, Inc. | Transformer resonant converter |
US9853579B2 (en) | 2013-12-18 | 2017-12-26 | Applied Materials, Inc. | Rotatable heated electrostatic chuck |
DE102013226537B4 (de) | 2013-12-18 | 2022-12-29 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas |
DE102013226511B4 (de) | 2013-12-18 | 2016-12-15 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung |
US9101038B2 (en) | 2013-12-20 | 2015-08-04 | Lam Research Corporation | Electrostatic chuck including declamping electrode and method of declamping |
CN104752134B (zh) | 2013-12-29 | 2017-02-15 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种反应腔室及等离子体加工设备 |
KR102311036B1 (ko) | 2014-01-08 | 2021-10-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발 |
US10790816B2 (en) | 2014-01-27 | 2020-09-29 | Eagle Harbor Technologies, Inc. | Solid-state replacement for tube-based modulators |
US10483089B2 (en) | 2014-02-28 | 2019-11-19 | Eagle Harbor Technologies, Inc. | High voltage resistive output stage circuit |
TWI590329B (zh) | 2014-03-02 | 2017-07-01 | 東京威力科創股份有限公司 | 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法 |
US9472410B2 (en) | 2014-03-05 | 2016-10-18 | Applied Materials, Inc. | Pixelated capacitance controlled ESC |
KR101907375B1 (ko) | 2014-03-24 | 2018-10-12 | 어드밴스드 에너지 인더스트리즈 인코포레이티드 | 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법 |
KR102222902B1 (ko) | 2014-05-12 | 2021-03-05 | 삼성전자주식회사 | 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법 |
JP2017143085A (ja) | 2014-06-23 | 2017-08-17 | 東京エレクトロン株式会社 | グラフェン膜を有する被処理体を処理する方法 |
US9544987B2 (en) | 2014-06-30 | 2017-01-10 | Advanced Energy Industries, Inc. | Frequency tuning for pulsed radio frequency plasma processing |
WO2016002547A1 (ja) | 2014-07-02 | 2016-01-07 | 東京エレクトロン株式会社 | 基板処理装置 |
US10121641B2 (en) | 2014-07-21 | 2018-11-06 | Lam Research Corporation | Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems |
JP6335341B2 (ja) | 2014-07-23 | 2018-05-30 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 可変型温度制御式基板支持アセンブリ |
KR20160022458A (ko) | 2014-08-19 | 2016-03-02 | 삼성전자주식회사 | 플라즈마 장비 및 이의 동작 방법 |
JP6435135B2 (ja) | 2014-08-26 | 2018-12-05 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
JP6315809B2 (ja) | 2014-08-28 | 2018-04-25 | 東京エレクトロン株式会社 | エッチング方法 |
US10115567B2 (en) | 2014-09-17 | 2018-10-30 | Tokyo Electron Limited | Plasma processing apparatus |
JP6373160B2 (ja) | 2014-10-15 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6400425B2 (ja) | 2014-10-15 | 2018-10-03 | 東京エレクトロン株式会社 | 多層膜をエッチングする方法 |
DE102014115139A1 (de) | 2014-10-17 | 2016-04-21 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung |
US10102321B2 (en) | 2014-10-24 | 2018-10-16 | Lam Research Corporation | System, method and apparatus for refining radio frequency transmission system models |
US9666447B2 (en) | 2014-10-28 | 2017-05-30 | Tokyo Electron Limited | Method for selectivity enhancement during dry plasma etching |
JP6320282B2 (ja) | 2014-12-05 | 2018-05-09 | 東京エレクトロン株式会社 | エッチング方法 |
EP3035365A1 (en) | 2014-12-19 | 2016-06-22 | TRUMPF Huettinger Sp. Z o. o. | Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply |
KR102346036B1 (ko) | 2014-12-25 | 2021-12-30 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 플라즈마 처리 방법 |
US20170263478A1 (en) | 2015-01-16 | 2017-09-14 | Lam Research Corporation | Detection System for Tunable/Replaceable Edge Coupling Ring |
TWI714074B (zh) | 2015-01-16 | 2020-12-21 | 美商艾克塞利斯科技公司 | 離子植入系統及具有可變能量控制的方法 |
US9673059B2 (en) | 2015-02-02 | 2017-06-06 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning integration schemes |
EP3054472A1 (en) | 2015-02-03 | 2016-08-10 | TRUMPF Huettinger Sp. Z o. o. | Arc treatment device and method therefor |
DE102015202317A1 (de) | 2015-02-10 | 2016-08-11 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung |
US9607843B2 (en) | 2015-02-13 | 2017-03-28 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content |
WO2016131061A1 (en) | 2015-02-13 | 2016-08-18 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch |
US9530667B2 (en) | 2015-02-13 | 2016-12-27 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using carbon |
US9576816B2 (en) | 2015-02-13 | 2017-02-21 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen |
JP6396822B2 (ja) | 2015-02-16 | 2018-09-26 | 東京エレクトロン株式会社 | プラズマ処理装置のサセプタの電位を制御する方法 |
US9525412B2 (en) | 2015-02-18 | 2016-12-20 | Reno Technologies, Inc. | Switching circuit |
US9306533B1 (en) | 2015-02-20 | 2016-04-05 | Reno Technologies, Inc. | RF impedance matching network |
JP6449674B2 (ja) | 2015-02-23 | 2019-01-09 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6424120B2 (ja) | 2015-03-23 | 2018-11-14 | 東京エレクトロン株式会社 | 電源システム、プラズマ処理装置及び電源制御方法 |
US9799494B2 (en) | 2015-04-03 | 2017-10-24 | Tokyo Electron Limited | Energetic negative ion impact ionization plasma |
US9786503B2 (en) | 2015-04-08 | 2017-10-10 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning schemes without using hard masks |
JP6449091B2 (ja) | 2015-04-20 | 2019-01-09 | 東京エレクトロン株式会社 | スリップリング、支持機構及びプラズマ処理装置 |
JP6498022B2 (ja) | 2015-04-22 | 2019-04-10 | 東京エレクトロン株式会社 | エッチング処理方法 |
US9812305B2 (en) | 2015-04-27 | 2017-11-07 | Advanced Energy Industries, Inc. | Rate enhanced pulsed DC sputtering system |
US9865471B2 (en) | 2015-04-30 | 2018-01-09 | Tokyo Electron Limited | Etching method and etching apparatus |
US10017857B2 (en) | 2015-05-02 | 2018-07-10 | Applied Materials, Inc. | Method and apparatus for controlling plasma near the edge of a substrate |
US11542927B2 (en) | 2015-05-04 | 2023-01-03 | Eagle Harbor Technologies, Inc. | Low pressure dielectric barrier discharge plasma thruster |
JP2016225439A (ja) | 2015-05-29 | 2016-12-28 | 東京エレクトロン株式会社 | プラズマ処理装置及び基板剥離検知方法 |
TW201717247A (zh) | 2015-06-02 | 2017-05-16 | 蘭姆研究公司 | 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法 |
US10063062B2 (en) | 2015-06-18 | 2018-08-28 | Tokyo Electron Limited | Method of detecting plasma discharge in a plasma processing system |
US10249498B2 (en) | 2015-06-19 | 2019-04-02 | Tokyo Electron Limited | Method for using heated substrates for process chemistry control |
US9922806B2 (en) | 2015-06-23 | 2018-03-20 | Tokyo Electron Limited | Etching method and plasma processing apparatus |
US10163610B2 (en) | 2015-07-13 | 2018-12-25 | Lam Research Corporation | Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation |
US10373811B2 (en) | 2015-07-24 | 2019-08-06 | Aes Global Holdings, Pte. Ltd | Systems and methods for single magnetron sputtering |
US9761459B2 (en) | 2015-08-05 | 2017-09-12 | Lam Research Corporation | Systems and methods for reverse pulsing |
US9620376B2 (en) | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
SG10201607880PA (en) | 2015-09-25 | 2017-04-27 | Tokyo Electron Ltd | METHOD FOR FORMING TiON FILM |
US9978606B2 (en) | 2015-10-02 | 2018-05-22 | Applied Materials, Inc. | Methods for atomic level resolution and plasma processing control |
US9741539B2 (en) | 2015-10-05 | 2017-08-22 | Applied Materials, Inc. | RF power delivery regulation for processing substrates |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US9881820B2 (en) | 2015-10-22 | 2018-01-30 | Lam Research Corporation | Front opening ring pod |
US10124492B2 (en) | 2015-10-22 | 2018-11-13 | Lam Research Corporation | Automated replacement of consumable parts using end effectors interfacing with plasma processing system |
US10062599B2 (en) | 2015-10-22 | 2018-08-28 | Lam Research Corporation | Automated replacement of consumable parts using interfacing chambers |
US20170115657A1 (en) | 2015-10-22 | 2017-04-27 | Lam Research Corporation | Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ |
WO2017095890A1 (en) | 2015-11-30 | 2017-06-08 | Eagle Harbor Technologies, Inc. | High voltage transformer |
JP6604833B2 (ja) | 2015-12-03 | 2019-11-13 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
WO2017100136A1 (en) | 2015-12-07 | 2017-06-15 | Applied Materials, Inc. | Method and apparatus for clamping and declamping substrates using electrostatic chucks |
US9997374B2 (en) | 2015-12-18 | 2018-06-12 | Tokyo Electron Limited | Etching method |
JP6385915B2 (ja) | 2015-12-22 | 2018-09-05 | 東京エレクトロン株式会社 | エッチング方法 |
US9601319B1 (en) | 2016-01-07 | 2017-03-21 | Lam Research Corporation | Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US9577516B1 (en) | 2016-02-18 | 2017-02-21 | Advanced Energy Industries, Inc. | Apparatus for controlled overshoot in a RF generator |
US9966231B2 (en) | 2016-02-29 | 2018-05-08 | Lam Research Corporation | Direct current pulsing plasma systems |
JP6392266B2 (ja) | 2016-03-22 | 2018-09-19 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US10672596B2 (en) | 2016-03-28 | 2020-06-02 | Tokyo Electron Limited | Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source |
US10773282B2 (en) | 2016-03-31 | 2020-09-15 | Tokyo Electron Limited | Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy |
JP6741461B2 (ja) | 2016-04-19 | 2020-08-19 | 日本特殊陶業株式会社 | 加熱部材及び複合加熱部材 |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
KR20170127724A (ko) | 2016-05-12 | 2017-11-22 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US10304668B2 (en) | 2016-05-24 | 2019-05-28 | Tokyo Electron Limited | Localized process control using a plasma system |
US10340123B2 (en) | 2016-05-26 | 2019-07-02 | Tokyo Electron Limited | Multi-frequency power modulation for etching high aspect ratio features |
JP6689674B2 (ja) | 2016-05-30 | 2020-04-28 | 東京エレクトロン株式会社 | エッチング方法 |
US20170358431A1 (en) | 2016-06-13 | 2017-12-14 | Applied Materials, Inc. | Systems and methods for controlling a voltage waveform at a substrate during plasma processing |
US10903047B2 (en) | 2018-07-27 | 2021-01-26 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US11430635B2 (en) | 2018-07-27 | 2022-08-30 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US11004660B2 (en) | 2018-11-30 | 2021-05-11 | Eagle Harbor Technologies, Inc. | Variable output impedance RF generator |
US10804886B2 (en) | 2016-06-21 | 2020-10-13 | Eagle Harbor Technologies, Inc. | High voltage pre-pulsing |
US9852889B1 (en) | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
KR102489215B1 (ko) | 2016-09-06 | 2023-01-16 | 도쿄엘렉트론가부시키가이샤 | 유사 원자층 에칭 방법 |
TWI680496B (zh) | 2016-09-13 | 2019-12-21 | 美商應用材料股份有限公司 | 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積 |
JP2018046179A (ja) | 2016-09-15 | 2018-03-22 | 株式会社東芝 | 静電チャック及び半導体製造装置 |
US10320373B2 (en) | 2016-10-11 | 2019-06-11 | Eagle Harbor Technologies, Inc. | RF production using nonlinear semiconductor junction capacitance |
US9872373B1 (en) | 2016-10-25 | 2018-01-16 | Applied Materials, Inc. | Smart multi-level RF pulsing methods |
JP2018078515A (ja) | 2016-11-11 | 2018-05-17 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
US10312048B2 (en) | 2016-12-12 | 2019-06-04 | Applied Materials, Inc. | Creating ion energy distribution functions (IEDF) |
US10268846B2 (en) | 2016-12-30 | 2019-04-23 | Eagle Harbor Technologies, Inc. | High voltage inductive adder |
CN108281342B (zh) | 2017-01-05 | 2020-01-21 | 东京毅力科创株式会社 | 等离子体处理装置 |
US10242845B2 (en) | 2017-01-17 | 2019-03-26 | Lam Research Corporation | Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber |
US20180218905A1 (en) | 2017-02-02 | 2018-08-02 | Applied Materials, Inc. | Applying equalized plasma coupling design for mura free susceptor |
US10373804B2 (en) | 2017-02-03 | 2019-08-06 | Applied Materials, Inc. | System for tunable workpiece biasing in a plasma reactor |
WO2018148182A1 (en) | 2017-02-07 | 2018-08-16 | Eagle Harbor Technologies, Inc. | Transformer resonant converter |
US10923379B2 (en) | 2017-02-15 | 2021-02-16 | Lam Research Corporation | Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure |
SG11201908533PA (en) | 2017-03-17 | 2019-10-30 | Tokyo Electron Ltd | Surface modification control for etch metric enhancement |
CN110771041B (zh) | 2017-03-31 | 2023-10-03 | 鹰港科技有限公司 | 高压电阻性输出级电路 |
US10879044B2 (en) | 2017-04-07 | 2020-12-29 | Lam Research Corporation | Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing |
JP7029340B2 (ja) | 2017-04-25 | 2022-03-03 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
EP3396698A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
EP3396700A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
EP3396699A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
US10666198B2 (en) | 2017-05-09 | 2020-05-26 | Eagle Harbor Technologies, Inc | Efficient high power microwave generation using recirculating pulses |
US10460916B2 (en) | 2017-05-15 | 2019-10-29 | Applied Materials, Inc. | Real time monitoring with closed loop chucking force control |
TWI775862B (zh) | 2017-05-30 | 2022-09-01 | 美商泰坦先進能源解決公司 | 電池壽命評估和容量恢復 |
US11289355B2 (en) | 2017-06-02 | 2022-03-29 | Lam Research Corporation | Electrostatic chuck for use in semiconductor processing |
JP6826955B2 (ja) | 2017-06-14 | 2021-02-10 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP6832800B2 (ja) | 2017-06-21 | 2021-02-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6865128B2 (ja) | 2017-07-19 | 2021-04-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
TWI788390B (zh) | 2017-08-10 | 2023-01-01 | 美商應用材料股份有限公司 | 用於電漿處理的分佈式電極陣列 |
US11114321B2 (en) | 2017-08-17 | 2021-09-07 | Tokyo Electron Limited | Apparatus and method for real-time sensing of properties in industrial manufacturing equipment |
JP7045152B2 (ja) | 2017-08-18 | 2022-03-31 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6902167B2 (ja) | 2017-08-25 | 2021-07-14 | イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. | ナノ秒パルスを使用する任意波形の発生 |
US10714372B2 (en) * | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
US10763150B2 (en) * | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
US10475622B2 (en) | 2017-09-26 | 2019-11-12 | Advanced Energy Industries, Inc. | System and method for plasma ignition |
JP6518024B1 (ja) | 2017-10-30 | 2019-05-22 | 日本碍子株式会社 | 静電チャック及びその製法 |
JP6894000B2 (ja) | 2017-11-06 | 2021-06-23 | 日本碍子株式会社 | 静電チャックアセンブリ及び静電チャック |
JP7210094B2 (ja) | 2017-11-16 | 2023-01-23 | 東京エレクトロン株式会社 | 信号変調同期式プラズマ処理システム |
EP3711082A4 (en) | 2017-11-17 | 2021-09-29 | AES Global Holdings, Pte. Ltd. | IMPROVED USE OF MODULATION SUPPLIES IN A PLASMA PROCESSING SYSTEM |
CN111868873B (zh) | 2017-11-17 | 2023-06-16 | 先进工程解决方案全球控股私人有限公司 | 等离子体处理源和衬底偏置的同步的脉冲化 |
KR20200100642A (ko) | 2017-11-17 | 2020-08-26 | 에이이에스 글로벌 홀딩스 피티이 리미티드 | 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어 |
JP7033441B2 (ja) | 2017-12-01 | 2022-03-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10811267B2 (en) | 2017-12-21 | 2020-10-20 | Micron Technology, Inc. | Methods of processing semiconductor device structures and related systems |
WO2019143474A1 (en) | 2018-01-18 | 2019-07-25 | Applied Materials, Inc. | Etching apparatus and methods |
US10269540B1 (en) | 2018-01-25 | 2019-04-23 | Advanced Energy Industries, Inc. | Impedance matching system and method of operating the same |
US11848177B2 (en) | 2018-02-23 | 2023-12-19 | Lam Research Corporation | Multi-plate electrostatic chucks with ceramic baseplates |
CN112313509A (zh) | 2018-03-08 | 2021-02-02 | 鹰港科技有限公司 | 用于结构的无损评价的精密涡流传感器 |
DE102018204587B4 (de) | 2018-03-26 | 2019-10-24 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung |
US11456160B2 (en) | 2018-03-26 | 2022-09-27 | Tokyo Electron Limited | Plasma processing apparatus |
JP7055054B2 (ja) | 2018-04-11 | 2022-04-15 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム |
JP7061922B2 (ja) | 2018-04-27 | 2022-05-02 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6910320B2 (ja) | 2018-05-01 | 2021-07-28 | 東京エレクトロン株式会社 | マイクロ波出力装置及びプラズマ処理装置 |
CN117612918A (zh) | 2018-05-03 | 2024-02-27 | 应用材料公司 | 用于基座的rf接地配置 |
JP7061511B2 (ja) | 2018-05-10 | 2022-04-28 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
JP7126381B2 (ja) | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
JP2019216140A (ja) | 2018-06-11 | 2019-12-19 | 東京エレクトロン株式会社 | 成膜装置及び成膜装置におけるクリーニング方法 |
JP6846384B2 (ja) | 2018-06-12 | 2021-03-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法 |
US10916409B2 (en) | 2018-06-18 | 2021-02-09 | Lam Research Corporation | Active control of radial etch uniformity |
JP7357191B2 (ja) | 2018-06-18 | 2023-10-06 | 東京エレクトロン株式会社 | 製造装置における特性の低干渉でのリアルタイム感知 |
JP7175239B2 (ja) | 2018-06-22 | 2022-11-18 | 東京エレクトロン株式会社 | 制御方法、プラズマ処理装置、プログラム及び記憶媒体 |
JP6842443B2 (ja) | 2018-06-22 | 2021-03-17 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマを生成する方法 |
CN113345788B (zh) | 2018-06-22 | 2024-06-21 | 东京毅力科创株式会社 | 等离子体处理装置、等离子体处理方法和存储介质 |
JP6846387B2 (ja) | 2018-06-22 | 2021-03-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP7038614B2 (ja) | 2018-06-27 | 2022-03-18 | 東京エレクトロン株式会社 | 基板処理方法 |
US11011351B2 (en) | 2018-07-13 | 2021-05-18 | Lam Research Corporation | Monoenergetic ion generation for controlled etch |
WO2020017328A1 (ja) | 2018-07-17 | 2020-01-23 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
JP7175114B2 (ja) | 2018-07-19 | 2022-11-18 | 東京エレクトロン株式会社 | 載置台及び電極部材 |
US11302518B2 (en) | 2018-07-27 | 2022-04-12 | Eagle Harbor Technologies, Inc. | Efficient energy recovery in a nanosecond pulser circuit |
US11222767B2 (en) | 2018-07-27 | 2022-01-11 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
JP7186032B2 (ja) | 2018-07-27 | 2022-12-08 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US11532457B2 (en) | 2018-07-27 | 2022-12-20 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
JP7079686B2 (ja) | 2018-07-27 | 2022-06-02 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US10607814B2 (en) | 2018-08-10 | 2020-03-31 | Eagle Harbor Technologies, Inc. | High voltage switch with isolated power |
JP7306886B2 (ja) | 2018-07-30 | 2023-07-11 | 東京エレクトロン株式会社 | 制御方法及びプラズマ処理装置 |
WO2020026802A1 (ja) | 2018-07-30 | 2020-02-06 | 東京エレクトロン株式会社 | 制御方法及びプラズマ処理装置 |
EP3834285A4 (en) | 2018-08-10 | 2022-07-20 | Eagle Harbor Technologies, Inc. | PLASMA JACKET CONTROL FOR RF PLASMA REACTORS |
US20200058469A1 (en) | 2018-08-14 | 2020-02-20 | Tokyo Electron Limited | Systems and methods of control for plasma processing |
US11688586B2 (en) | 2018-08-30 | 2023-06-27 | Tokyo Electron Limited | Method and apparatus for plasma processing |
WO2020051064A1 (en) | 2018-09-05 | 2020-03-12 | Tokyo Electron Limited | Apparatus and process for electron beam mediated plasma etch and deposition processes |
US10672589B2 (en) | 2018-10-10 | 2020-06-02 | Tokyo Electron Limited | Plasma processing apparatus and control method |
EP3881423A4 (en) | 2018-11-14 | 2022-08-03 | AES Global Holdings, Pte. Ltd. | ADDITIVE SYNTHESIS OF INTERLEAVED SWITCH MODE POWER STAGES FOR MINIMUM DELAY IN SETPOINT TRACKING |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
JP2020095793A (ja) | 2018-12-10 | 2020-06-18 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
US10720305B2 (en) | 2018-12-21 | 2020-07-21 | Advanced Energy Industries, Inc. | Plasma delivery system for modulated plasma systems |
TWI783203B (zh) | 2019-01-08 | 2022-11-11 | 美商鷹港科技股份有限公司 | 奈秒脈波產生器電路 |
SG11202107162UA (en) | 2019-01-09 | 2021-07-29 | Tokyo Electron Ltd | Plasma treatment device and plasma treatment method |
KR20200086826A (ko) | 2019-01-10 | 2020-07-20 | 삼성전자주식회사 | 플라즈마 처리 방법 및 플라즈마 처리 장치 |
JP7451540B2 (ja) | 2019-01-22 | 2024-03-18 | アプライド マテリアルズ インコーポレイテッド | パルス状電圧波形を制御するためのフィードバックループ |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
CN116844934A (zh) | 2019-02-05 | 2023-10-03 | 东京毅力科创株式会社 | 等离子体处理装置 |
WO2020243023A1 (en) | 2019-05-24 | 2020-12-03 | Eagle Harbor Technologies, Inc. | Klystron driver |
EP3994716A4 (en) | 2019-07-02 | 2023-06-28 | Eagle Harbor Technologies, Inc. | Nanosecond pulser rf isolation |
CN114222958B (zh) | 2019-07-12 | 2024-03-19 | 先进工程解决方案全球控股私人有限公司 | 具有单个受控开关的偏置电源 |
WO2021062223A1 (en) | 2019-09-25 | 2021-04-01 | Eagle Harbor Technologies, Inc. | Nonlinear transmission line high voltage pulse sharpening with energy recovery |
TWI778449B (zh) | 2019-11-15 | 2022-09-21 | 美商鷹港科技股份有限公司 | 高電壓脈衝電路 |
EP4082036A4 (en) | 2019-12-24 | 2023-06-07 | Eagle Harbor Technologies, Inc. | NANOSECOND PULSE RF ISOLATION FOR PLASMA SYSTEMS |
US11742184B2 (en) | 2020-02-28 | 2023-08-29 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
US11901157B2 (en) * | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) * | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
-
2021
- 2021-01-26 US US17/159,133 patent/US11901157B2/en active Active
- 2021-10-13 CN CN202180060516.3A patent/CN116250059A/zh active Pending
- 2021-10-13 WO PCT/US2021/054814 patent/WO2022103544A1/en unknown
- 2021-10-13 EP EP21892542.8A patent/EP4244884A1/en active Pending
- 2021-10-13 KR KR1020237002444A patent/KR20230024422A/ko unknown
- 2021-10-13 JP JP2023503137A patent/JP7461565B2/ja active Active
- 2021-11-02 TW TW110140739A patent/TWI847069B/zh active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004193564A (ja) | 2002-11-29 | 2004-07-08 | Hitachi High-Technologies Corp | サグ補償機能付き高周波電源を有するプラズマ処理装置およびプラズマ処理方法 |
JP2018195588A (ja) | 2012-08-28 | 2018-12-06 | アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. | 広ダイナミックレンジイオンエネルギーバイアス制御、高速イオンエネルギー切り替え、イオンエネルギー制御およびパルスバイアス供給部、および仮想フロントパネル |
US20150076112A1 (en) | 2013-09-19 | 2015-03-19 | Lam Research Corporation | Method and Apparatus for Controlling Substrate DC-Bias and Ion Energy and Angular Distribution During Substrate Etching |
JP2019197892A (ja) | 2018-05-10 | 2019-11-14 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法 |
Also Published As
Publication number | Publication date |
---|---|
WO2022103544A1 (en) | 2022-05-19 |
US11901157B2 (en) | 2024-02-13 |
US20220157561A1 (en) | 2022-05-19 |
TW202236354A (zh) | 2022-09-16 |
KR20230024422A (ko) | 2023-02-20 |
CN116250059A (zh) | 2023-06-09 |
TWI847069B (zh) | 2024-07-01 |
EP4244884A1 (en) | 2023-09-20 |
JP2023540835A (ja) | 2023-09-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7461565B2 (ja) | イオンエネルギー分布を制御する装置および方法 | |
JP6986113B2 (ja) | 修正された周期的電圧関数を電気ノードに提供するための装置およびコンピュータ読み取り可能な記憶媒体 | |
KR101761493B1 (ko) | 스위칭 모드 이온 에너지 분포 시스템을 교정하기 위한 시스템 및 방법 | |
US10388544B2 (en) | Substrate processing apparatus and substrate processing method | |
KR101800623B1 (ko) | 스위칭 모드 이온 에너지 분포 시스템의 고장, 비정상 및 다른 특성들을 모니터링하기 위한 시스템 및 방법 | |
JP2023541096A (ja) | イオンエネルギー分布を制御する装置および方法 | |
KR20210060455A (ko) | 플라즈마 공정을 위한 제어 시스템 및 방법 | |
KR101283360B1 (ko) | 플라즈마 처리장치 및 플라즈마 처리방법 | |
CN117296124A (zh) | 等离子体处理期间的自动静电卡盘偏压补偿 | |
KR101952563B1 (ko) | 스위칭 모드 이온 에너지 분포 시스템을 제어하는 방법 | |
JP7541626B2 (ja) | プラズマ処理中の自動静電チャックバイアス補償 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20230313 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20240228 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20240312 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20240322 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7461565 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |