KR20230024422A - 이온 에너지 분포를 제어하기 위한 장치 및 방법들 - Google Patents

이온 에너지 분포를 제어하기 위한 장치 및 방법들 Download PDF

Info

Publication number
KR20230024422A
KR20230024422A KR1020237002444A KR20237002444A KR20230024422A KR 20230024422 A KR20230024422 A KR 20230024422A KR 1020237002444 A KR1020237002444 A KR 1020237002444A KR 20237002444 A KR20237002444 A KR 20237002444A KR 20230024422 A KR20230024422 A KR 20230024422A
Authority
KR
South Korea
Prior art keywords
voltage
pulse generator
substrate
current
source
Prior art date
Application number
KR1020237002444A
Other languages
English (en)
Inventor
린잉 쿠이
제임스 로저스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/099,342 external-priority patent/US11798790B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230024422A publication Critical patent/KR20230024422A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

본 개시내용의 실시예들은 일반적으로 플라즈마 프로세싱 동안 이온 에너지 분포를 제어하기 위한 장치 및 방법들에 관한 것이다. 한 실시예에서, 장치는 기판에 기판 전압을 인가하기 위한 기판 전극 및 에지 링에 에지 링 전압을 인가하기 위해 임베딩되는 에지 링 전극을 갖는 본체를 갖는 기판 지지체를 포함한다. 장치는 기판 전극에 커플링되는 기판 전압 제어 회로, 및 에지 링 전극에 커플링되는 에지 링 전압 제어 회로를 더 포함한다. 기판 전극, 에지 링 전극, 또는 둘 모두는 기판, 에지 링, 또는 둘 모두에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성되는 전력 모듈에 커플링된다. 기판 프로세싱 동안 이온들의 에너지 분포 함수 폭을 제어하기 위한 방법들이 또한 설명된다.

Description

이온 에너지 분포를 제어하기 위한 장치 및 방법들
[0001] 본 개시내용의 실시예들은 일반적으로 기판의 플라즈마 프로세싱을 위한 장치 및 방법들에 관한 것으로, 구체적으로는, 플라즈마 프로세싱 동안 이온 에너지 분포를 제어하기 위한 장치 및 방법들에 관한 것이다.
[0002] 기판의 플라즈마 프로세싱 동안, 이온들은 기판 표면 처리, 에칭 및 증착에 대해 핵심적인 역할을 한다. 기판 표면에 충돌하는 이온들은 이온 에너지 분포 함수(ion energy distribution function; IEDF)에 의해 설명되는 다양한 에너지들을 가질 수 있다. IEDF에 대한 제어는 다양한 기판 프로세싱 방식들에 대한 중요한 요인일 수 있다. 그러나, IEDF를 제어하는 것은 도전 과제로 남아 있다. 예를 들면, 주기적인 교류 전압이 챔버의 전극(들)에 인가될 때, 플라즈마 외장(plasma sheath)이 기판 위에서 발생될 수 있다. 기판을 향해 흐르는 이온들은 전극에 인가되는 전압과 상관되는 플라즈마 외장 전압에 의해 가속된다. 동시에, 이온 전류가 기판을 대전시키고 기판 전위를 변경할 수 있는데, 이것은, 결국에는, 기판 표면에서의 IEDF가 또한 영향을 받도록, 예를 들면, 넓어지도록 플라즈마 외장 전압에 영향을 끼친다. 그러한 경우들, 및 다른 경우들에서 IEDF를 제어하기 위한 최신 방법들은 비효율적인 반복 루프들에 기초한다.
[0003] IEDF를 제어하기 위한 새롭고 개선된 방법들에 대한 요구가 있다.
[0004] 본 개시내용의 실시예들은 일반적으로 기판의 플라즈마 프로세싱을 위한 장치 및 방법들에 관한 것으로, 구체적으로는, 플라즈마 프로세싱 동안 이온 에너지 분포를 제어하기 위한 장치 및 방법들에 관한 것이다.
[0005] 본 개시내용의 실시예들은 이온 에너지 분포 함수(IEDF)를 제어하는 방법을 제공할 수 있다. 방법은 메인 펄스 발생기(main pulser)를 활성화하는 것에 의해 프로세싱 챔버의 전극에 전압을 도입하는 것 ― 메인 펄스 발생기는 IEDF 폭 제어 모듈에 커플링됨 ― , 및 IEDF 폭 제어 모듈의 전류 및 IEDF 폭 제어 모듈의 전압 또는 전압 미분(voltage derivative)을 측정하는 것을 포함한다. 방법은 IEDF 폭 제어 모듈의 전류 및 전압 또는 전압 미분에 기초하여 프로세싱 챔버의 이온 전류 및 프로세싱 챔버의 커패시턴스를 계산하는 것을 더 포함한다. 방법은 메인 펄스 발생기의 DC 전압에 대한 설정치, IEDF 폭 제어 모듈의 전압 또는 전압 미분에 대한 설정치, 또는 둘 모두를 결정하는 것, 및 메인 펄스 발생기의 DC 전압, IEDF 폭 제어 모듈의 전압 또는 전압 미분, 또는 둘 모두를, IEDF의 폭을 제어하기 위한 결정된 설정치들로 조정하는 것을 더 포함한다.
[0006] 본 개시내용의 실시예들은 이온 에너지 분포를 제어하기 위한 장치를 또한 제공할 수 있다. 장치는 기판 전압을 기판에 인가하기 위한 기판 전극이 임베딩된 기판 지지 부분을 갖는 본체(body)를 구비하는 기판 지지체(substrate support)를 포함한다. 본체는 기판 지지 부분에 인접하게 배치되는 에지 링 부분을 더 포함하는데, 에지 링 부분에는 에지 링에 에지 링 전압을 인가하기 위한 에지 링 전극이 임베딩되어 있다. 장치는 기판 전극에 커플링되는 기판 전압 제어 회로 및 에지 링 전극에 커플링되는 에지 링 전압 제어 회로를 더 포함한다. 기판에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성되는 전력 모듈에 기판 전극이 커플링되거나, 또는 에지 링에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성되는 전력 모듈에 에지 링 전극이 커플링되거나, 또는 이들의 조합이다. 기판 전압 제어 회로, 에지 링 전압 제어 회로, 또는 둘 모두는 전류 복귀 경로에 커플링되는 메인 펄스 발생기를 포함하는데, 전류 복귀 경로는 전력 모듈에 그리고 프로세싱 챔버에 커플링되며, 전력 모듈은 전압 소스, 전류 소스, 또는 이들의 조합을 포함한다.
[0007] 본 개시내용의 실시예들은 이온 에너지 분포를 제어하기 위한 장치를 또한 제공할 수 있다. 장치는 기판 전압을 기판에 인가하기 위한 기판 전극이 임베딩된 기판 지지 부분을 갖는 본체(body)를 구비하는 기판 지지체(substrate support)를 포함한다. 본체는 기판 지지 부분에 인접하게 배치되는 에지 링 부분을 더 포함하는데, 에지 링 부분에는 에지 링에 에지 링 전압을 인가하기 위한 에지 링 전극이 임베딩되어 있다. 장치는 기판 전극에 커플링되는 기판 전압 제어 회로 및 에지 링 전극에 커플링되는 에지 링 전압 제어 회로를 더 포함한다. 기판에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성되는 전력 모듈에 기판 전극이 커플링되거나, 또는 에지 링에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성되는 전력 모듈에 에지 링 전극이 커플링되거나, 또는 이들의 조합이다. 기판 전압 제어 회로, 에지 링 전압 제어 회로, 또는 둘 모두는 전력 모듈에 커플링되는 메인 펄스 발생기를 포함하는데, 전력 모듈은 프로세싱 챔버에 커플링되며, 전력 모듈은 전압 소스, 전류 소스, 또는 이들의 조합을 포함한다.
[0008] 본 개시내용의 실시예들은 이온 에너지 분포를 제어하기 위한 장치를 또한 제공할 수 있다. 장치는 기판 전압을 기판에 인가하기 위한 기판 전극이 임베딩된 기판 지지 부분을 갖는 본체(body)를 구비하는 기판 지지체(substrate support)를 포함한다. 본체는 기판 지지 부분에 인접하게 배치되는 에지 링 부분을 더 포함하는데, 에지 링 부분에는 에지 링에 에지 링 전압을 인가하기 위한 에지 링 전극이 임베딩되어 있다. 장치는 기판 전극에 커플링되는 기판 전압 제어 회로 및 에지 링 전극에 커플링되는 에지 링 전압 제어 회로를 더 포함한다. 기판에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성되는 전력 모듈에 기판 전극이 커플링되거나, 또는 에지 링에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성되는 전력 모듈에 에지 링 전극이 커플링되거나, 또는 이들의 조합이다. 기판 전압 제어 회로, 에지 링 전압 제어 회로, 또는 둘 모두는 전력 모듈에 커플링되는 메인 펄스 발생기를 포함하는데, 전력 모듈은 프로세싱 챔버에 커플링되며, 전력 모듈은 기판 척킹 및 바이어스 보상 모듈과 병렬이고, 전력 모듈은 전압 소스, 전류 소스, 또는 이들의 조합을 포함한다.
[0009] 본 개시내용의 실시예들은, IEDF 폭 제어 모듈을 포함하는 이온 에너지 분포를 제어하기 위한 장치를 또한 제공할 수 있는데, IEDF 폭 제어 모듈은 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 메인 펄스 발생기 출력(main pulser output)과 접지 사이에서 또는 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되고, 전압 소스 또는 전류 소스는 DC 전류 소스 또는 성형된 DC 펄스 전압 소스(shaped DC pulse voltage source) 또는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스를 포함함 ― ; 및 전압 소스 또는 전류 소스와 병렬로, 그리고 메인 펄스 발생기 출력과 접지 사이에서 또는 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되는 스위치를 포함한다. 장치는, 프로세서 상에서의 실행시, 이온 에너지 분포 함수(IEDF)의 폭을 제어하기 위한 동작들을 수행하는 명령어들을 포함하는 비일시적 컴퓨터 판독 가능 매체를 또한 포함하는데, 동작들은 메인 펄스 발생기의 메인 펄스 발생기 출력으로부터 프로세싱 챔버의 전극으로 제1 펄스 전압 파형을 전달하는 것 ― 메인 펄스 발생기 출력은 IEDF 폭 제어 모듈에 전기적으로 커플링됨 ― , IEDF 폭 제어 모듈로부터 흐르는 전류, IEDF 폭 제어 모듈에 의해 인가되는 전압, 및 IEDF 폭 제어 모듈과 프로세싱 챔버 내의 전극 사이의 노드에서의 전압 미분 중 적어도 하나를 측정하는 것, 측정된 전류, 측정된 전압 및 측정된 전압 미분 중 적어도 하나에 기초하여 프로세싱 챔버의 이온 전류 및 프로세싱 챔버의 커패시턴스를 계산하는 것, IEDF 폭 제어 모듈로부터 제공되는 전압 또는 전류에 대한 설정치 또는 IEDF 폭 제어 모듈로부터 제공되는 전압 미분에 대한 설정치를 결정하는 것; 및 IEDF의 폭을 제어하기 위해, IEDF 폭 제어 모듈로부터 제공되는 전압 또는 전류, 또는 IEDF 폭 제어 모듈로부터 제공되는 전압 미분을 조정하는 것을 포함한다.
[0010] 본 개시내용의 실시예들은, 펄스식 직류(direct current; DC) 전력 전달 시스템을 또한 제공할 수 있는데, DC 전력 전달 시스템은 DC 펄스 파형들을 메인 펄스 발생기 출력으로 전달하도록 구성되는 메인 펄스 발생기, 및 메인 펄스 발생기의 메인 펄스 발생기 출력에 전기적으로 커플링되는 전력 모듈 ― 전력 모듈은 형성된 플라즈마 내에서 이온들의 이온 에너지 분포 함수를 제어하도록 구성됨 ― 을 포함한다. 전력 모듈은 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 메인 펄스 발생기 출력과 접지 사이에서 전기적으로 커플링되고, 전압 소스 또는 전류 소스는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스, DC 전류 소스, 또는 성형된 DC 펄스 전압 소스 중 적어도 하나를 포함함 ― ; 및 전압 소스 또는 전류 소스와 병렬로, 그리고 메인 펄스 발생기 출력과 접지 사이에서 전기적으로 커플링되는 스위치를 포함할 수 있다.
[0011] 본 개시내용의 실시예들은 펄스식 직류(DC) 전력 전달 시스템을 또한 제공할 수 있는데, DC 전력 전달 시스템은, DC 펄스 파형들을 메인 펄스 발생기 출력으로 전달하도록 구성되는 메인 펄스 발생기; 및 메인 펄스 발생기의 출력에 전기적으로 커플링되는 전력 모듈 ― 전력 모듈은 형성된 플라즈마 내의 이온들의 이온 에너지 분포 함수를 제어하도록 구성됨 ― 을 포함하고, 전력 모듈은 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되도록 구성되고, 전압 소스 또는 전류 소스는 DC 전류 소스 또는 성형된 DC 펄스 전압 소스 또는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스를 포함함 ― , 및 전압 소스 또는 전류 소스에 병렬로 전기적으로 커플링되는 스위치를 포함한다.
[0012] 본 개시내용의 상기 기재된 피처들이 상세하게 이해될 수 있는 방식으로, 상기에서 간략하게 요약된 본 개시내용의 더욱 특정한 설명이 실시예들에 대한 참조에 의해 이루어질 수 있는데, 그들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 본 개시내용이 다른 동등하게 유효한 실시예들을 허용할 수 있기 때문에, 첨부의 도면들은 단지 예시적인 실시예들을 예시하고 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안된다는 것을 유의해야 한다.
[0013] 도 1은, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 프로세싱 챔버의 개략적인 단면도이다.
[0014] 도 2는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 프로세싱 챔버의 개략도이다.
[0015] 도 3a는, 본 개시내용의 적어도 하나의 실시예에 따른, 기판 상의 세 개의 상이한 바이어스 전압 파형들을 도시하는 예시적인 그래프이다.
[0016] 도 3b는, 본 개시내용의 적어도 하나의 실시예에 따른, 도 3a에서 도시되는 기판 상의 세 개의 상이한 바이어스 전압 파형들에 대한 IEDF 대 이온 에너지의 예시적인 플롯이다.
[0017] 도 4a는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 회로의 개략도이다.
[0018] 도 4b는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 회로의 개략도이다.
[0019] 도 4c는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 회로의 개략도이다.
[0020] 도 4d는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 회로의 개략도이다.
[0021] 도 5a는, 본 개시내용의 적어도 하나의 실시예에 따른, 기판 지지체 어셈블리의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략적 회로 다이어그램이다.
[0022] 도 5b는, 본 개시내용의 적어도 하나의 실시예에 따른, 도 5a에서 도시되는 예시적인 개략적 회로 다이어그램에 대한 V2 전압 파형 및 기판 전압 파형의 예시적인 플롯이다.
[0023] 도 5c는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 제어 회로이다.
[0024] 도 5d는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 제어 회로이다.
[0025] 도 5e는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 톱니 형상의 전압 출력들을 도시한다.
[0026] 도 6a는, 본 개시내용의 적어도 하나의 실시예에 따른, 기판 지지체 어셈블리의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략적 회로 다이어그램이다.
[0027] 도 6b는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 제어 회로이다.
[0028] 도 6c는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 제어 회로이다.
[0029] 도 6d는, 본 개시내용의 적어도 하나의 실시예에 따른, 기판 지지체 어셈블리의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략적 회로 다이어그램이다.
[0030] 도 6e는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 제어 회로이다.
[0031] 도 6f는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 제어 회로이다.
[0032] 도 7a는, 본 개시내용의 적어도 하나의 실시예에 따른, 기판 지지체 어셈블리의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략적 회로 다이어그램이다.
[0033] 도 7b는, 본 개시내용의 적어도 하나의 실시예에 따른, 기판 지지체 어셈블리의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략적 회로 다이어그램이다.
[0034] 도 8는, 본 개시내용의 적어도 하나의 실시예에 따른, 기판 지지체 어셈블리의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략적 회로 다이어그램이다.
[0035] 도 9a는, 본 개시내용의 적어도 하나의 실시예에 따른, 기판 지지체 어셈블리의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략적 회로 다이어그램이다.
[0036] 도 9b는, 본 개시내용의 적어도 하나의 실시예에 따른, 기판 지지체 어셈블리의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략적 회로 다이어그램이다.
[0037] 도 10는, 본 개시내용의 적어도 하나의 실시예에 따른, 기판 지지체 어셈블리의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략적 회로 다이어그램이다.
[0038] 도 11은, 본 개시내용의 적어도 하나의 실시예에 따른, IEDF 폭을 제어하는 방법의 플로우차트이다.
[0039] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해, 가능한 경우, 동일한 참조 번호들이 사용되었다. 하나의 실시예의 엘리먼트들 및 피처들은 추가적인 기재없이 다른 실시예들에서 유익하게 통합될 수 있다는 것이 고려된다.
[0040] 본 개시내용의 실시예들은 일반적으로 기판의 플라즈마 프로세싱을 위한 장치 및 방법들에 관한 것으로, 구체적으로는, 플라즈마 프로세싱 동안 이온 에너지 분포를 제어하기 위한 장치 및 방법들에 관한 것이다. 본원에서 설명되는 방법들 및 장치, 예를 들면, 회로들은 펄스식 DC 전력 공급부의 전압 파형의 형상(예를 들면, 좁은, 또는 조정 가능한 폭)에 대한 제어를 가능하게 한다. 본원에서 설명되는 실시예들은, 예를 들면, 단일 에너지 이온 가속을 포함하는 이온 에너지 분포 함수(IEDF)에 대한 제어를 추가로 가능하게 한다.
[0041] IEDF는 플라즈마 프로세스를 통해 기판을 프로세싱하는 데, 예컨대 기판의 표면에서 높은 종횡비 피처들을 에칭하는 데 유용한 제어 가능한 파라미터이다. 통상적으로, 펄스식 DC 바이어스들은 다음의 메커니즘에 따라 사인파 RF 바이어스들에 비교될 때 더 좁은 IEDF를 제공할 수 있다. 이온들이 펄스식 DC 기간 내에서 더 적게 시변하는 전기장에 의해 가속되기 때문에, 외장 내에서 이온들에 의해 획득되는 에너지는 사인파 RF 바이어스를 변경시킬 때보다 더 낮은 시간 변동을 또한 나타낸다. 결과적으로, 펄스식 DC 바이어스에 의해 가속되는 이온들은 사인파 RF 바이어스의 사용에 의해 생성되는 것들보다 더 좁은 IEDF를 갖는다. 그러나, 벌크 플라즈마로부터 기판으로의 이온 전류는 기판의 표면에서 전압 파형을 왜곡시키고 이온 에너지 분포를 넓힌다. 본원에서 설명되는 방법들 및 장치는, 예를 들면, 이 이온 전류를 보상하고 이온 에너지 분포의 폭을 능동적으로 제어할 수 있다.
[0042] 종래의 방법들 및 장치는 반복 제어 루프를 사용하여 이온 에너지 분포의 폭을 제어한다. 제어 알고리즘의 수렴 이전에, 플라즈마 파라미터들(예를 들면, 이온 전류, 외장 두께 및 IEDF 폭)의 추정은 정확하지 않다. 또한, 반복들을 사용하는 것에 의해 IEDF의 폭을 제어하는 것은 느리고 제어 알고리즘의 비수렴(non-convergence)을 초래할 수 있다.
[0043] 대조적으로, 본원에서 설명되는 방법들 및 장치는 주어진 IEDF 폭을 달성하기 위한 이온 전류 및 보상 전류를 결정하기 위해, 반복 없이, 단일 루프 프로세스를 활용한다. 따라서, 본원에서 설명되는 방법들 및 장치는 최신 기술보다 더 빠르게 IEDF의 소망되는 상태, 예를 들면, 좁은 IEDF에 도달한다. 이것은, 예를 들면, 보상 전류의 해(solution)를 결정함에 있어서 반복을 사용하지 않는 것에 기인한다. 하기에서 추가로 논의되는 바와 같이, 도 1은 본원에서 설명되는 방법들 중 하나 이상을 수행하기 위해 사용될 수 있는 장치(예를 들면, 프로세싱 챔버(100))의 한 예를 예시한다.
[0044] 간략하게, 그리고 일부 실시예들에서, 기판 지지체 어셈블리는 본체를 포함하는데, 본체는 기판 지지 부분 및/또는 에지 링 부분을 포함한다. 기판 전극은 기판에 기판 전압을 인가하기 위해 기판 지지 부분에 임베딩된다. 기판 전압 제어 회로는 기판 전극에 커플링된다. 에지 링 부분은 에지 링 근처의 프로세스 챔버의 내부 볼륨의 영역에 에지 링 전압을 인가하기 위한 내부에 임베딩되는 에지 링 전극을 포함한다. 에지 링 전압 제어 회로는 에지 링 전극에 커플링된다. 적어도 하나의 DC 펄스 소스, 예컨대 성형된 DC 펄스 소스는 기판 전압 제어 회로 및/또는 에지 링 전압 제어 회로에 커플링된다. 기판 전압 회로 및/또는 에지 링 전압 제어 회로는 각각의 회로에 제공되는 전압 및/또는 전력의 양을 조정하도록 튜닝 가능하다. 예를 들면, 기판 전압 제어 회로 및/또는 에지 링 전압 제어 회로를 튜닝하는 것을 통한 전압 진폭의 조정은 이온 에너지 분포의 조정 및 제어를 초래한다. 일부 실시예들에서, 하기에서 추가로 논의될 바와 같이, 에지 링 전압 제어 회로 및/또는 기판 전압 제어 회로는 이온 에너지 분포를 조정 및 제어하기 위해 소망되는 전압을 인가하는 것과 대비하여 일정한 전류를 제공하도록 구성된다.
[0045] 일부 실시예들에서, IEDF 폭의 제어 회로가 기판 지지체에 커플링된다. IEDF 폭의 제어 회로는 메인 펄스식 DC 전력 공급부 내부에 통합될 수 있거나, 또는 별개의 모듈로서, 또는 바이어스 보상 모듈을 갖는 통합 모듈로서 통합될 수 있다.
프로세싱 시스템 구성들의 예
[0046] 도 1은, 본 개시내용의 적어도 하나의 실시예에 따른, 프로세싱 챔버(100)의 개략적인 단면도이다. 프로세싱 챔버(100)는 본원에서 설명되는 방식들을 실시하도록 구성된다. 이 실시예에서, 프로세싱 챔버는 플라즈마 프로세싱 챔버, 예컨대 반응성 이온 에칭(RIE) 플라즈마 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버는 플라즈마 강화 증착 챔버, 예를 들면, 플라즈마 강화 화학적 증착(plasma-enhanced chemical vapor deposition; PECVD) 챔버, 플라즈마 강화 물리적 증착(plasma enhanced physical vapor deposition; PEPVD) 챔버, 또는 플라즈마 강화 원자 층 증착(plasma-enhanced atomic layer deposition; PEALD) 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버는 플라즈마 처리 챔버, 또는 플라즈마 기반의 이온 주입 챔버, 예를 들면, 플라즈마 도핑(plasma doping; PLAD) 챔버이다.
[0047] 프로세싱 챔버(100)는 내부 볼륨(124)을 함께 정의하는 챔버 본체(101) 및 그 상에 배치되는 덮개(102)를 포함한다. 챔버 본체(101)는 통상적으로 전기 접지(103)에 커플링된다. 기판 지지체 어셈블리(104)가 내부 볼륨 내에 배치되어 프로세싱 동안 그 상에서 기판(105)을 지지한다. 에지 링(106)은 기판 지지체 어셈블리(104) 상에 위치 결정되고 기판(105)의 주변을 둘러싼다. 프로세싱 챔버(100)는 프로세싱 챔버(100) 내에서 반응성 종의 플라즈마를 생성하기 위한 유도적으로 커플링된 플라즈마 장치(107), 및 프로세싱 챔버(100)의 시스템들 및 서브시스템들을 제어하도록 적응되는 컨트롤러(108)를 또한 포함한다. 일부 실시예들에서, 유도적으로 커플링된 플라즈마 장치(107)는 기판 지지체 어셈블리(104) 위에 배치되는 접지된 샤워헤드에 의해 대체될 수 있고, RF 전력은 기판 아래에 위치 결정되는 전극(예를 들면, 기판 전극(109))으로부터 전달되어 용량적으로 커플링된 플라즈마를 생성한다.
[0048] 기판 지지체 어셈블리(104)는 내부 볼륨(124) 내에 배치된다. 기판 지지체 어셈블리(104)는 기판 지지체(152)를 일반적으로 포함한다. 기판 지지체(152)는, 프로세싱될 기판(105) 아래에 놓여 지지하도록 구성되는 기판 지지 부분(154) 및 에지 링(106)을 지지하도록 구성되는 에지 링 부분(156)을 포함하는 정전 척(electrostatic chuck; 150)을 포함한다. 기판 지지체 어셈블리(104)는 히터 어셈블리(169)를 추가적으로 포함할 수 있다. 기판 지지체 어셈블리(104)는 냉각 베이스(131)를 또한 포함할 수 있다. 냉각 베이스(131)는 대안적으로 기판 지지체 어셈블리(104)로부터 분리될 수 있다. 기판 지지체 어셈블리(104)는 지지 페디스털(125)에 제거 가능하게 커플링될 수 있다. 지지 페디스털(125)는 챔버 본체(101)에 장착된다. 지지 페디스털(125)는 옵션 사항으로(optionally) 설비 플레이트(facility plate; 180)를 포함할 수 있다. 기판 지지체 어셈블리(104)는, 기판 지지체 어셈블리(104)의 하나 이상의 컴포넌트들의 개장(refurbishment)을 허용하기 위해 지지 페디스털(125)로부터 주기적으로 제거될 수 있다. 기판 전달을 용이하게 하기 위해 종래에 공지되어 있는 바와 같이 리프팅 핀들(146)이 기판 지지체 어셈블리(104)를 통해 배치된다.
[0049] 설비 플레이트(180)는 정전 척(150) 및 냉각 베이스(131)로부터의 복수의 유체 연결부들을 수용하도록 구성된다. 설비 플레이트(180)는 정전 척(150) 및 히터 어셈블리(169)로부터의 복수의 전기 연결부들을 수용하도록 또한 구성된다. 복수의 전기 연결부들은 기판 지지체 어셈블리(104) 외부에서 또는 내부에서 이어질 수 있고, 한편 설비 플레이트(180)는 개개의 종단에 대한 연결들을 위한 인터페이스를 제공한다.
[0050] 기판 지지체 어셈블리(104)의 상부 표면(160) 상에 배치되는 기판(105)에 기판 전압 파형을 인가하기 위한 기판 전극(109)이 정전 척(150)의 기판 지지 부분(154) 내에 임베딩된다. 에지 링 부분(156)은 에지 링(106)에 에지 링 전압 파형을 인가하기 위한 내부에 임베딩되는 에지 링 전극(111)을 갖는다. 에지 링 IEDF 폭 제어 회로(155)가 에지 링 전극(111)에 커플링된다. 기판 IEDF 폭 제어 회로(158)가 기판 전극(109)에 커플링된다. 하나의 실시예에서, 제1 성형된 DC 펄스 전압 소스(159)가 에지 링 IEDF 폭 제어 회로(155) 및 기판 IEDF 폭 제어 회로(158) 중 하나 또는 둘 모두에 커플링된다. 본원에서 제공되는 개시내용이 제1 성형된 DC 펄스 전압 소스(159) 및 제2 성형된 DC 펄스 전압 소스(161)를 "성형된" DC 펄스 전압 소스들인 것으로 언급하지만, 이 명명법 또는 명칭들은 이들 DC 펄스 전압 소스들에 의해 공급될 수 있는 펄스식 전압 파형들의 타입에 관한 제한인 것으로 의도되는 것은 아니다. 다른 실시예에서, 도 1에서 도시되는 바와 같이, 제1 성형된 DC 전압 소스(159)는 에지 링 IEDF 폭 제어 회로(155)에 커플링되고 제2 성형된 DC 전압 소스(161)는 기판 IEDF 폭 제어 회로(158)에 커플링된다. 에지 링 IEDF 폭 제어 회로(155) 및 기판 IEDF 폭 제어 회로(158)는 독립적으로 튜닝 가능하다. 기판 전극(109)은 프로세싱 동안 정전 척(150)을 사용한 상부 표면(160)에 대한 기판(105)의 정전 척킹을 용이하게 하기 위해 척킹 전력 소스(115)에 추가로 커플링된다. 척킹 전력 소스(115)는, 기판 지지체 어셈블리(104)의 기판 지지 부분(154) 내에 배치되는 임베딩된 전극을 사용하여 기판 지지체 어셈블리(104)의 상부 표면(160)에 기판(105)을 "척킹" 하도록 구성되는 고전압 DC 전력 공급부를 포함할 수 있다. 일부 실시예들에서, 기판 지지 부분(154) 내에 배치되는 임베딩된 전극은 기판 전극(109)이다. 이 구성에서, 기판 전극(109)은 유전체 재료(예를 들면, AlN, AlOx, 등)에서 배치되고 기판 지지체 어셈블리(104)의 상부 표면(160)으로부터 약 0.1 mm 내지 약 1 mm의 거리에서 위치 결정된다. 일부 실시예들에서, 유전체 재료 및 층 두께는, 예를 들면, 유전체 재료의 층의 커패시턴스가 약 5 nF 내지 약 50 nF이도록, 예컨대, 약 7 nF 내지 약 10 nF이도록 선택될 수 있다.
[0051] 유도적으로 커플링된 플라즈마 장치(107)는 덮개(102) 위에 배치되고 플라즈마(116)를 생성하기 위해 프로세싱 챔버(100)의 내부 볼륨(124) 내의 가스들에 RF 전력을 유도적으로 커플링하도록 구성된다. 유도적으로 커플링된 플라즈마 장치(107)는 덮개(102) 위에 배치되는 제1 코일(118) 및 제2 코일(120)을 포함한다. 각각의 코일(118, 120)의 상대적 포지션, 직경들의 비율, 및/또는 각각의 코일(118, 120)에서의 턴들의 수 각각은 형성되고 있는 플라즈마(116)의 프로파일 또는 밀도를 제어하기 위해 소망되는 대로 조정될 수 있다. 제1 및 제2 코일들(118, 120) 각각은 RF 피드 구조물(123)을 통해 매칭 네트워크(122)를 통해 RF 전력 공급부(121)에 커플링된다. RF 전력 공급부(121)는, 비록 다른 주파수들 및 전력들이 특정한 애플리케이션들을 위해 소망에 따라 활용될 수 있지만, 50 kHz 내지 13.56 MHz의 범위 내의 튜닝 가능한 주파수에서 최대 약 4000 W(그러나 약 4000 W로 제한되지는 않음)를 예시적으로 생성할 수 있다.
[0052] 일부 예들에서, 전력 분배기(126), 예컨대 분배 커패시터는, 개개의 제1 및 제2 코일들(118, 120)에 제공되는 RF 전력의 상대적인 양을 제어하기 위해, RF 피드 구조물(123)과 RF 전력 공급부(121) 사이에서 제공될 수 있다. 다른 실시예들에서, 도 2와 관련하여 하기에서 논의되는 바와 같이, 용량적으로 커플링된 플라즈마 장치는 덮개(102)를 대체할 수 있고, 기판 지지체 어셈블리(104) 위에 배치되는 샤워헤드(도시되지 않음) 및/또는 전도성 플레이트(도시되지 않음)를 포함할 수 있고, RF 소스에 의해 구동될 수 있거나 또는 접지될 수 있다.
[0053] 프로세싱 챔버(100)의 내부를 가열하는 것을 용이하게 하기 위해 히터 엘리먼트(128)가 덮개(102) 상에 배치될 수 있다. 히터 엘리먼트(128)는 덮개(102)와 제1 및 제2 코일들(118, 120) 사이에서 배치될 수 있다. 일부 예들에서, 히터 엘리먼트(128)는 저항성 가열 엘리먼트를 포함하고 소망되는 범위 내에서 히터 엘리먼트(128)의 온도를 제어하기에 충분한 에너지를 제공하도록 구성되는 전력 공급부(130), 예컨대 AC 전력 공급부에 커플링된다.
[0054] 동작 동안, 기판(105), 예컨대 반도체 기판 또는 플라즈마 프로세싱에 적절한 다른 기판은 기판 지지체 어셈블리(104) 상에 배치된다. 기판 리프트 핀들(146)은 기판 지지체 어셈블리(104) 상으로 기판(105)의 이송을 지원하기 위해 기판 지지체 어셈블리(104)에서 이동 가능하게 배치된다. 기판(105)의 위치 결정 이후, 프로세스 가스들이 가스 패널(132)로부터 진입 포트들(134)을 통해 챔버 본체(101)의 내부 볼륨(124) 안으로 공급된다. 프로세스 가스들은 RF 전력 공급부(121)로부터의 전력을 제1 및 제2 코일들(118, 120)에 인가하는 것에 의해 프로세싱 챔버(100)의 내부 볼륨(124)에서 플라즈마(116)로 점화된다. 프로세싱 챔버(100)의 내부 볼륨(124) 내의 압력은 밸브(136) 및 진공 펌프(138)를 사용하여 제어될 수 있다.
[0055] 프로세싱 챔버(100)는 프로세싱 동안 프로세싱 챔버(100)의 동작을 제어하기 위해 컨트롤러(108)를 포함한다. 컨트롤러(108)는 중앙 프로세싱 유닛(central processing unit; CPU)(140), 메모리(142) 및 CPU(140)에 대한 지원 회로들(144)을 포함하고 프로세싱 챔버(100)의 컴포넌트들의 제어를 용이하게 한다. 컨트롤러(108)는 다양한 챔버들 및 하위 프로세서들을 제어하기 위한 산업 환경에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 메모리(142)(예를 들면, 비휘발성 메모리)는 본원에서 설명되는 방식으로 프로세싱 챔버(100)의 동작을 제어하기 위해 실행 또는 호출될 수 있는 소프트웨어(소스 또는 오브젝트 코드)를 저장한다. 소프트웨어는, 프로세싱 유닛에 의해 실행될 때, 본원에서 설명되는 방법들 중 하나 이상을 수행하도록 구성된다. 예를 들면, 컨트롤러(108)는, 프로세스 챔버에서의 기판의 프로세싱 동안, 제1 성형된 DC 전압 소스(159), 제2 성형된 DC 전압 소스(161), 에지 링 IEDF 폭 제어 회로(155), 및 기판 IEDF 폭 제어 회로(158)를 제어하도록 구성된다.
[0056] 도 2는, 본 개시내용의 적어도 하나의 실시예에 따른, 프로세싱 챔버(200)의 개략도이다. 프로세싱 챔버(200)는 본원에서 설명되는 방식들을 실시하도록 구성된다. 프로세싱 챔버(100)와 마찬가지로, 프로세싱 챔버(200)는 플라즈마 프로세싱 챔버, 예컨대 상기에서 설명되는 것들이다.
[0057] 프로세싱 챔버(200)는 도 1에서 설명되는 바와 같이 기판 지지체 어셈블리(104) 상에 배치되는 기판(105)을 포함한다. 에지 링(106)은 기판 지지체 어셈블리(104) 상에 위치 결정되고 기판(105)의 주변을 둘러싼다. 도시되지는 않지만, 용량적으로 커플링된 플라즈마 장치가 기판 위에(통상적으로 챔버 덮개 위에) 배치된다. 용량적으로 커플링된 플라즈마 장치는 용량적으로 커플링된 플라즈마 장치를 생성하기 위해 기판 아래의 전극(예를 들면, 전극(109))으로부터 RF 전력이 전달되는 샤워헤드 및 이온 억제기(ion suppressor)를 포함할 수 있다. 컨트롤러(108)는 프로세싱 챔버(200)의 시스템들 및 서브시스템들을 제어하도록 적응된다. 상기에서 언급되는 바와 같이, 메모리(142)는 본원에서 설명되는 방식으로 프로세싱 챔버(200)의 동작을 제어하기 위해 실행 또는 호출될 수 있는 소프트웨어(소스 또는 목적 코드)를 저장한다. 컨트롤러(108)는 제1 성형된 DC 전압 소스(159), 제2 성형된 DC 전압 소스(161), 에지 링 IEDF 폭 제어 회로(155), 및/또는 기판 IEDF 폭 제어 회로(158)를 제어하도록 구성된다. 하기에서 논의되는 도 4a 내지 도 4d는 IEDF 폭 제어 모듈을 펄스 발생기들에 연결하는 상이한 구성들을 도시한다.
[0058] 도 2에서 예시되는 기판 지지체 어셈블리(104), 설비 플레이트(180), 기판 전극(109), 및 에지 링 전극(111)은 도 1과 관련하여 논의되는 것과 동일할 수 있다. 에지 링 IEDF 폭 제어 회로(155)가 에지 링 전극(111)에 커플링된다. 기판 IEDF 폭 제어 회로(158)가 기판 전극(109)에 커플링된다. 하나의 실시예에서, 제1 성형된 DC 펄스 전압 소스(159)가 에지 링 IEDF 폭 제어 회로(155) 및 기판 IEDF 폭 제어 회로(158) 중 하나 또는 둘 모두에 커플링된다. 다른 실시예에서, 제1 성형된 DC 전압 소스(159)는 에지 링 IEDF 폭 제어 회로(155)에 커플링되고 제2 성형된 DC 전압 소스(161)는 기판 IEDF 폭 제어 회로(158)에 커플링된다. 에지 링 IEDF 폭 제어 회로(155) 및 기판 IEDF 폭 제어 회로(158)는, 제1 성형된 DC 펄스 전압 소스(159) 및 제2 성형된 DC 펄스 전압 소스(161)에 의해 에지 링 전극(111) 또는 기판 전극(109)에 각각 제공되는 전압 파형의 기울기를 조정하도록 독립적으로 튜닝 가능하다. 기판 전극(109)은 프로세싱 동안 정전 척(150)을 사용한 상부 표면(160)에 대한 기판(105)의 척킹을 용이하게 하기 위해 척킹 전력 소스(115)에 추가로 커플링된다.
[0059] 프로세싱 챔버(200)의 동작 및 기판(105)의 프로세싱은 프로세싱 챔버(100)의 것과 유사한 방식으로 수행될 수 있다. 일부 실시예들에서, 프로세싱 시스템 구성들은 기판에 도달하는 플라즈마 여기 종의 타입 및 양을 제어하기 위해 프로세싱 챔버 내부에 위치 결정되는 이온 억제기를 포함한다. 일부 실시예들에서, 이온 억제기 유닛은 플라즈마 생성 유닛의 전극으로서 또한 작용할 수 있는 천공 플레이트이다. 이들 및 다른 실시예들에서, 이온 억제기는 기판과 접촉하는 반응 영역(예를 들면, 내부 영역(124))으로 가스들 및 여기 종을 분배하는 샤워헤드일 수 있다. 일부 실시예들에서, 이온 억제는 천공된 플레이트 이온 억제기 및 샤워헤드에 의해 실현되는데, 플라즈마 여기 종은 반응 영역에 도달하기 위해 이들 둘 모두를 통과한다.
[0060] 성형된 DC 전압 소스(159)에 의해 기판(또는 웨이퍼)에 전압이 인가되면, 파형이 발생한다. 도 3a는 상이한 바이어스 전압 파형들을 도시한다. 파형은 두 개의 스테이지들을 포함한다: 이온 전류 스테이지 및 외장 붕괴 스테이지. 이온 전류 스테이지의 시작에서, 웨이퍼 전압의 강하가 기판 위에 고전압 외장을 생성하는데, 이것은 양이온들을 기판쪽으로 가속시킨다. 양이온들은 기판 표면 상에서 양전하를 증착하고 기판 전압을 점차적으로 양으로(즉, 더 적은 음의 전압) 증가시키는 경향이 있다. 성형된 DC 전압 소스(159)에 의해 구형파(square wave)가 공급되는 경우, 기판을 향해 흐르는 이온 전류는, 트레이스(305)에 의해 도시되는 바와 같이, 기판 전압의 양의 기울기를 생성한다. 이온 전류 위상의 시작과 끝 사이의 전압 차이는 IEDF 폭을 결정한다. 전압 차이가 더 클수록, IEDF 폭은 넓어진다(도 3b). 단일의 에너지 이온들 및 더 좁은 IEDF 폭을 달성하기 위해, 이온 전류 위상에서 기판 전압 파형(예를 들면, 트레이스(310))을 평탄화하는(예를 들면, 이온 전류 곡선의 기울기를 마이크로초(㎲)당 제로 볼트에 더 가깝게 감소시키는) 동작들이 수행된다. 일부 실시예들에서, 트레이스(315)의 기판 파형에 의해 도시되는 바와 같이, 특정한 IEDF 폭을 달성하기 위해 전압이 인가될 수 있다.
[0061] 이온 전류 스테이지의 끝에서, 기판 전압은 벌크 플라즈마 전압으로 상승하고 외장이 붕괴되고, 그 결과 전자들은 플라즈마로부터 기판 표면으로 이동하고 기판 표면에 있는 양의 전하들을 중화한다. 결과적으로, 기판의 표면은 다음 번 펄스 파형 사이클을 위해 리셋된다.
[0062] 일반적으로, 제1 및 제2 성형된 DC 전압 소스들(159 및 161)의 출력은, 전압이, 접지를 기준으로 양의 또는 음의 전압 방향 중 어느 하나에서, 제1 전압 레벨로부터 제2 전압 레벨로 변하는 적어도 하나의 부분을 포함하는 전압 파형을 생성하도록 구성된다. 프로세싱하는 동안, 펄스 발생기들은 제1 전압 레벨과 제2 전압 레벨 사이를 주기적으로 교대하는 펄스 전압 파형을 생성한다. 펄스 전압 파형의 일부 동안 더 높은 전압 레벨의 기간은 외장 붕괴 스테이지에 대응한다. 펄스 전압 파형의 일부 동안 더 낮은 전압 레벨의 기간은 이온 전류 스테이지에 대응한다. 도 4a 내지 도 4d, 도 5a, 도 6a 및 도 7 내지 도 10에서의 제1 및 제2 성형된 DC 전압 소스들(159 및 161)의 개략적인 표현들이 양의 전압 출력을 갖는 DC 전압 소스들을 예시하지만, 이 구성은 단지 예로서 제공되며 본원에서 제공되는 개시내용의 범위에 관한 제한이 되도록 의도되는 것은 아닌데, 그 이유는, 본원에서 또한 논의되는 바와 같이 제1 및/또는 제2 성형된 DC 전압 소스들(159 및 161)이 소망되는 전극에 제공되는 다른 펄스식 전압 출력을 확립하도록 대안적으로 구성될 수 있기 때문이다.
예시적인 회로들
[0063] 도 4a는 예시적인 회로(465)의 개략도이다. 하기에서 설명되는 바와 같이, 일부 실시예들에서, 도 4a에서 예시되는 예시적인 회로는 도 5a 및 도 6a의 회로 다이어그램에 대응한다. 도 5a 및 도 6a는, 예를 들면, 제2 전력 모듈의 회로부(circuitry)가 상이하다.
[0064] 예시적인 회로(465)는 직렬 인덕터(series inductor; 468) 및 저항기(469)를 통해 제2 전력 모듈(470)에 직렬로 커플링되는 펄스식 DC 전력 공급부(466)를 포함한다. 제2 전력 모듈(470)은 이온 에너지 분포 함수(IEDF)의 폭을 변조한다. 선택적인(optional) 차단 커패시터(blocking capacitor; 471)가 플라즈마 챔버 부하(472)와 회로(465)의 나머지 사이에서 존재할 수 있다. 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 조합에 의해 실현될 수 있는 도시되지 않은 컨트롤러는 도 4a에서 표현되는 다양한 컴포넌트들을 제어하기 위해 활용된다.
[0065] 성형된 DC 전력 공급부(466)는 적어도 두 개의 전압 레벨들 ― 저전압 레벨 및 고전압 레벨 ― 을 갖는 전압 파형을 생성한다. 저전압 레벨은 이온 전류 스테이지에 대응한다. 고전압 레벨은 외장 붕괴 스테이지에 대응한다. 이온 전류 스테이지에서, 제2 전력 모듈(470)은, 도 3a에서 트레이스들(305, 310, 및 315)로서 도시되는, 전압 대 시간의 기울기를 변조한다. 상이한 기울기들은 도 3b에서 도시되는 바와 같이 상이한 IEDF 폭들을 초래한다. 가장 편평한 기울기(예를 들면, 트레이스(305), 도 3a)는 도 3b에서의 가장 좁은 IEDF 폭에 대응한다.
[0066] 도 4b는 예시적인 회로(475)의 개략도이다. 도시되는 바와 같이, 도 4b는 직렬 인덕터(468) 및 저항기(469)를 스위치(479)로 교체하는 것에 의해 도 4a와는 상이하다. 스위치(479)는 펄스식 DC 전력 공급부(476) 및 제2 전력 모듈(478)과 직렬로 연결된다. 이온 전류 스테이지 동안, 스위치(479)는 닫힌다. 외장 붕괴 스테이지 동안, 스위치는 개방되거나 또는 닫힐 수 있다. 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 조합에 의해 실현될 수 있는 도시되지 않은 컨트롤러는 도 4b에서 표현되는 다양한 컴포넌트들을 제어하기 위해 활용된다.
[0067] 도 4c는 예시적인 회로(485)의 개략도이다. 하기에서 설명되는 바와 같이, 일부 실시예들에서, 도 4c에서 예시되는 예시적인 회로(485)는 도 7 및 도 8의 회로 다이어그램들에 대응한다. 예시적인 회로(485)는 접지에 커플링되는 성형된 DC 전압 소스(486)를 포함한다. 선택적인 차단 커패시터(487)가 성형된 DC 전압 소스(486)와 제2 전력 모듈(488) 사이에서 존재할 수 있다. 제2 전력 모듈(488)은 IEDF의 폭을 변조한다. 제2 전력 모듈(488)은 플라즈마 챔버 부하(489)에 추가로 커플링된다. 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 조합에 의해 실현될 수 있는 도시되지 않은 컨트롤러는 도 4c에서 표현되는 다양한 컴포넌트들을 제어하기 위해 활용된다.
[0068] 성형된 DC 전압 소스(486)는 두 개의 전압 레벨들 ― 저전압 레벨 및 고전압 레벨 ― 을 갖는 전압 파형을 생성한다. 저전압 레벨은 이온 전류 스테이지에 대응한다. 고전압 레벨은 외장 붕괴 스테이지에 대응한다. 이온 전류 스테이지에서, 제2 전력 모듈(488)은 전압 기울기 대 시간을 생성한다. 기판 상에서의 결과적으로 나타나는 전압 파형은 성형된 DC 전압 소스(486) 및 제2 전력 모듈(488)의 출력 전압의 합이며, 이것은 변조될 수 있고, 그에 의해, IEDF 폭은 변조된다.
[0069] 도 4d는, 본 개시내용의 적어도 하나의 실시예에 따른, 예시적인 회로(490)의 개략도이다. 하기에서 설명되는 바와 같이, 일부 실시예들에서, 예시적인 회로(490)는 도 9 및 도 10의 회로 다이어그램들에 대응한다. 예시적인 회로(490)는 접지에 커플링되는 성형된 DC 전압 소스(491), 제2 전력 모듈(492), 및 기판 척킹 및 바이어스 보상 모듈(493)을 포함한다. 스위치(495)는 기판 척킹 및 바이어스 보상 모듈(493)과 직렬로 연결된다. 제2 전력 모듈(492) 및 기판 척킹 및 바이어스 보상 모듈(493)은 병렬로 연결되는데, 일단은 성형된 DC 전압 소스(491)에 커플링되고 타단은 플라즈마 챔버 부하(494)에 커플링된다. 제2 전력 모듈(492)은 IEDF의 폭을 변조한다. 제2 전력 모듈(492) 및 기판 척킹 및 바이어스 보상 모듈(493)은 플라즈마 챔버 부하(494)에 추가로 커플링된다. 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 조합에 의해 실현될 수 있는 도시되지 않은 컨트롤러는 도 4d에서 표현되는 다양한 컴포넌트들을 제어하기 위해 활용된다. 도 4a 내지 도 4d와 관련하여 설명되는 각각의 컨트롤러 각각은 본원에서 개시되는 컨트롤러(108)의 일부일 수 있다.
[0070] 성형된 DC 전압 소스(491)는 적어도 두 개의 전압 레벨들 ― 저전압 레벨 및 고전압 레벨 ― 을 갖는 전압 파형을 생성한다. 저전압 레벨은 이온 전류 스테이지에 대응한다. 고전압 레벨은 외장 붕괴 스테이지에 대응한다. 이온 전류 스테이지에서, 제2 전력 모듈(492)은 전압 기울기 대 시간을 생성한다. 기판 상에서의 결과적으로 나타나는 전압 파형은 성형된 DC 전압 소스(491) 및 제2 전력 모듈(492)의 출력 전압의 합이며, 이것은 변조될 수 있고, 그에 의해, IEDF 폭은 변조된다. 스위치(495)는 이온 전류 스테이지에서 개방 상태에 있고, 그 결과, 척킹 및 바이어스 보상 모듈(493)은 플라즈마 챔버 부하의 전압을 변조하지 않는다. 외장 붕괴 스테이지에서, 스위치(495)는 닫히고, 척킹 및 바이어스 보상 모듈(493)은 기판 척킹 전압을 설정치로 리셋한다.
[0071] 도 5a는 기판 지지체 어셈블리(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 기판 전압 제어 회로/에지 링 전압 제어 회로(500)의 실시예를 예시하는 개략적인 회로 다이어그램이다. 회로(500)는 각각의 이온 전류 위상의 시작에서 기판 전압(도 3a의 전압 강하(voltage droop)에 대응함)을 리셋하기 위한 메인 펄스 발생기(502)를 포함한다. 메인 펄스 발생기(502)는 접지(501)에 커플링되는 제1 또는 제2 성형된 DC 전압 소스(159, 161)일 수 있다. 메인 펄스 발생기(502)는 메인 펄스 발생기 출력(505)(예를 들면, 메인 펄스 발생기의 출력 연결부) 및 전도성 엘리먼트(507)(예를 들면, 케이블)를 통해 전류 복귀 경로(503)에 커플링된다. 전류 복귀 경로(503)는 저항기(506)와 직렬로 IEDF 폭 제어 모듈(508)(예를 들면, 도 4a 및 도 4b의 제2 전력 모듈)에 커플링되는 인덕터(504)를 포함한다. IEDF 폭 제어 모듈(508)은 이온 에너지 분포 함수(IEDF) 폭을 변조한다.
[0072] IEDF 폭 제어 모듈(508)은, 병렬로 커플링되고 접지(517)에 커플링되는, 트랜지스터-트랜지스터 로직(transistor-transistor logic; TTL) 신호 소스(510) 및 스위치(512), 선택적인 다이오드(514), 선택적인 커패시턴스(516), 및 제3 성형된 DC 펄스 전압 소스(518)를 포함하는 회로로서 모델링될 수 있다. 다이오드(514)는 스위치(512) 및 제3 성형된 DC 펄스 전압 소스(518)를 보호하기 위한 플라이백 다이오드(flyback diode)이다. 일부 실시예들에서, 차단 커패시턴스(520)가 전류 복귀 경로(503)와 챔버 커패시턴스(536) 사이에서 존재한다. 챔버 커패시턴스(536)는, 예를 들면, 기판 전극(109)과 기판 사이에서, 또는 에지 링 전극(111)과 에지 링(106) 사이에서 형성되는 임피던스의 일부일 수 있다. 일부 실시예들에서, 차단 커패시턴스(520)는 기판 척킹 및 바이어스 보상 모듈(522)에 또한 커플링된다.
[0073] 기판 척킹 및 바이어스 보상 모듈(522)은, 저항기(526)에 직렬로 커플링되는 다이오드(524), 및 DC 전압 소스(528), 및 커패시턴스(532) 및 접지(534)에 직렬로 커플링되는 저항기(530)를 포함하는 회로이다. DC 전압 소스(528)는 특정한 프로세스 챔버 구성에 대해 소망에 따라 임의의 펄스 파형 경우들에서 양의 또는 음의 극성을 가지도록 구성될 수 있다. 커패시턴스(536)는 표류 커패시턴스(stray capacitance; 538) 및 부하의 플라즈마 외장(540) 부분에 추가로 커플링된다. 기판 척킹 및 바이어스 보상 모듈(522)은 표류 커패시턴스(538)에 추가로 커플링된다. 플라즈마 외장(540)은 전류 소스(544)와 병렬로 커플링되는 외장 커패시턴스(542) 및 접지(548)에 커플링되는 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 외장 모델). 일부 실시예들에서, 전류 복귀 경로에서의 직렬 인덕터(504) 및 저항기(506)는 스위치(479)(도 4b)에 의해 대체될 수 있다. 스위치(479)는 이온 전류 스테이지 동안 닫힌다.
[0074] 사용 중에, 그리고 도 5a에서 예시되는 구성의 경우, 제3 성형된 DC 펄스 전압 소스(518)는 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. TTL 신호 소스(510)에 의해 제어되는 스위치(512)는, 도 5b의 플롯(550)에서 도시되는 바와 같이, 메인 펄스 발생기(502)와 동기화된다. 스위치(512)의 포지션과의 메인 펄스 발생기로부터의 출력의 동기화는 컨트롤러(108) 상에서 실행되는 하나 이상의 소프트웨어 애플리케이션들의 사용에 의해 제어될 수 있다. 스위치(512)는 메인 펄스 발생기(502)의 전압이 외장 붕괴 스테이지의 입구에서 인가되기 이전에 닫힐 수 있다. 스위치(512)는 전류 복귀 경로(503)를 접지에 연결하기 위해 외장 붕괴 스테이지 동안 닫힌 상태로 유지될 수 있다. 메인 펄스 발생기(502)의 전압이 이온 전류 스테이지의 입구에서 강하된 이후, 스위치(512)는 개방될 수 있고, 그 결과, 제3 성형된 DC 펄스 전압 소스(518)가 이온 전류 스테이지 동안 IEDF를 변조하도록 기능한다. 선택적인 커패시턴스(516)는 기판 전압 파형의 감도를 제3 성형된 DC 펄스 전압 소스(518)로 조정하기 위해 사용될 수 있다. 커패시턴스(542)는 상이한 프로세스 조건들에서 상이한 플라즈마 외장 커패시턴스이고, 전류 소스(544)는 또한 가변적인 기판을 향하는 이온 전류이다. 커패시턴스(536) 및 표류 커패시턴스(538)는 챔버에 관련되는 커패시턴스들이며 일정하다. 차단 커패시턴스(520)는 차단 커패시터(520)의 챔버 측 상에서 형성되는 또는 인가되는 DC 전압들, 예컨대 DC 전압 소스(528)에 의해 인가되는 DC 전압이 메인 펄스 발생기(502)에 도달하는 것을 차단하도록 구성되는 차단 커패시터로서 작용하며, 일정한 커패시턴스 값을 또한 갖는다.
[0075] 도 5c 및 도 5d에서 도시되는 바와 같이, 이온 전류 스테이지 동안 그리고 IEDF 폭 제어 모듈(508)(도 5a)이 기판 또는 에지 링 파형을 능동적으로 제어하고 있을 때, 이들 도면들에서 예시되는 회로 모델에서의 능동 컴포넌트들은, 이온 전류(544)(I0), 외장 커패시턴스(542)(C1), 챔버 커패시턴스(536)(C2), 표류 커패시턴스(538)(C3), 차단 커패시턴스(520)(C4), 및 제3 성형된 DC 펄스 전압 소스(518)(V1)와 병렬인 선택적인 커패시턴스(516)(C5)를 포함한다. 전류 복귀 경로에 있는 인덕터(504) 및 저항기(506)가 IEDF 폭 변조에 일반적으로 거의 영향을 끼치지 않기 때문에, 인덕터(504) 및 저항기(506)는 도 5c의 제어 회로(560) 및 도 5d의 제어 회로(570)에서 단락(short)으로서 취급된다.
[0076] IEDF를 넓히기 위한 본질적인 요인은, 기판의 전압이 점진적으로 증가하고 기판에 충돌하는 이온 에너지가 떨어지도록 기판 상에 양전하들을 증착하는 이온 전류("I0")이다(예를 들면, 도 3a의 트레이스(305)). IEDF 확장의 양은, 예를 들면, 제어 회로들(560, 570)에서, 이온 전류(I0), 외장 커패시턴스(C1), 및/또는 챔버와 연관되는 다른 커패시턴스들(C2, C3 및 C4), 및 전력 공급 모듈(V1)과 연관되는 다른 커패시턴스(C5)에 의존한다. IEDF 확장의 이온 전류 효과를 보상하기 위해 및/또는 IEDF 폭을 능동적으로 제어하기 위해, 이 제어 회로(도 5c)의 모든 컴포넌트들의 값들이 결정된다. 챔버 및 전력 공급 모듈과 연관되는 커패시턴스들(C2 내지 C5)은 제품 명세 시트 또는 챔버 부품 치수들을 사용한 추정에 의해, 또는 이전 측정, 예컨대 멀티미터(multimeter)를 사용한 임피던스의 직접적인 측정, 또는 S 파라미터 또는 Z 파라미터 측정들로부터 커패시턴스 값을 추출하는 것에 의해 결정될 수 있다. 이온 전류(I0) 및 외장 커패시턴스(C1)는 다양한 플라즈마 프로세스 조건들에서 변하며 플라즈마 프로세스 동안 실시간 측정을 통해 결정된다. 성형된 DC 펄스 전압 소스(V1)는 톱니 형상의 전압 출력을 갖는다(도 5e). 전압 출력의 기울기(dV1/dt)는 이온 전류(I0) 및 외장 커패시턴스(C1)를 결정하기 위해, 및/또는 IEDF 폭을 변조하기 위해 변경될 수 있다. 도 5a의 구성에서, 트레이스(584)가 나타내는 바와 같이, 외장 붕괴 스테이지에서 성형된 DC 펄스 전압 소스(V1)의 출력 전압은 제로이다. 트레이스들(582 및 586)은, 하기에서 논의되는 바와 같이, 성형된 DC 펄스 전압 소스(V1)에 대한 다른 가능한 파형들을 도시한다.
[0077] IEDF 변조의 방법은 두 개의 부분들을 포함한다: (1) 이온 전류(I0) 및 외장 커패시턴스(C1)를 결정하는 것, 및 (2) 목표 IEDF 폭을 달성하기 위해 성형된 DC 펄스 전압 소스의 기울기(dV1/dt)를 결정하는 것. 기판(105) 또는 에지 링(106)에 전력을 공급하는 톱니형 전압 소스(V1) 및 성형된 DC 전압 소스(159 또는 161)에서, 기판 또는 에지 링에서의 IEDF 폭은 이온 전류 스테이지의 시작부터 끝까지의 기판 또는 에지 링 전압의 변화이다(도 3a 및 도 3b). 제어 회로(560)(도 5c) 및 제어 회로(570)(도 5d)에서, IEDF 폭은 이온 전류 스테이지의 시작부터 끝까지 외장 커패시턴스(C1) 양단에서의 전압의 변화에 대응하는데, 이것은 외장 커패시턴스(C1)를 통한 충전 또는 방전 전류(I1)에 의해 결정된다:
Figure pct00001
여기서 ΔV는 IEDF 폭이고 T는 이온 전류 스테이지의 시간 지속 기간이다. 목표 IEDF 폭(ΔV)을 획득하기 위해, 외장 커패시턴스(C1) 및 외장 커패시턴스를 통과하는 소망되는 전류(I1)가 결정될 필요가 있다.
[0078] 외장 커패시턴스(C1) 및 이온 전류(I0)를 결정하기 위해, 제어 회로에서의 전류들 및 전압들의 관계들이 분석된다. 도시되는 바와 같이, 커패시터들(C1 내지 C4)을 통과하는 전류들은 I1 내지 I4로서 지칭되는데, 회로에서의 화살표들은 양의 방향을 도식적으로 가리킨다. 키르히호프(Kirchhoff)의 전류 법칙에 기초하여, 이온 전류(I0)는 커패시터들(C1 및 C2)을 통과하는 전류들의 합과 동일하다:
Figure pct00002
[0079] 커패시터(C2)를 통과하는 전류는 커패시터들(C3 및 C4)을 통과하는 전류들의 합과 동일하다:
Figure pct00003
[0080] 키르히호프의 전압 법칙에 기초하여, C1, C2, 및 C3의 폐루프 전압 합은 제로이다. C1, C2, 및 C3 전압 합의 시간 미분도 또한 제로이다. 커패시터들(C2 및 C3)의 교차점에서의 전압을 V3으로 표기한다. 커패시터(C3) 양단의 전압의 시간 미분은 dV3/dt = I3/C3이다. 커패시터들(C1 및 C2)에 대해서도 유사한 관계들이 존재하며, 키르히호프의 전압 법칙은 수학식 (4)를 제공한다:
Figure pct00004
[0081] 커패시터들(C3 및 C4)의 폐루프 및 전압 소스(V1)에 키르히호프의 전압 법칙을 적용하면, 수학식 (5)가 제공된다:
Figure pct00005
[0082] 수학식들 (2) 내지 (5)에서, C2, C3, 및 C4는 제품 명세 시트 또는 챔버 부품 치수들에 기초한 추정에 의해, 또는 이전 측정, 예컨대 멀티미터를 사용한 임피던스의 직접적인 측정, 또는 S 파라미터 또는 Z 파라미터 측정들로부터 커패시턴스 값을 추출하는 것에 의해 결정될 수 있다. 전류(I4)는 센서들, 예컨대 전류 프로브들 및/또는 통합 전압-전류(VI) 센서들에 의해 직접적으로 측정될 수 있다. 전압(V3)은 센서들, 예컨대 전압 프로브들 및/또는 통합 VI 센서들에 의해 직접적으로 측정될 수 있다. 전류(I3)는 I3 = C3*dV3/dt로서 계산될 수 있다. 전압 기울기(dV1/dt)는 유저에 의해 제어되며 공지되어 있는데, 예컨대 제로 또는 1 볼트/나노초(V/nsec)이다. 성형된 DC 펄스 전압 소스(V1)를 두 개의 상이한 기울기들(dV1/dt 및 dV1'/dt)로 설정하는 것에 의해, 전류들(I4, I4') 및 전압의 시간 미분들(dV3/dt, dV3'/dt)이 결정될 수 있다. 두 개의 기울기들(dV1/dt 및 dV1'/dt)에서의 수학식들 (2) 내지 (5)의 세트는 외장 커패시턴스:
Figure pct00006
및 이온 전류:
Figure pct00007
를 제공하기 위해 해가 구해질 수 있는 여덟 개의 수학식들을 형성한다.
[0083] 목표 IEDF 폭(ΔV)을 획득하기 위해, 외장 커패시터(C1)를 통과하는 총 전류는
Figure pct00008
이다.
[0084] 수학식들 (6) 내지 (8)을 수학식들 (2) 내지 (5)에 대입하면, IEDF 폭(ΔV)을 달성하기 위한 톱니형 전압 소스(V1)의 전압 기울기가 주어진다:
Figure pct00009
[0085] 가장 좁은 IEDF(ΔV = 0)의 경우, 톱니형 전압 소스(V1)의 전압 기울기는
Figure pct00010
이다.
[0086] 도 6a는 기판 지지체 어셈블리(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 기판 전압 제어 회로/에지 링 전압 제어 회로(600)의 실시예를 예시하는 개략적인 회로 다이어그램이다. 회로(600)는 각각의 이온 전류 위상의 시작에서 기판 전압(도 3a의 전압 강하(voltage droop)에 대응함)을 리셋하기 위한 메인 펄스 발생기(502)를 포함한다. 메인 펄스 발생기(502)는 접지(501)에 커플링되는 제1 또는 제2 성형된 DC 전압 소스(159, 161)일 수 있다. 메인 펄스 발생기(502)는 메인 펄스 발생기 출력(505) 및 전도성 엘리먼트(507)를 통해 전류 복귀 경로(503)에 커플링된다. 전류 복귀 경로(503)는 저항기(506)와 직렬로 IEDF 폭 제어 모듈(602)(예를 들면, 도 4a 및 도 4b의 제2 전력 모듈)에 커플링되는 인덕터(504)를 포함한다. IEDF 폭 제어 모듈(602)은 IEDF 폭을 변조하기 위해 사용된다. 도 5a의 구성과는 상이한 IEDF 폭 제어 모듈(602)은 TTL 신호 소스(510) 및 스위치(512), 다이오드(514), 선택적인 커패시턴스(516), 및 저항기(606)에 직렬로 커플링되는 DC 전압 소스(604)를 포함하는 회로로서 모델링될 수 있는데, 이들은 병렬로 그리고 접지(517)에 커플링된다. 다이오드(514)는 스위치(512) 및 DC 전압 소스(604)를 보호하기 위한 플라이백 다이오드이다. 일부 실시예들에서, 차단 커패시턴스(520)가 전류 복귀 경로(503)와 챔버 커패시턴스(536) 사이에서 존재한다. 커패시턴스(536)는, 예를 들면, 기판 전극(109)과 기판(105) 사이에서, 또는 에지 링 전극(111)과 에지 링(106) 사이에서 형성되는 임피던스의 일부일 수 있다. 일부 실시예들에서, 기판 척킹 및 바이어스 보상 모듈(522)은 차단 커패시턴스(520) 및 챔버 커패시턴스(536)에 또한 커플링된다. 기판 척킹 및 바이어스 보상 모듈(522)은 표류 커패시턴스(538)에 추가로 커플링된다. 기판 척킹 및 바이어스 보상 모듈(522)은, 저항기(526)에 직렬로 커플링되는 다이오드(524), 및 DC 전압 소스(528), 및 커패시턴스(532) 및 접지(534)에 직렬로 커플링되는 저항기(530)를 포함하는 회로이다.
[0087] 플라즈마 외장(540)는 전류 소스(544)와 병렬로 커플링되는 외장 커패시턴스(542) 및 접지(548)에 커플링되는 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 외장 모델).
[0088] 사용 중에, 그리고 도 6a에서 예시되는 구성의 경우, 제3 성형된 DC 펄스 전압 소스(518)가 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용하는 도 5a의 구성과는 대조적으로, DC 전압 소스(604)는 저항기(606)와 함께 이온 전류 스테이지에서 기판 또는 에지 링 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(512)는, 상기에서 논의되고 도 5b에서 도시되는 바와 같이, 메인 펄스 발생기(502)와 동기화되는 TTL 신호 소스(510)에 의해 제어될 수 있다. 스위치(512)는 메인 펄스 발생기(502)의 전압이 상승되어 외장 붕괴 스테이지에 진입하기 이전에 닫힐 수 있다. 스위치(512)는 전류 복귀 경로(503)를 접지에 연결하기 위해 외장 붕괴 스테이지 동안 닫힌 상태로 유지될 수 있다. 메인 펄스 발생기(502)의 전압이 이온 전류 스테이지의 입구에서 강하된 이후, 스위치(512)는 개방될 수 있고, 그 결과, DC 전압 소스(604)는 이온 전류 스테이지에서 IEDF를 변조하도록 기능한다. 선택적인 커패시턴스(516)는 DC 전압 소스(604)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 커패시턴스(536)는, 예를 들면, 기판 전극(109)과 기판 사이의 또는, 에지 링 전극(111)과 에지 링(106) 사이의 커패시턴스일 수 있다. 커패시턴스(538)는 기판 전극(109)과 접지 사이의, 또는 에지 링 전극(111)과 접지 사이의 커패시턴스일 수 있다.
[0089] 도 6b 및 도 6c에서 도시되는 바와 같이, 이온 전류 스테이지 동안 그리고 IEDF 폭 제어 모듈(602)(도 6a)이 기판 또는 에지 링 파형을 능동적으로 제어하고 있을 때, 이들 도면들에서 예시되는 회로 모델에서의 능동 컴포넌트들은, 이온 전류(544)(I0), 외장 커패시턴스(542)(C1), 챔버 커패시턴스(536)(C2), 표류 커패시턴스(538)(C3), 차단 커패시턴스(520)(C4), 및 DC 전압 소스(604)(V0) 및 저항기(606)(R)와 병렬인 선택적인 커패시턴스(516)(C5)를 포함한다. 전류 복귀 경로에 있는 인덕터(504) 및 저항기(506)가 IEDF 폭 변조에 일반적으로 거의 영향을 끼치지 않기 때문에, 인덕터(504) 및 저항기(506)는 도 6b의 제어 회로(650) 및 도 6c의 제어 회로(660)에서 단락으로서 취급된다. 도 6a의 제어 회로는 도 6b에서 도시되어 있고, 한편 하기에서 설명되는 도 7a의 제어 회로는 도 6c에서 도시되어 있다.
[0090] IEDF를 넓히기 위한 본질적인 요인은, 기판의 전압이 점진적으로 증가하고 기판에 충돌하는 이온 에너지가 떨어지도록 기판 상에 양전하들을 증착하는 이온 전류(I0)이다(도 3a의 트레이스(305)). IEDF 확장의 양은, 예를 들면, 도 6b 및 도 6c의 제어 회로(650) 및 제어 회로(660)에서의 이온 전류(I0), 외장 커패시턴스(C1), 및 챔버와 연관되는 다른 커패시턴스들(C2, C3, 및 C4), 및 전력 공급 모듈(V0, R 및 C5)에, 각각, 의존한다. IEDF 확장의 이온 전류 효과를 보상하기 위해 그리고 IEDF 폭을 능동적으로 제어하기 위해, 제어 회로(650) 및 제어 회로(660)의 모든 컴포넌트들의 값들이 결정된다. 챔버 및 전력 공급 모듈과 연관되는 커패시턴스들(C2 내지 C5)은 제품 명세 시트 또는 챔버 부품 치수들을 사용한 추정에 의해, 또는 이전 측정, 예컨대 멀티미터를 사용한 임피던스의 직접적인 측정, 또는 S 파라미터 또는 Z 파라미터 측정들로부터 커패시턴스 값을 추출하는 것에 의해 결정될 수 있다. 저항기(R)는 제품 명세 시트에 의해 또는 멀티미터를 활용한 직접 측정에 의해 또한 사전 결정된다. 이온 전류(I0) 및 외장 커패시턴스(C1)는 다양한 플라즈마 프로세스 조건들에서 변하며 플라즈마 프로세스 동안 실시간 측정에 의해 결정된다. DC 전압 소스(V0)는 능동 제어 노브이며 이온 전류(I0)를 결정하기 위해, 외장 커패시턴스(C1)를 결정하기 위해, 및/또는 IEDF 폭을 변조하기 위해 변경될 수 있다.
[0091] IEDF 변조의 방법은 두 개의 부분들을 포함한다: (1) 이온 전류(I0) 및 외장 커패시턴스(C1)를 결정하는 것, 및 (2) 목표 IEDF 폭을 달성하기 위한 DC 전압(V0)을 결정하는 것. IEDF 폭은 이온 전류 스테이지의 시작부터 끝까지 기판 또는 에지 링 전압의 확산이다(도 3a 및 도 3b). 도 6b의 제어 회로(650) 및 도 6c의 제어 회로(660)에서, IEDF 폭은 이온 전류 스테이지의 시작부터 끝까지 외장 커패시턴스(C1) 양단에서의 전압의 변화에 대응하는데, 이것은 외장 커패시턴스(C1)를 통한 충전 또는 방전 전류(I1)에 의해 결정된다:
Figure pct00011
여기서 ΔV는 IEDF 폭이고 T는 이온 전류 스테이지의 시간 지속 기간이다. 목표 IEDF 폭(ΔV)을 획득하기 위해, 외장 커패시턴스(C1) 및 외장 커패시턴스를 통과하는 소망되는 전류(I1)가 결정될 필요가 있다.
[0092] 외장 커패시턴스(C1) 및 이온 전류(I0)를 결정하기 위해, 제어 회로에서의 전류들 및 전압들의 관계들이 분석된다. 여기서, 예를 들면, 커패시터들(C1 내지 C5)을 통과하는 전류들은 I1 내지 I5로서 지칭되는데, 회로에서의 화살표들은 양의 방향을 도식적으로 가리킨다. 커패시터들(C2 및 C3)의 교차점에서의 전압은 V3이다. Vth로서 표기되는 DC 전압 소스(V0)에 대한 임계 전압이 있는데, 그 미만에서 다이오드(D3)는, IEDF 폭 제어 모듈의 출력 전압이 제로가 되도록, 직렬의 DC 전압 소스(V0) 및 저항기(R)를 바이패스한다. Vth는 플라즈마 상태에 종속적이며, 예를 들면, 전류(I4) 또는 전압(V3)이 DC 전압 출력(V0)에 의해 영향을 받는 지점까지 DC 전압(V0)을 점진적으로 증가시키는 것에 의해 실험적으로 결정될 수 있다.
[0093] V0 ≤ Vth의 경우, 키르히호프의 전류 법칙에 기초하여, 이온 전류(I0)는 커패시터들(C1 및 C2)을 통과하는 전류들의 합과 동일하다:
Figure pct00012
[0094] 커패시터(C2)를 통과하는 전류는 커패시터들(C3 및 C4)을 통과하는 전류들의 합과 동일하다:
Figure pct00013
[0095] 키르히호프의 전압 법칙에 기초하여, C1, C2, 및 C3의 폐루프 전압 합은 제로이다. C1, C2, 및 C3 전압 합의 시간 미분도 또한 제로이다. 커패시터(C3) 양단의 전압의 시간 미분은 dV3/dt = I3/C3이다. 커패시터들(C1 및 C2)에 대해서도 동일한 관계들이 적용된다. 커패시터들(C1 및 C2)에 대해 키르히호프의 전압 법칙을 사용하면, 수학식 (14)가 제공된다:
Figure pct00014
[0096] 커패시터들(C3 및 C4)의 폐루프뿐만 아니라, 다이오드가 바이패스된 IEDF 폭 제어 모듈에 키르히호프의 전압 법칙을 적용하면, 수학식 (15)가 제공된다:
Figure pct00015
[0097] V0 > Vth의 경우, 수학식들 (12) 내지 (14)가 여전히 적용된다. 커패시터들(C3, C4, 및 C5)의 폐루프에 키르히호프의 전압 법칙을 적용하면, 수학식 (16)이 제공된다:
Figure pct00016
[0098] 커패시터(C5), DC 전압 소스(V0), 및 저항기(R)의 폐루프에 키르히호프의 전압 법칙을 적용하면, 수학식 (17)이 제공된다:
Figure pct00017
여기서 (I4 - I5)는, 다이오드(D3)가 비활성 상태일 때, DC 전압 소스(V0) 및 저항기(R)를 통과하는 전류이다.
[0099] 일부 실시예들에서, 커패시터(C5)가 없다. 그러한 경우들에서, 수학식 (17)이 없고 수학식 (16) 다음이 된다
Figure pct00018
[00100] 수학식들 (12) 내지 (18)에서, C2, C3, C4, 및 C5는 제품 명세 시트 또는 챔버 부품 치수들에 기초한 추정에 의해, 또는 이전 측정, 예컨대 멀티미터를 사용한 임피던스의 직접적인 측정, 또는 S 파라미터 또는 Z 파라미터 측정들로부터 커패시턴스 값을 추출하는 것에 의해 결정될 수 있다. 전류(I4)는 센서들, 예컨대 전류 프로브들 및/또는 통합 VI 센서들에 의해 직접적으로 측정될 수 있다. 전압(V3)은 센서들, 예컨대 전압 프로브들 및/또는 통합 VI 센서들에 의해 직접적으로 측정될 수 있다. 전류(I3)는 I3 = C3*dV3/dt로서 계산될 수 있다. DC 전압(V0)은 유저에 의해 제어되며 공지되어 있는데, 예컨대 DC 전압 출력(V0)을 제로로부터 수 kV까지의 값으로 설정한다. DC 전압(V0)을 두 개의 상이한 값들(V0 및 V0')로 설정하고, 그들 중 적어도 하나가 임계 전압(Vth)보다 높은 것에 의해, 전류들(I4, I4') 및 전압의 시간 미분들(dV3/dt, dV3'/dt)이 결정될 수 있다. 수학식들 (12) 내지 (18)의 세트의 해를 구하면, 외장 커패시턴스(C1):
Figure pct00019
및 이온 전류(I0):
Figure pct00020
가 주어진다.
[00101] 수학식들 (12) 내지 (18)의 세트에 외장 커패시턴스(C1) 및 이온 전류(I0)를 대입하면, 임의의 DC 전압(V0)에 대해 전류들(I1 내지 I5)이 계산될 수 있다.
[00102] 공지된 커패시턴스들(C1 내지 C5), 저항(R), 및 DC 전압(V0)에 의해 수학식 (11)에 I1의 식을 대입하면, IEDF 폭(ΔV)과 DC 전압(V0) 사이의 관계가 획득될 수 있다. 따라서, 목표 IEDF 폭(ΔV)에 대해, 요구되는 DC 전압(V0)이 결정된다.
[00103] 일부 실시예들에서, 저항기(R)는 충분히 크고(예를 들면, 약 10 kΩ), DC 전압 소스(V0)를 통과하는 전류는 이온 전류 스테이지에서 대략 시상수(time constant)이고 V0/R과 동일하다. 이들 실시예들에서, 수학식 (17)은
Figure pct00021
이 된다.
[00104] 수학식들 (12), (13), (14), (16) 및 (21)의 해를 구하면, 외장 커패시터(C1)를 통과하는 총 전류가 수학식 (22)로서 주어지는데:
Figure pct00022
여기서
Figure pct00023
이다.
[00105] 일정한 전류(I1)의 이 대략적인 경우에 대해 수학식 (8)을 사용하면, 목표 IEDF 폭(ΔV)을 획득하기 위해 활용되는 DC 전압(V0)은 수학식 (23)을 사용하여 확인될 수 있다:
Figure pct00024
[00106] 가장 좁은 IEDF(ΔV = 0)의 경우, DC 전압(V0)은
Figure pct00025
이다.
[00107] 도 6d는, 기판 지지체 어셈블리(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한, 기판 전압 제어 회로/에지 링 전압 제어 회로(500), 또는 기판 전압 제어 회로/에지 링 전압 제어 회로(600)의 대안적인 실시예를 예시하는 개략적인 회로 다이어그램이다. 회로(601)는 각각의 이온 전류 위상의 시작에서 기판 전압(도 3a의 전압 강하(voltage droop)에 대응함)을 리셋하기 위한 메인 펄스 발생기(502)를 포함한다. 메인 펄스 발생기(502)는 접지(501)에 커플링되는 제1 또는 제2 성형된 DC 전압 소스(159, 161)일 수 있다. 메인 펄스 발생기(502)는 메인 펄스 발생기 출력(505) 및 전도성 엘리먼트(507)를 통해 전류 복귀 경로(503)에 커플링된다. 전류 복귀 경로(503)는 저항기(506)와 직렬로 IEDF 폭 제어 모듈(602)(예를 들면, 도 4a 및 도 4b의 제2 전력 모듈)에 커플링되는 인덕터(504)를 포함한다. IEDF 폭 제어 모듈(602)은 IEDF 폭을 변조하기 위해 사용된다. 도 5a의 구성과는 상이한 IEDF 폭 제어 모듈(602)은 TTL 신호 소스(510) 및 스위치(512), 다이오드(514), 선택적인 커패시턴스(516), 및 DC 전류 소스(605)를 포함하는 회로로서 모델링될 수 있는데, 이들은 병렬로 그리고 접지(517)에 커플링된다. 다이오드(514)는 스위치(512) 및 DC 전류 소스(605)를 보호하기 위한 플라이백 다이오드이다. 일부 실시예들에서, 차단 커패시턴스(520)가 전류 복귀 경로(503)와 챔버 커패시턴스(536) 사이에서 존재한다. 커패시턴스(536)는, 예를 들면, 기판 전극(109)과 기판(105) 사이에서, 또는 에지 링 전극(111)과 에지 링(106) 사이에서 형성되는 임피던스의 일부일 수 있다. 일부 실시예들에서, 기판 척킹 및 바이어스 보상 모듈(522)은 차단 커패시턴스(520) 및 챔버 커패시턴스(536)에 또한 커플링된다. 기판 척킹 및 바이어스 보상 모듈(522)은 표류 커패시턴스(538)에 추가로 커플링된다. 기판 척킹 및 바이어스 보상 모듈(522)은, 저항기(526)에 직렬로 커플링되는 다이오드(524), 및 DC 전압 소스(528), 및 커패시턴스(532) 및 접지(534)에 직렬로 커플링되는 저항기(530)를 포함하는 회로이다.
[00108] 플라즈마 외장(540)는 전류 소스(544)와 병렬로 커플링되는 외장 커패시턴스(542) 및 접지(548)에 커플링되는 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 외장 모델).
[00109] 사용 중에, 그리고 도 6d에서 예시되는 구성의 경우, 제3 성형된 DC 펄스 전압 소스(518)가 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용하는 도 5a의 구성과는 대조적으로, DC 전류 소스(605)는 이온 전류 스테이지에서 기판 또는 에지 링 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(512)는, 상기에서 논의되고 도 5b에서 도시되는 바와 같이, 메인 펄스 발생기(502)와 동기화되는 TTL 신호 소스(510)에 의해 제어될 수 있다. 스위치(512)는 메인 펄스 발생기(502)의 전압이 상승되어 외장 붕괴 스테이지에 진입하기 이전에 닫힐 수 있다. 스위치(512)는 전류 복귀 경로(503)를 접지에 연결하기 위해 외장 붕괴 스테이지 동안 닫힌 상태로 유지될 수 있다. 메인 펄스 발생기(502)의 전압이 이온 전류 스테이지의 입구에서 강하된 이후, 스위치(512)는 개방될 수 있고, 그 결과, DC 전류 소스(605)는 이온 전류 스테이지에서 IEDF를 변조하도록 기능한다. 선택적인 커패시턴스(516)는 DC 전류 소스(605)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 커패시턴스(536)는, 예를 들면, 기판 전극(109)과 기판 사이의, 또는 에지 링 전극(111)과 에지 링(106) 사이의 커패시턴스일 수 있다. 커패시턴스(538)는 기판 전극(109)과 접지 사이의, 또는 에지 링 전극(111)과 접지 사이의 커패시턴스일 수 있다.
[00110] 도 6e 및 도 6f에서 도시되는 바와 같이, 이온 전류 스테이지 동안 그리고 도 6d에서 예시되는 IEDF 폭 제어 모듈(602)의 실시예가 기판 또는 에지 링 파형을 능동적으로 제어하고 있을 때, 이들 도면들에서 예시되는 회로 모델에서의 능동 컴포넌트들은, 이온 전류(544)(I0), 외장 커패시턴스(542)(C1), 챔버 커패시턴스(536)(C2), 표류 커패시턴스(538)(C3), 차단 커패시턴스(520)(C4), 및 DC 전류 소스(605)(Ic)와 병렬인 선택적인 커패시턴스(516)(C5)를 포함한다. 전류 복귀 경로에 있는 인덕터(504) 및 저항기(506)가 IEDF 폭 변조에 일반적으로 거의 영향을 끼치지 않기 때문에, 인덕터(504) 및 저항기(506)는 도 6e의 제어 회로(651) 및 도 6f의 제어 회로(661)에서 단락으로서 취급된다. 도 6d의 제어 회로는 도 6e에서 도시되어 있고, 하기에서 설명되는 도 7b의 제어 회로는 도 6f에서 도시되어 있다.
[00111] IEDF를 넓히기 위한 본질적인 요인은, 기판의 전압이 점진적으로 증가하고 기판에 충돌하는 이온 에너지가 떨어지도록 기판 상에 양전하들을 증착하는 이온 전류(I0)이다(도 3a의 트레이스(305)). IEDF 확장의 양은, 예를 들면, 도 6e 및 도 6f의 제어 회로(651) 및 제어 회로(661)에서의 이온 전류(I0), 외장 커패시턴스(C1), 및 챔버와 연관되는 다른 커패시턴스들(C2, C3, 및 C4), 및 전력 공급 모듈(V0, R 및 C5)에, 각각, 의존한다. IEDF 확장의 이온 전류 효과를 보상하기 위해 그리고 IEDF 폭을 능동적으로 제어하기 위해, 제어 회로(651) 및 제어 회로(661)의 모든 컴포넌트들의 값들이 결정된다. 챔버 및 전력 공급 모듈과 연관되는 커패시턴스들(C2 내지 C5)은 제품 명세 시트 또는 챔버 부품 치수들을 사용한 추정에 의해, 또는 이전 측정, 예컨대 멀티미터를 사용한 임피던스의 직접적인 측정, 또는 S 파라미터 또는 Z 파라미터 측정들로부터 커패시턴스 값을 추출하는 것에 의해 결정될 수 있다. 이온 전류(I0) 및 외장 커패시턴스(C1)는 다양한 플라즈마 프로세스 조건들에서 변하며 플라즈마 프로세스 동안 실시간 측정에 의해 결정된다. DC 전류 소스(Ic)는 능동 제어 노브이며, 이온 전류(I0)를 결정하기 위해, 외장 커패시턴스(C1)를 결정하기 위해, 그리고/또는 IEDF 폭을 변조하기 위해 변경될 수 있다.
[00112] IEDF 변조의 방법은 두 개의 부분들을 포함한다: (1) 이온 전류(I0) 및 외장 커패시턴스(C1)를 결정하는 것, 및 (2) 목표 IEDF 폭을 달성하기 위한 DC 전류(Ic)를 결정하는 것. 기판(105) 또는 에지 링(106)에 전력을 공급하는 DC 전류 소스(Ic) 및 성형된 DC 전압 소스(159 또는 161)로, 기판 또는 에지 링에서의 IEDF 폭은 이온 전류 스테이지의 시작부터 끝까지의 기판 또는 에지 링 전압의 변화이다(도 3a 및 도 3b). 제어 회로(651)(도 6e) 및 제어 회로(661)(도 6f)에서, IEDF 폭은 이온 전류 스테이지의 시작부터 끝까지 외장 커패시턴스(C1) 양단에서의 전압의 변화에 대응하는데, 이것은 외장 커패시턴스(C1)를 통하는 충전 또는 방전 전류(I1)에 의해 결정된다:
Figure pct00026
여기서 ΔV는 IEDF 폭이고 T는 이온 전류 스테이지의 시간 지속 기간이다. 목표 IEDF 폭(ΔV)을 획득하기 위해, 외장 커패시턴스(C1) 및 외장 커패시턴스를 통하는 소망되는 전류(I1)가 결정될 필요가 있다.
[00113] 외장 커패시턴스(C1) 및 이온 전류(I0)를 결정하기 위해, 제어 회로에서의 전류들 및 전압들의 관계들이 분석된다. 도시되는 바와 같이, 커패시터들(C1 내지 C4)을 통하는 전류들은 I1 내지 I4로서 지칭되는데, 회로에서의 화살표들은 양의 방향을 도식적으로 가리킨다. 키르히호프의 전류 법칙에 기초하여, 이온 전류(I0)는 커패시터들(C1 및 C2)을 통하는 전류들의 합과 동일하다:
Figure pct00027
[00114] 커패시터(C2)를 통하는 전류는 커패시터들(C3 및 C4)을 통하는 전류들의 합과 동일하다:
Figure pct00028
[00115] 커패시터(C4)를 통하는 전류는 커패시터(C5)와 DC 전류 소스(Ic)를 통하는 전류들의 합과 동일하다:
Figure pct00029
[00116] 키르히호프의 전압 법칙에 기초하여, C1, C2, 및 C3의 폐루프 전압 합은 제로이다. C1, C2, 및 C3 전압 합의 시간 미분도 또한 제로이다. 커패시터들(C2 및 C3)의 교차점에서의 전압을 V3으로 표기한다. 커패시터(C3) 양단의 전압의 시간 미분은 dV3/dt = I3/C3이다. 커패시터들(C1 및 C2)에 대해서도 유사한 관계들이 존재하며, 키르히호프의 전압 법칙은 수학식 (29)를 제공한다:
Figure pct00030
[00117] 커패시터들(C3, C4, 및 C5)의 폐루프에 키르히호프의 전압 법칙을 적용하면, 수학식 (5)가 제공된다:
Figure pct00031
[00118] 수학식들 (26, 27, 28, 29, 및 30)에서, C2 내지 C5는 제품 명세 시트 또는 챔버 부품 치수들에 기초한 추정에 의해, 또는 이전 측정, 예컨대 멀티미터를 사용한 임피던스의 직접적인 측정, 또는 S 파라미터 또는 Z 파라미터 측정들로부터 커패시턴스 값을 추출하는 것에 의해 결정될 수 있다. 전류(I4 또는 I5)는 센서들, 예컨대 전류 프로브들 및/또는 통합 전압-전류(VI) 센서들에 의해 직접적으로 측정될 수 있다. 전압(V3)은 센서들, 예컨대 전압 프로브들 및/또는 통합 VI 센서들에 의해 직접적으로 측정될 수 있다. 전류(I3)는 I3 = C3*dV3/dt로서 계산될 수 있다. 전류(Ic)는 유저에 의해 제어되며 공지되어 있는데, 예컨대 제로 또는 3 A이다. DC 전류 소스(Ic)를 두 개의 값들(Ic 및 Ic')로 설정하는 것에 의해, 전류들(I4 및 I4' 또는 I5 및 I5'), 또는 전압의 시간 미분들(dV3/dt 및 dV3'/dt)이 결정될 수 있다. 두 개의 DC 전류 값들(Ic 및 Ic')에서의 수학식들 (26, 27, 28, 29, 및 30)의 세트는, 외장 커패시턴스(C1)를, 전류들(I4 및 I4', 또는 I5 및 I5'), 또는 전압의 시간 미분들(dV3/dt 및 dV3'/dt)의 항들로 제공하도록 해가 구해질 수 있는 열 개의 수학식들을 형성한다. 예를 들면, 전류들(I4 및 I4')의 항들에서의 외장 커패시턴스는:
Figure pct00032
이고 이온 전류는:
Figure pct00033
이다.
[00119] 목표 IEDF 폭(ΔV)을 획득하기 위해, 외장 커패시터(C1)를 통과하는 총 전류는
Figure pct00034
이다.
[00120] 수학식들 (31, 32, 및 33)을 수학식들 (26, 27, 28, 29, 및 30)에 대입하면, IEDF 폭(ΔV)을 달성하기 위한 DC 전류(Ic)가 주어진다:
Figure pct00035
[00121] 가장 좁은 IEDF(ΔV = 0)의 경우, DC 전류(Ic)는
Figure pct00036
이다.
[00122] 도 7a는 기판 지지체 어셈블리(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(700)의 실시예를 예시하는 개략적인 회로 다이어그램이다. 회로(700)는 각각의 이온 전류 위상의 시작에서 기판 전압(도 3a의 전압 강하에 대응함)을 리셋하기 위한 메인 펄스 발생기(502)를 포함한다. 메인 펄스 발생기(502)는 접지(501)에 커플링되는 제1 또는 제2 성형된 DC 전압 소스(159, 161)일 수 있다. 메인 펄스 발생기(502)는 직접적으로 또는 커패시턴스(701)를 통해 IEDF 폭 제어 모듈(702)(예를 들면, 도 4c의 제2 전력 모듈)에 커플링된다.
[00123] IEDF 폭 제어 모듈(702)은, 스위치(706)에 커플링되며, 접지(716)에 커플링되는 TTL 신호 소스(704)를 포함하는 회로로서 모델링될 수 있다. TTL 신호 소스(704) 및 스위치(706)는 다이오드(708), DC 전압 소스(710), 및 선택적인 커패시턴스(714)에 병렬로 커플링된다. DC 전압 소스(710)는 저항기(712)에 직렬로 커플링된다. IEDF 폭 제어 모듈(702)은 챔버 커패시턴스(536)에 커플링된다. 커패시턴스(536)는, 예를 들면, 기판 전극(109)과 기판(105) 사이에서, 또는 에지 링 전극(111)과 에지 링(106) 사이에서 형성되는 임피던스의 일부일 수 있다. 일부 실시예들에서, IEDF 폭 제어 모듈(702)은 상기에서 논의되는 기판 척킹 및 바이어스 보상 모듈(522)에 또한 커플링된다. 기판 척킹 및 바이어스 보상 모듈(522)은 표류 커패시턴스(538)에 추가로 커플링된다. 기판 척킹 및 바이어스 보상 모듈(522)은, 저항기(526)에 직렬로 커플링되는 다이오드(524), 및 DC 전압 소스(528), 및 커패시턴스(532) 및 접지(534)에 직렬로 커플링되는 저항기(530)를 포함하는 회로이다. 다이오드(708)는 스위치(706) 및 DC 전압 소스(710)를 보호하기 위한 플라이백 다이오드이다.
[0124] 플라즈마 외장(540)는 전류 소스(544)와 병렬로 커플링되는 외장 커패시턴스(542) 및 접지(548)에 커플링되는 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 외장 모델).
[0125] 사용 중에, 그리고 도 7a에서 도시되는 구성의 경우, DC 전압 소스(710)는 저항기(712)와 함께 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(706)는, 도 5b의 플롯에서 도시되는 바와 같이, TTL 신호 소스(704)에 의해 제어될 수 있고 메인 펄스 발생기(502)의 출력과 동기화될 수 있다. 스위치(706)는 메인 펄스 발생기(502)의 전압이 상승되어 외장 붕괴 스테이지에 진입하기 이전에 닫힐 수 있다. 스위치(512)는 외장 붕괴 스테이지 동안 닫힌 상태로 유지될 수 있다. 메인 펄스 발생기(502)의 전압이 이온 전류 스테이지의 입구에서 강하된 이후, 스위치(706)는 개방될 수 있고, 그 결과, DC 전압 소스(710)는 이온 전류 스테이지에서 IEDF를 변조하도록 기능한다. 선택적인 커패시턴스(714)는 DC 전압 소스(710)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 도 7a의 제어 메커니즘은 도 6a의 제어 메커니즘과 유사하다. 한 가지 차이점은 도 7a의 제어 회로가 상기에서 설명되는 도 6c에서 도시되어 있고, 도 6a의 제어 회로가 도 6b에서 도시되어 있다는 것이다.
[0126] 도 8은 기판 지지체 어셈블리(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(800)의 실시예를 예시하는 개략적인 회로 다이어그램이다. 회로(800)는 각각의 이온 전류 위상의 시작에서 기판 전압(도 3a의 전압 강하에 대응함)을 리셋하기 위한 메인 펄스 발생기(502)를 포함한다. 메인 펄스 발생기(502)는 접지(501)에 커플링되는 제1 또는 제2 성형된 DC 전압 소스(159, 161)일 수 있다. 메인 펄스 발생기(502)는 IEDF 폭 제어 모듈(802)(예를 들면, 도 4c의 제2 전력 모듈)에 직접적으로 또는 차단 커패시터(701)를 통해 커플링된다.
[0127] IEDF 폭 제어 모듈(802)은 스위치(706)와 병렬로 커플링되는 TTL 신호 소스(704)를 포함하는 회로로서 모델링될 수 있다. TTL 신호 소스(704)는 접지(716)에 또한 커플링된다. TTL 신호 소스(704) 및 스위치(706)는 다이오드(708), 제3 성형된 DC 펄스 전압 소스(804), 및 선택적인 커패시턴스(714)에 병렬로 커플링된다. IEDF 폭 제어 모듈(802)은 챔버 커패시턴스(536)에 커플링된다. 커패시턴스(536)는, 예를 들면, 기판 전극(109)과 기판(105) 사이에서, 또는 에지 링 전극(111)과 에지 링(106) 사이에서 형성되는 임피던스의 일부일 수 있다. 일부 실시예들에서, IEDF 폭 제어 모듈(802)은 상기에서 논의되는 기판 척킹 및 바이어스 보상 모듈(522)에 또한 커플링된다. 기판 척킹 및 바이어스 보상 모듈(522)은, 저항기(526)에 직렬로 커플링되는 다이오드(524), DC 전압 소스(528), 및 커패시턴스(532) 및 접지(534)에 직렬로 커플링되는 저항기(530)를 포함하는 회로이다. 다이오드(708)는 스위치 및 제3 성형된 DC 펄스 전압 소스(804)를 보호하기 위한 플라이백 다이오드이다.
[0128] 기판 척킹 및 바이어스 보상 모듈(522)은 챔버 커패시턴스(536)에 추가로 커플링된다. 플라즈마 외장(540)은 전류 소스(544)와 병렬로 커플링되는 외장 커패시턴스(542) 및 접지(548)에 커플링되는 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 외장 모델).
[0129] 사용 중에, 그리고 도 8에서 도시되는 구성의 경우, 제3 성형된 DC 펄스 전압 소스(804)는, DC 전압 소스(710)가 저항기(712)와 함께 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용하는 도 7a의 구성과는 대조적으로, 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(706)는, 도 5b의 플롯에서 도시되는 바와 같이, TTL 신호 소스(704)에 의해 제어되고 메인 펄스 발생기(502)의 출력과 동기화된다. 스위치(706)는 메인 펄스 발생기(502)의 전압이 상승되어 외장 붕괴 스테이지에 진입하기 이전에 닫힐 수 있다. 스위치(706)는 외장 붕괴 스테이지 동안 닫힌 상태로 유지된다. 메인 펄스 발생기(502)의 전압이 이온 전류 스테이지의 입구에서 강하된 이후, 스위치(706)는 개방될 수 있고, 그 결과, 제3 성형된 DC 펄스 전압 소스(804)가 이온 전류 스테이지에서 IEDF를 변조하도록 기능한다. 선택적인 커패시턴스(714)는 기판 전압 파형의 감도를 제3 성형된 DC 펄스 전압 소스(804)로 조정하기 위해 사용될 수 있다. 도 8의 제어 메커니즘은 도 5a의 것과 유사하다. 한 가지 차이점은 도 8의 제어 회로가 상기에서 설명되는 도 5d에서 도시되어 있고, 도 5a의 제어 회로가 도 5c에서 도시되어 있다는 것이다.
[00130] 도 7b는 기판 지지체 어셈블리(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(700)의 대안적인 실시예를 예시하는 개략적인 회로 다이어그램이다. 회로(700)는 각각의 이온 전류 위상의 시작에서 기판 전압(도 3a의 전압 강하에 대응함)을 리셋하기 위한 메인 펄스 발생기(502)를 포함한다. 메인 펄스 발생기(502)는 접지(501)에 커플링되는 제1 또는 제2 성형된 DC 전압 소스(159, 161)일 수 있다. 메인 펄스 발생기(502)는 직접적으로 또는 커패시턴스(701)를 통해 IEDF 폭 제어 모듈(702)(예를 들면, 도 4c의 제2 전력 모듈)에 커플링된다.
[00131] IEDF 폭 제어 모듈(702)은 스위치(706)에 커플링되며, 접지(716)에 커플링되는 TTL 신호 소스(704)를 포함하는 회로로서 모델링될 수 있다. TTL 신호 소스(704) 및 스위치(706)는 다이오드(708), DC 전류 소스(605), 및 선택적인 커패시턴스(714)에 병렬로 커플링된다. IEDF 폭 제어 모듈(702)은 챔버 커패시턴스(536)에 커플링된다. 커패시턴스(536)는, 예를 들면, 기판 전극(109)과 기판(105) 사이에서, 또는 에지 링 전극(111)과 에지 링(106) 사이에서 형성되는 임피던스의 일부일 수 있다. 일부 실시예들에서, IEDF 폭 제어 모듈(702)은 상기에서 논의되는 기판 척킹 및 바이어스 보상 모듈(522)에 또한 커플링된다. 기판 척킹 및 바이어스 보상 모듈(522)은 표류 커패시턴스(538)에 추가로 커플링된다. 기판 척킹 및 바이어스 보상 모듈(522)은, 저항기(526)에 직렬로 커플링되는 다이오드(524), 및 DC 전압 소스(528), 및 커패시턴스(532) 및 접지(534)에 직렬로 커플링되는 저항기(530)를 포함하는 회로이다. 다이오드(708)는 스위치(706) 및 DC 전류 소스(605)를 보호하기 위한 플라이백 다이오드이다.
[0132] 플라즈마 외장(540)는 전류 소스(544)와 병렬로 커플링되는 외장 커패시턴스(542) 및 접지(548)에 커플링되는 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 외장 모델).
[0133] 사용 중에, 그리고 도 7b에서 도시되는 구성의 경우, DC 전류 소스(605)는 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(706)는, 도 5b의 플롯에서 도시되는 바와 같이, TTL 신호 소스(704)에 의해 제어될 수 있고 메인 펄스 발생기(502)의 출력과 동기화될 수 있다. 스위치(706)는 메인 펄스 발생기(502)의 전압이 상승되어 외장 붕괴 스테이지에 진입하기 이전에 닫힐 수 있다. 스위치(512)는 외장 붕괴 스테이지 동안 닫힌 상태로 유지될 수 있다. 메인 펄스 발생기(502)의 전압이 이온 전류 스테이지의 입구에서 강하된 이후, 스위치(706)는 개방될 수 있고, 그 결과, DC 전압 소스(710)는 이온 전류 스테이지에서 IEDF를 변조하도록 기능한다. 선택적인 커패시턴스(714)는 DC 전류 소스(605)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 도 7b의 제어 메커니즘은 도 6d의 제어 메커니즘과 유사하다. 한 가지 차이점은 도 7b의 제어 회로가 상기에서 설명되는 도 6f에서 도시되어 있고, 도 6d의 제어 회로가 도 6e에서 도시되어 있다는 것이다.
[0134] 도 5a, 도 6a, 도 6d, 도 7a, 도 7b 및 도 8에서 도시되는 구성들의 경우, 기판 척킹 및 바이어스 보상 모듈은 본원에서 설명되는 실시예들의 범위로부터 벗어나지 않으면서 임의의 적절한 방식으로 회로에 연결될 수 있다는 것이 고려된다. 또한, 기판 척킹 및 바이어스 보상 모듈은 본원에서 설명되는 실시예들의 범위로부터 벗어나지 않으면서 추가적인 또는 상이한 컴포넌트들을 포함할 수 있다는 것이 고려된다.
[0135] 도 9a는 기판 지지체 어셈블리(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(900)의 실시예를 예시하는 개략적인 회로 다이어그램이다. 회로(900)는 각각의 이온 전류 위상의 시작에서 기판 전압(도 3a의 전압 강하에 대응함)을 리셋하기 위한 메인 펄스 발생기(502)를 포함한다. 메인 펄스 발생기(502)는 접지(501)에 커플링되는 제1 또는 제2 성형된 DC 펄스 전압 소스(159, 161)일 수 있다. 메인 펄스 발생기(502)는 IEDF 폭 제어 모듈(902)(예를 들면, 도 4d의 제2 전력 모듈)에 커플링된다.
[0136] IEDF 폭 제어 모듈(902)은, 접지(916)에도 또한 커플링되는 스위치(906)에 커플링되는 TTL 신호 소스(904)를 포함하는 회로로서 모델링될 수 있다. TTL 신호 소스(704) 및 스위치(906)는 다이오드(908)에 병렬로 커플링된다. TTL 신호 소스(904), 스위치(906), 및 다이오드(908)의 조합은 기판 척킹 및 바이어스 보상 모듈(920)이 회로의 다른 부분에 연결되는지의 여부를 제어한다. 기판 척킹 및 바이어스 보상 모듈(920)은 저항기(922) 및 DC 전압 소스(924)에 병렬로 커플링되는 커패시턴스(926)를 포함하는 회로이다. 기판 척킹 및 바이어스 보상 모듈(920)은 TTL 신호 소스(904) 및 스위치(906)의 어셈블리, 및 다이오드(908)와 직렬로 커플링된다. 기판 척킹 및 바이어스 보상 모듈(920) 및 스위치(906)는, 전체적으로, 저항기(912)와 직렬로 연결되며, 또한 선택적인 커패시터(914)에 병렬로 연결되는 DC 전압 소스(910)에 병렬로 커플링된다. 다이오드(908)는 스위치(906) 및 DC 전압 소스들(910 및 924)을 보호하기 위한 플라이백 다이오드이다.
[0137] 커패시턴스(536)는 표류 커패시턴스(538)와 플라즈마 외장(540) 사이에서 존재할 수 있는데, 이것은, 예를 들면, 기판 전극(109)과 기판(105) 사이에서, 또는 에지 링 전극(111)과 에지 링(106) 사이에서 형성되는 임피던스의 일부일 수 있다. IEDF 폭 제어 모듈(902)과 기판 척킹 및 바이어스 보상 모듈(920) 둘 모두는 기판 전극(109) 및/또는 에지 링 전극(111)에 커플링된다. IEDF 폭 제어 모듈(902)은 표류 커패시턴스(538)에 또한 커플링된다. 플라즈마 외장(540)는 전류 소스(544)와 병렬로 커플링되는 외장 커패시턴스(542) 및 접지(548)에 커플링되는 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 외장 모델).
[0138] 사용 중에, 그리고 도 9a에서 예시되는 구성의 경우, DC 전압 소스(910)는 저항기(912)와 함께 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(906)는, 도 5b에서 도시되는 바와 같이, TTL 신호 소스(904)에 의해 제어되고 메인 펄스 발생기(502)와 동기화된다. 스위치(906)는 메인 펄스 발생기(502)의 전압이 상승되어 외장 붕괴 스테이지에 진입하기 이전에 닫힐 수 있다. 스위치(512)는 기판 척킹 및 바이어스 보상 모듈(920)이 회로의 다른 부분에 연결되고 기판 척킹 전압을 설정치로 리셋하도록 외장 붕괴 스테이지 동안 닫힌 상태로 유지될 수 있다. 메인 펄스 발생기(502)의 전압이 이온 전류 스테이지의 입구에서 강하된 이후, 스위치(906)는 개방될 수 있고, 그 결과, DC 전압 소스(910)가 이온 전류 스테이지에서 IEDF를 변조하도록 기능한다. 선택적인 커패시터(914)는 DC 전압 소스(910)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 도 9a의 제어 메커니즘은 상기에서 설명되는 도 6b에서 도시되는 것과 유사하다. 한 가지 차이점은 커패시터(C4)가 제거되는 것이다.
[0139] 도 9b는 기판 지지체 어셈블리(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(900)의 대안적인 실시예를 예시하는 개략적인 회로 다이어그램이다. 회로(900)는 각각의 이온 전류 위상의 시작에서 기판 전압(도 3a의 전압 강하에 대응함)을 리셋하기 위한 메인 펄스 발생기(502)를 포함한다. 메인 펄스 발생기(502)는 접지(501)에 커플링되는 제1 또는 제2 성형된 DC 펄스 전압 소스(159, 161)일 수 있다. 메인 펄스 발생기(502)는 IEDF 폭 제어 모듈(902)(예를 들면, 도 4d의 제2 전력 모듈)에 커플링된다.
[0140] IEDF 폭 제어 모듈(902)은, 접지(916)에도 또한 커플링되는 스위치(906)에 커플링되는 TTL 신호 소스(904)를 포함하는 회로로서 모델링될 수 있다. TTL 신호 소스(904) 및 스위치(906)는 다이오드(908)에 병렬로 커플링된다. TTL 신호 소스(904), 스위치(906), 및 다이오드(908)의 조합은 기판 척킹 및 바이어스 보상 모듈(920)이 회로의 나머지 부분에 연결되는지의 여부를 제어한다. 기판 척킹 및 바이어스 보상 모듈(920)은 저항기(922)와 직렬인 DC 전압 소스(924)에 병렬로 커플링되는 커패시턴스(926)를 포함하는 회로이다. 기판 척킹 및 바이어스 보상 모듈(920)은 TTL 신호 소스(904) 및 스위치(906)의 어셈블리, 및 다이오드(908)와 직렬로 커플링된다. 기판 척킹 및 바이어스 보상 모듈(920) 및 스위치(906)는, 전체적으로, DC 전류 소스(605)에 병렬로 커플링되고 선택적인 커패시터(914)에도 또한 병렬로 커플링된다. 다이오드(908)는 스위치(906) 및 DC 전류 소스(605)를 보호하기 위한 플라이백 다이오드이다.
[0141] 커패시턴스(536)는 표류 커패시턴스(538)와 플라즈마 외장(540) 사이에서 존재할 수 있는데, 이것은, 예를 들면, 기판 전극(109)과 기판(105) 사이에서, 또는 에지 링 전극(111)과 에지 링(106) 사이에서 형성되는 임피던스의 일부일 수 있다. IEDF 폭 제어 모듈(902)과 기판 척킹 및 바이어스 보상 모듈(920) 둘 모두는 기판 전극(109) 및/또는 에지 링 전극(111)에 커플링된다. IEDF 폭 제어 모듈(902)은 표류 커패시턴스(538)에 또한 커플링된다. 플라즈마 외장(540)는 전류 소스(544)와 병렬로 커플링되는 외장 커패시턴스(542) 및 접지(548)에 커플링되는 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 외장 모델).
[0142] 사용 중에, 그리고 도 9b에서 예시되는 구성의 경우, DC 전류 소스(605)은 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(906)는, 도 5b에서 도시되는 바와 같이, TTL 신호 소스(904)에 의해 제어되고 메인 펄스 발생기(502)와 동기화된다. 스위치(906)는 메인 펄스 발생기(502)의 전압이 상승되어 외장 붕괴 스테이지에 진입하기 이전에 닫힐 수 있다. 스위치(512)는 기판 척킹 및 바이어스 보상 모듈(920)이 회로의 다른 부분에 연결되고 기판 척킹 전압을 설정치로 리셋하도록 외장 붕괴 스테이지 동안 닫힌 상태로 유지될 수 있다. 메인 펄스 발생기(502)의 전압이 이온 전류 스테이지의 입구에서 강하된 이후, 스위치(906)는 개방될 수 있고, 그 결과, DC 전류 소스(605)는 이온 전류 스테이지에서 IEDF를 변조하도록 기능한다. 선택적인 커패시터(914)는 DC 전류 소스(605)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 도 9b의 제어 메커니즘은 상기에서 설명되는 도 6d에서 도시되는 것과 유사하다. 한 가지 차이점은 커패시터(C4)가 제거되는 것이다.
[0143] 도 10은 기판 지지체 어셈블리(104)의 전극들(109, 111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(1000)의 실시예를 예시하는 개략적인 회로 다이어그램이다. 회로(1000)는 각각의 이온 전류 위상의 시작에서 기판 전압(도 3a의 전압 강하에 대응함)을 리셋하기 위한 메인 펄스 발생기(502)를 포함한다. 메인 펄스 발생기(502)는 접지(501)에 커플링되는 제1 또는 제2 성형된 DC 펄스 전압 소스들(159, 161)일 수 있다. 메인 펄스 발생기(502)는 IEDF 폭 제어 모듈(1002)(예를 들면, 도 4d의 제2 전력 모듈)에 커플링된다.
[0144] IEDF 폭 제어 모듈(1002)은, 스위치(906)에 커플링되며, 접지(916)에도 또한 커플링되는 TTL 신호 소스(904)를 포함하는 회로로서 모델링될 수 있다. TTL 신호 소스(904) 및 스위치(906)는 다이오드(908)에 병렬로 커플링된다. TTL 신호 소스(904) 및 스위치(906), 및 다이오드(908)의 조합은 기판 척킹 및 바이어스 보상 모듈이 회로의 다른 부분에 연결되는지의 여부를 제어한다. 기판 척킹 및 바이어스 보상 모듈(920)은 저항기(922) 및 DC 전압 소스(924)에 병렬로 커플링되는 커패시턴스(926)를 포함하는 회로이다. 다이오드(908)는 스위치, DC 펄스 전압 소스(1004), 및 DC 전압 소스(924)를 보호하기 위한 플라이백 다이오드이다. 기판 척킹 및 바이어스 보상 모듈(920)은 TTL 신호 소스(904) 및 스위치(906)의 어셈블리, 및 다이오드(908)와 직렬로 커플링된다. 기판 척킹 및 바이어스 보상 모듈(920) 및 스위치(906)는, 전체적으로, 성형된 DC 펄스 전압 소스(1004)에 병렬로, 그리고 선택적인 커패시터(914)에도 또한 병렬로 커플링된다.
[0145] 커패시턴스(536)는 표류 커패시턴스(538)와 플라즈마 외장(540) 사이에서 존재할 수 있는데, 이것은, 예를 들면, 기판 전극(109)과 기판(105) 사이에서, 또는 에지 링 전극(111)과 에지 링(106) 사이에서 형성되는 임피던스의 일부일 수 있다. IEDF 폭 제어 모듈(1002)과 기판 척킹 및 바이어스 보상 모듈(920) 둘 모두는 기판 전극(109) 및/또는 에지 링 전극(111)에 커플링된다. IEDF 폭 제어 모듈(1002)은 표류 커패시턴스(538)에 또한 커플링된다. 플라즈마 외장(540)는 전류 소스(544)와 병렬로 커플링되는 외장 커패시턴스(542) 및 접지(548)에 커플링되는 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 외장 모델).
[0146] 사용 중에, 그리고 도 10에서 예시되는 구성의 경우, 성형된 DC 펄스 전압 소스(1004)는, DC 전압 소스(910)가 저항기(912)와 함께 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용하는 도 9a의 구성과는 대조적으로, 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(906)는, 도 5b의 플롯에서 도시되는 바와 같이, TTL 신호 소스(904)에 의해 제어되고 메인 펄스 발생기(502)와 동기화된다. 스위치(906)는 메인 펄스 발생기(502)의 전압이 상승되어 외장 붕괴 스테이지에 진입하기 이전에 닫힐 수 있다. 스위치(512)는 기판 척킹 및 바이어스 보상 모듈이 회로의 다른 부분에 연결되고 기판 척킹 전압을 설정치로 리셋하도록 외장 붕괴 스테이지 동안 닫힌 상태로 유지될 수 있다. 메인 펄스 발생기(502)의 전압이 이온 전류 스테이지의 입구에서 강하된 이후, 스위치(906)는 개방될 수 있고, 그 결과, DC 펄스 전압 소스(1004)가 이온 전류 스테이지에서 IEDF를 변조하도록 기능한다. 선택적인 커패시터(914)는 성형된 DC 펄스 전압 소스(1004)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다.
[0147] 도 10의 제어 메커니즘은 도 5a의 것과 유사하다. 한 가지 차이점은 커패시터(C4)가 제거되는 것이다. 다른 차이점은, 외장 붕괴 스테이지에서 성형된 DC 펄스 전압 소스(1004)의 출력 전압이, 도 5e에서의 트레이스(582)(양의 척킹 전압) 및 트레이스(586)(음의 척킹 전압)로서, 제로 대신 기판 척킹 및 바이어스 보상 모듈(920)의 출력 전압에서 유지된다는 것이다.
방법 예(들)
[0148] 도 11은, 본 개시내용의 적어도 하나의 실시예에 따른, 에지 링 IEDF 폭 제어 회로(155) 및/또는 기판 IEDF 폭 제어 회로(158)를 사용하여 IEDF 폭을 제어하는 방법(1100)의 플로우차트이다. 방법(1100)은 도 5 내지 도 10에서 예시되는 회로 구성들 중 하나 이상을 사용하여 구현될 수 있다. 방법(1100)은 프로세싱 챔버(100) 또는 프로세싱 챔버(200)를 동작시키는 방법을 또한 제공한다.
[0149] 방법(1100)은 전력 모듈(예를 들면, IEDF 폭 제어 모듈)에 커플링되는 메인 펄스 발생기(예를 들면, 메인 펄스 발생기(502))를 활성화하는 것, 또는 턴온하는 것에 의해 적절한 프로세싱 챔버에 전압을 인가하는 것, 또는 다르게는 도입하는 것으로 시작한다. 메인 펄스 발생기는 제1 성형된 DC 펄스 전압 소스(161) 또는 제2 성형된 DC 펄스 전압 소스(159)일 수 있다. IEDF 폭 제어 모듈은, 각각, 기판 IEDF 폭 제어 회로(158), 또는 에지 링 IEDF 폭 제어 회로(155)일 수 있다. 여기서, 전압은 기판 전극, 예를 들면, 기판 전극(109), 및/또는 에지 링 전극, 예를 들면, 에지 링 전극(111)에 도입된다. 기판 전극 및/또는 에지 링 전극 상의 바이어스 전압은 이온 전류 스테이지에서 발생하고, 예를 들면, 외장 전압에 이온들의 전하가 승산된 곱의 에너지에서 이온들을 가속시킨다. 무충돌 외장 모델에서, 대부분의 이온들은 기판 전극 및/또는 에지 링 전극에 충돌할 때 이 최대 에너지에 도달할 수 있다. 그러나, 예를 들면, 기판 전극 및/또는 에지 링 전극에 양의 전하들을 증착하는 이온 전류에 기인하여, 기판 전극 및/또는 에지 링 전극의 전압은 시간이 지남에 따라 증가하여, 외장 전압을 감소시키고 이온 에너지의 확산을 초래한다.
[0150] 동작(1110)에서, 전력 모듈(예를 들면, IEDF 폭 제어 모듈)의 전류, 및/또는 IEDF 폭 제어 모듈의 전압 또는 전압 미분은 외장 커패시턴스(C1) 및/또는 이온 전류(I0)를 결정하기 위해 두 개 이상의 조건들 하에서 측정된다. 여기서, 측정되는 전류는 도 5a, 도 6a, 도 6d, 도 7a, 도 7b, 도 8, 및 9b에서 커패시터(C4)를 통과하는 전류인 전류(I4)일 수 있다. 추가적으로, 또는 대안적으로, 측정되는 전류는 도 6a, 도 6d, 도 7a, 도 7b, 및 도 9b에서 커패시터(C5)를 통과하는 전류인 전류(I5)일 수 있다. 추가적으로 또는 대안적으로, 측정되는 전류는 도 9a 및 도 10의 메인 펄스 발생기의 출력 전류일 수 있다. 전압 미분은 dV3/dt일 수 있다. 측정들은 이온 전류 스테이지에서 수행될 수 있다. 두 개 이상의 조건들은 IEDF 폭 제어 모듈의 능동 노브(예를 들면, DC 전압 소스(V0) 및/또는 DC 전류 소스(Ic) 및/또는 성형된 DC 펄스 전압 소스(dV1/dt))를 두 개의 상이한 값들로 설정하는 것에 의해 달성될 수 있다.
[0151] 한 예로서, 그리고 도 5, 도 8, 및 도 10의 구성들의 경우, 성형된 DC 펄스 전압 소스는 이온 전류 스테이지에서 임의의 두 개의 상이한 기울기들(dV1/dt)로 설정될 수 있다. 다른 예로서, 그리고 도 6d, 도 7b 및 도 9b의 구성들의 경우, DC 전류 소스는 임의의 두 개의 상이한 전류들(Ic)로 설정될 수 있다. 다른 예로서, 그리고 도 6, 도 7, 및 도 9의 구성들의 경우, DC 전압(V0)은 I4가 DC 전압(V0)에 의해 영향을 받는 지점까지 I4를 모니터링하면서 점진적으로 증가될 수 있다. 이 DC 전압은 임계 전압(Vth)이다. DC 전압 소스(V0)에 대한 두 개의 설정치들 중 적어도 하나는 Vth보다 더 크다. 즉, IEDF 폭 제어 모듈의 전류, IEDF 폭 제어 모듈의 전압 또는 전압 미분, 또는 둘 모두를 측정하는 것은, DC 전압 소스, DC 전류 소스, 및/또는 성형된 DC 펄스 전압 소스를 제1 값으로 설정하는 것; 및 DC 전압 소스, DC 전류 소스, 및/또는 성형된 DC 펄스 전압 소스를 제2 값으로 설정하는 것을 포함한다.
[0152] 동작(1115)에서, 이온 전류(I0) 및 외장 커패시턴스(C1)는, 도 5, 도 8, 및 도 10의 구성들의 경우 수학식들 (6) 및 (7)에 기초하여, 또는 도 6, 도 7, 및 도 9의 구성들의 경우 수학식들 (19) 및 (20)에 기초하여, 또는 도 6d, 도 7b, 및 도 9b의 구성들의 경우 수학식들 (31) 및 (32)에 기초하여 계산된다. 계산들에 대한 입력 값들은 다음의 것이다: I3 = C3*dV3/dt; I3' = C3*dV3'/dt; 및/또는 I4, I4'; 및/또는 I5, I5'. C3 및 C3'의 값들은 공지되어 있고, dV3/dt, dV3'/dt, I4, I4', I5 및 I5'의 값들은 동작(1110)에서 측정된다. 그와 같이, I3 및 I3'이 계산될 수 있다.
[0153] 동작(1120)에서, 목표 IEDF 폭(ΔV)을 달성하기 위해, IEDF 폭 제어 모듈의 전압(V0) 또는 전류(Ic) 또는 전압 미분(dV1/dt)에 대한 소망되는 설정치가 결정된다. 이 결정은, 예를 들면, 유저 명시 이온 에너지 분포 폭(ΔV)을 달성하기 위해 IEDF 폭 제어 모듈의 소망되는 설정을 결정하는 것에 기초한다. DC 전압 소스의 DC 전압(V0) 또는 DC 전류 소스의 DC 전류(Ic) 또는 성형된 DC 펄스 전압(V1)의 기울기(dV1/dt)는, 각각, 수학식들 (23), (34), 및 (9)로부터 결정될 수 있다. 동작(1125)에서, IEDF 폭 제어 모듈의 DC 전압(V0) 또는 DC 전류(Ic) 또는 전압 미분(dV1/dt)은 결정된 설정치들로 조정된다.
[0154] IEDF를 제어하기 위한 종래의 프로세스들과는 대조적으로, 본원에서 설명되는 방법은 IEDF 폭 제어 모듈의 소망되는 설정치를 결정하기 위한 루프화(looping)가 없다. 그러나, 그리고 일부 실시예들에서, 소망되는 설정치를 결정하기 위해 루프화가 사용될 수 있다. 그러한 실시예들에서, 컨트롤러는 이온 전류 스테이지에서 I4 및 V3을 모니터링하여 플라즈마 조건들에서의 임의의 변화들을 검출하고 그에 따라 IEDF 폭 제어 모듈의 설정치를 조정할 수 있다.
펄스식 직류 전력 전달 시스템 예들
[0155] 펄스식 직류(DC) 전력 전달 시스템은, DC 펄스 파형들을 메인 펄스 발생기 출력으로 전달하도록 구성되는 메인 펄스 발생기, 및 메인 펄스 발생기의 출력에 전기적으로 커플링되는 전력 모듈 ― 전력 모듈은 형성된 플라즈마 내에서 이온들의 이온 에너지 분포 함수를 제어하도록 구성됨 ― 을 포함하고, 전력 모듈은, 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 메인 펄스 발생기 출력과 접지 사이에서 전기적으로 커플링되고, 전압 소스 또는 전류 소스는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스 또는 DC 전류 소스를 포함함 ― , 및 전압 소스 또는 전류 소스와 병렬로, 그리고 메인 펄스 발생기 출력과 접지 사이에서 전기적으로 커플링되는 스위치를 포함한다.
[0156] 펄스식 직류(DC) 전력 전달 시스템은, DC 펄스 파형들을 메인 펄스 발생기 출력으로 전달하도록 구성되는 메인 펄스 발생기, 및 메인 펄스 발생기의 출력에 전기적으로 커플링되는 전력 모듈 ― 전력 모듈은 형성된 플라즈마 내에서 이온들의 이온 에너지 분포 함수를 제어하도록 구성됨 ― 을 포함하고, 전력 모듈은, 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 메인 펄스 발생기 출력과 접지 사이에서 전기적으로 커플링되고, 전압 소스 또는 전류 소스는 성형된 펄스 전압 소스를 포함함 ― , 및 전압 소스 또는 전류 소스와 병렬로, 그리고 메인 펄스 발생기 출력과 접지 사이에서 전기적으로 커플링되는 스위치를 포함한다.
[0157] 펄스식 직류(DC) 전력 전달 시스템은, DC 펄스 파형들을 메인 펄스 발생기 출력으로 전달하도록 구성되는 메인 펄스 발생기; 및 커패시턴스를 통해 메인 펄스 발생기의 출력에 전기적으로 커플링되는 전력 모듈 ― 전력 모듈은 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되도록 구성되고, 전력 모듈은 형성된 플라즈마 내에서 이온들의 이온 에너지 분포 함수를 제어하도록 구성됨 ― 을 포함하고, 전력 모듈은, 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스 또는 DC 전류 소스를 포함함 ― ; 및 전압 소스 또는 전류 소스에 병렬로 전기적으로 커플링되는 스위치를 포함한다.
[0158] 펄스식 직류(DC) 전력 전달 시스템은, DC 펄스 파형들을 메인 펄스 발생기 출력으로 전달하도록 구성되는 메인 펄스 발생기; 및 커패시턴스를 통해 메인 펄스 발생기의 출력에 전기적으로 커플링되는 전력 모듈 ― 전력 모듈은 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되도록 구성되고, 전력 모듈은 형성된 플라즈마 내에서 이온들의 이온 에너지 분포 함수를 제어하도록 구성됨 ― 을 포함하고, 전력 모듈은, 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 성형된 펄스 전압 소스를 포함함 ― ; 및 전압 소스 또는 전류 소스에 병렬로 전기적으로 커플링되는 스위치를 포함한다.
[0159] 펄스식 직류(DC) 전력 전달 시스템은, DC 펄스 파형들을 메인 펄스 발생기 출력으로 전달하도록 구성되는 메인 펄스 발생기; 및 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되는 전력 모듈 ― 전력 모듈은 형성된 플라즈마 내에서 이온들의 이온 에너지 분포 함수를 제어하도록 구성됨 ― 을 포함하고, 전력 모듈은 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스 또는 DC 전류 소스를 포함함 ― ; 및 전압 소스 또는 전류 소스에 병렬로 전기적으로 커플링되는 스위치를 포함한다.
[0160] 펄스식 직류(DC) 전력 전달 시스템은, DC 펄스 파형들을 메인 펄스 발생기 출력으로 전달하도록 구성되는 메인 펄스 발생기; 및 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되는 전력 모듈 ― 전력 모듈은 형성된 플라즈마 내에서 이온들의 이온 에너지 분포 함수를 제어하도록 구성됨 ― 을 포함하고, 전력 모듈은 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 성형된 펄스 전압 소스를 포함함 ― ; 및 전압 소스 또는 전류 소스에 병렬로 전기적으로 커플링되는 스위치를 포함한다.
[0161] 프로세서 상에서의 실행시, 이온 에너지 분포 함수(IEDF)의 폭을 제어하기 위한 동작들을 수행하는 명령어들을 저장하는 비일시적 컴퓨터 판독 가능 매체로서, 동작들은 메인 펄스 발생기를 활성화하는 것에 의해 프로세싱 챔버의 전극에 전압을 도입하는 것 ― 메인 펄스 발생기는 IEDF 폭 제어 모듈에 전기적으로 커플링되는 메인 펄스 발생기 출력을 갖고, IEDF 폭 제어 모듈은 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 메인 펄스 발생기 출력과 접지 사이에서, 또는 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되고, 전압 소스 또는 전류 소스는 성형된 펄스 전압 소스 또는 DC 전류 소스 또는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스를 포함함 ― 및 전압 소스 또는 전류 소스와 병렬로, 그리고 메인 펄스 발생기 출력과 접지 사이에서, 또는 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되는 스위치를 포함함 ― , IEDF 폭 제어 모듈의 전류 및 IEDF 폭 제어 모듈의 전압 또는 전압 미분 또는 메인 펄스 발생기와 챔버 플라즈마 부하 사이의 노드를 측정하는 것, IEDF 폭 제어 모듈의 전류 및 전압 또는 전압 미분에 기초하여 프로세싱 챔버의 이온 전류 및 플라즈마 부하의 커패시턴스를 계산하는 것, IEDF 폭 제어 모듈의 전류 또는 전압 또는 전압 미분, 또는 이들의 임의의 조합에 대한 설정치를 결정하는 것, 및 IEDF의 폭을 제어하기 위해 IEDF 폭 제어 모듈의 전류 또는 전압 또는 전압 미분, 또는 이들의 임의의 조합을 결정된 설정치들로 조정하는 것을 포함한다.
[0162] 펄스식 직류(DC) 전력 전달 시스템의 일부 실시예들에서, 메인 펄스 발생기 출력은 IEDF 폭 제어 모듈에 전기적으로 커플링되는데, IEDF 폭 제어 모듈은 전압 소스 또는 전류 소스 ― 전압 소스 또는 전류 소스는 메인 펄스 발생기 출력과 접지 사이에서 또는 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되고, 전압 소스 또는 전류 소스는 DC 전류 소스 또는 성형된 DC 펄스 전압 소스(shaped DC pulse voltage source) 또는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스를 포함함 ― , 및 전압 소스 또는 전류 소스와 병렬로, 그리고 메인 펄스 발생기 출력과 접지 사이에서 또는 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되는 스위치를 포함한다. 펄스식 직류(DC) 전력 전달 시스템은, 프로세서 상에서의 실행시, 이온 에너지 분포 함수(IEDF)의 폭을 제어하기 위한 동작들을 수행하는 소프트웨어 명령어들을 포함하는 비일시적 컴퓨터 판독 가능 매체를 또한 포함하는데, 동작들은 메인 펄스 발생기의 메인 펄스 발생기 출력으로부터 프로세싱 챔버의 전극으로 제1 펄스 전압 파형을 전달하는 것, IEDF 폭 제어 모듈로부터 흐르는 전류, 메인 펄스 발생기와 챔버 플라즈마 부하 사이의 노드의 전압 또는 전압 미분을 측정하는 것, 측정된 전류, 측정된 전압 또는 측정된 전압 미분에 기초하여 프로세싱 챔버의 이온 전류 및 프로세싱 챔버의 커패시턴스를 계산하는 것, IEDF 폭 제어 모듈로부터 제공되는 전압에 대한 설정치 또는 IEDF 폭 제어 모듈로부터 제공되는 전압 미분에 대한 설정치를 결정하는 것, 및 IEDF의 폭을 제어하기 위해, IEDF 폭 제어 모듈로부터 제공되는 전압 또는 IEDF 폭 제어 모듈로부터 제공되는 전압 미분을 조정하는 것을 포함한다.
[0163] 본원에서 설명되는 방법들 및 장치, 예를 들면, 회로들은 펄스식 DC 기판 전압의 전압 파형의 형상(예를 들면, 좁은, 또는 조정 가능한 폭)에 대한 제어를 가능하게 한다. 본원에서 설명되는 실시예들은, 예를 들면, 단일 에너지 이온 가속을 포함하는 이온 에너지 분포에 대한 제어를 추가로 가능하게 한다.
[0164] 전술한 일반적인 설명 및 구체적인 실시예들로부터 명백한 바와 같이, 본 개시내용의 형태들이 예시되고 설명되었지만, 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서 다양한 수정들이 이루어질 수 있다. 따라서, 본 개시내용이 그에 의해 제한되어야 한다는 것이 의도되지 않는다. 마찬가지로, 용어 "포함하는(comprising)"은 용어 "구비하는(including)"과 동의어로서 간주된다. 마찬가지로, 조성물, 엘리먼트 또는 엘리먼트들의 그룹에 전환 어구(transitional phrase) "포함하는(comprising)"이 후행될 때마다, 조성물, 엘리먼트, 또는 엘리먼트들의 기재에 후행하는 전환 어구"를 필수적 요소로 하여 구성되는(consisting essentially of)", "구성되는(consisting of)", "로 구성되는 그룹으로부터 선택되는(selected from the group of consisting of)", 또는 "인(is)"을 갖는 동일한 조성물 또는 엘리먼트들의 그룹을 또한 고려한다는 것이 이해되고, 그 반대도 마찬가지이다.
[0165] 전술한 내용이 본 개시내용의 예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 예들이 본 개시내용의 기본 범위로부터 벗어나지 않으면서 고안될 수 있고, 그 범위는 후속하는 청구항들에 의해 결정된다.

Claims (19)

  1. 펄스식 직류(direct current; DC) 전력 전달 시스템으로서,
    DC 펄스 파형들을 메인 펄스 발생기 출력(main pulser output)으로 전달하도록 구성되는 메인 펄스 발생기(main pulser); 및
    상기 메인 펄스 발생기의 상기 메인 펄스 발생기 출력에 전기적으로 커플링되는 전력 모듈 ― 상기 전력 모듈은 형성된 플라즈마 내의 이온들의 이온 에너지 분포 함수를 제어하도록 구성됨 ― 을 포함하고,
    상기 전력 모듈은,
    전압 소스 또는 전류 소스 ― 상기 전압 소스 또는 전류 소스는 상기 메인 펄스 발생기 출력과 접지 사이에서 전기적으로 커플링되고, 상기 전압 소스 또는 상기 전류 소스는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스, DC 전류 소스, 또는 성형된 DC 펄스 전압 소스 중 적어도 하나를 포함함 ― ; 및
    상기 전압 소스 또는 상기 전류 소스와 병렬로, 그리고 상기 메인 펄스 발생기 출력과 상기 접지 사이에서 전기적으로 커플링되는 스위치를 포함하는,
    펄스식 DC 전력 전달 시스템.
  2. 제1 항에 있어서,
    상기 전압 소스 또는 상기 전류 소스는 상기 DC 전압 소스 및 저항기를 포함하고, 그리고
    상기 전력 모듈은 커패시턴스 및 다이오드를 더 포함하고, 상기 커패시턴스 및 다이오드 둘 모두는 상기 DC 전압 소스 및 저항기와 병렬로 전기적으로 커플링되는,
    펄스식 DC 전력 전달 시스템.
  3. 제2 항에 있어서,
    전류 복귀 경로가 상기 전력 모듈과 상기 메인 펄스 발생기 출력 사이에서 전기적으로 커플링되는,
    펄스식 DC 전력 전달 시스템.
  4. 제3 항에 있어서,
    상기 전류 복귀 경로는 저항기와 직렬로 전기적으로 커플링되는 인덕터를 포함하고, 상기 저항기는 상기 전력 모듈 또는 상기 펄스 발생기 출력과 직렬로 전기적으로 커플링되며, 상기 인덕터는 상기 메인 펄스 발생기 출력 또는 상기 전력 모듈에 추가로 전기적으로 커플링되는,
    펄스식 DC 전력 전달 시스템.
  5. 제1 항에 있어서,
    상기 메인 펄스 발생기 출력은 반도체 프로세싱 챔버 내의 전극에 전기적으로 커플링 가능한,
    펄스식 DC 전력 전달 시스템.
  6. 제1 항에 있어서,
    프로세싱 유닛에 의해 실행될 때, 상기 메인 펄스 발생기 출력으로부터 프로세싱 챔버 내의 제1 전극으로 전달되는 전압 파형의 기울기, 상기 메인 펄스 발생기 출력으로부터 상기 프로세싱 챔버 내의 제2 전극으로 전달되는 전압 파형의 기울기, 또는 이들의 조합을 제어하기 위해, 상기 DC 전압 소스 또는 상기 DC 전류 소스 또는 상기 성형된 DC 펄스 전압 소스의 출력을 조정하는 소프트웨어를 더 포함하는,
    펄스식 DC 전력 전달 시스템.
  7. 제6 항에 있어서,
    상기 메인 펄스 발생기 출력은 플라즈마 프로세싱 챔버 내에 배치되는 기판 전극 또는 에지 링 전극에 전기적으로 커플링되는,
    펄스식 DC 전력 전달 시스템.
  8. 제1 항에 있어서,
    상기 전력 모듈과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되는 차단 커패시턴스; 및
    상기 차단 커패시턴스와 상기 전극 사이에서 전기적으로 커플링되는 바이어스 보상 모듈을 더 포함하는,
    펄스식 DC 전력 전달 시스템.
  9. 펄스식 직류(DC) 전력 전달 시스템으로서,
    DC 펄스 파형들을 메인 펄스 발생기 출력으로 전달하도록 구성되는 메인 펄스 발생기; 및
    상기 메인 펄스 발생기의 상기 출력에 전기적으로 커플링되는 전력 모듈 ― 상기 전력 모듈은 형성된 플라즈마 내의 이온들의 이온 에너지 분포 함수를 제어하도록 구성됨 ― 을 포함하고,
    상기 전력 모듈은,
    전압 소스 또는 전류 소스 ― 상기 전압 소스 또는 전류 소스는 상기 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되도록 구성되고, 상기 전압 소스 또는 상기 전류 소스는 DC 전류 소스 또는 성형된 DC 펄스 전압 소스 또는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스를 포함함 ― ; 및
    상기 전압 소스 또는 상기 전류 소스에 병렬로 전기적으로 커플링되는 스위치를 포함하는,
    펄스식 DC 전력 전달 시스템.
  10. 제9 항에 있어서,
    상기 전력 모듈은 커패시턴스 및 다이오드를 더 포함하고, 상기 커패시턴스 및 다이오드 둘 모두는 상기 DC 전압 소스와 병렬로 전기적으로 커플링되는,
    펄스식 DC 전력 전달 시스템.
  11. 제9 항에 있어서,
    상기 전력 모듈은 반도체 프로세싱 챔버 내의 전극에 전기적으로 커플링 가능한,
    펄스식 DC 전력 전달 시스템.
  12. 제9 항에 있어서,
    프로세싱 유닛에 의해 실행될 때, 상기 메인 펄스 발생기 출력으로부터 프로세싱 챔버 내의 제1 전극으로 전달되는 전압 파형의 기울기, 상기 메인 펄스 발생기 출력으로부터 상기 프로세싱 챔버 내의 제2 전극으로 전달되는 전압 파형의 기울기, 또는 이들의 조합을 제어하기 위해, 상기 DC 전압 소스 또는 상기 DC 전류 소스 또는 상기 성형된 DC 펄스 전압 소스의 출력을 조정하는 소프트웨어를 더 포함하는,
    펄스식 DC 전력 전달 시스템.
  13. 제9 항에 있어서,
    상기 전력 모듈 출력은 플라즈마 프로세싱 챔버 내에 배치되는 기판 전극 또는 에지 링 전극에 전기적으로 커플링되는,
    펄스식 DC 전력 전달 시스템.
  14. 제9 항에 있어서,
    상기 프로세싱 챔버 내의 전극과 상기 전력 모듈 사이에서, 또는 상기 전력 모듈과 병렬로 전기적으로 커플링되는 바이어스 보상 모듈을 더 포함하는,
    펄스식 DC 전력 전달 시스템.
  15. 제14 항에 있어서,
    상기 바이어스 보상 모듈과 상기 메인 펄스 발생기 출력 사이에서 전기적으로 커플링되는 차단 커패시터를 더 포함하는,
    펄스식 DC 전력 전달 시스템.
  16. 프로세서 상에서의 실행시, 이온 에너지 분포 함수(ion energy distribution function; IEDF)의 폭을 제어하기 위한 동작들을 수행하는 명령어들을 저장하는 비일시적 컴퓨터 판독 가능 매체로서,
    상기 동작들은,
    메인 펄스 발생기의 메인 펄스 발생기 출력으로부터 프로세싱 챔버의 전극으로 제1 펄스 전압 파형을 전달하는 것 ― 상기 메인 펄스 발생기 출력은 IEDF 폭 제어 모듈에 전기적으로 커플링되고, 상기 IEDF 폭 제어 모듈은,
    전압 소스 또는 전류 소스 ― 상기 전압 소스 또는 전류 소스는 상기 메인 펄스 발생기 출력과 접지 사이에서 또는 상기 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되고, 상기 전압 소스 또는 상기 전류 소스는 DC 전류 소스 또는 성형된 DC 펄스 전압 소스 또는 저항기에 직렬로 전기적으로 커플링되는 DC 전압 소스를 포함함 ― ; 및
    상기 전압 소스 또는 상기 전류 소스와 병렬로, 그리고 상기 메인 펄스 발생기 출력과 상기 접지 사이에서 또는 상기 메인 펄스 발생기 출력과 프로세싱 챔버 내의 전극 사이에서 전기적으로 커플링되는 스위치
    를 포함함 ― ;
    상기 IEDF 폭 제어 모듈로부터 흐르는 전류, 상기 IEDF 폭 제어 모듈에 의해 인가되는 전압, 및 상기 IEDF 폭 제어 모듈과 상기 프로세싱 챔버 내의 상기 전극 사이의 노드에서의 전압 미분(voltage derivative) 중 적어도 하나를 측정하는 것;
    상기 측정된 전류, 상기 측정된 전압 및 상기 측정된 전압 미분 중 적어도 하나에 기초하여 상기 프로세싱 챔버의 이온 전류 및 상기 프로세싱 챔버의 커패시턴스를 계산하는 것;
    상기 IEDF 폭 제어 모듈로부터 제공되는 전압 또는 전류에 대한 설정치 또는 상기 IEDF 폭 제어 모듈로부터 제공되는 전압 미분에 대한 설정치를 결정하는 것; 및
    상기 IEDF의 상기 폭을 제어하기 위해, 상기 IEDF 폭 제어 모듈로부터 제공되는 상기 전압 또는 상기 전류, 또는 상기 IEDF 폭 제어 모듈로부터 제공되는 전압 미분을 조정하는 것을 포함하는,
    명령어들을 저장하는 비일시적 컴퓨터 판독 가능 매체.
  17. 제16 항에 있어서,
    상기 IEDF 폭 제어 모듈의 전류 및 상기 IEDF 폭 제어 모듈의 전압 미분을 측정하는 것은,
    상기 IEDF 폭 제어 모듈의 DC 전압을 두 개의 상이한 값들로 설정하는 것; 및
    상기 IEDF 폭 제어 모듈의 전압 또는 전압 미분을 두 개의 상이한 값들로 설정하는 것; 및
    상기 IEDF 폭 제어 모듈의 DC 전류를 두 개의 상이한 값들로 설정하는 것을 포함하는,
    명령어들을 저장하는 비일시적 컴퓨터 판독 가능 매체.
  18. 제16 항에 있어서,
    상기 IEDF 폭 제어 모듈은 커패시턴스 및 다이오드를 포함하고, 상기 커패시턴스 및 다이오드 둘 모두는 상기 DC 전압 소스 또는 상기 DC 전류 소스 또는 성형된 DC 펄스 전압 소스와 병렬로 전기적으로 커플링되는,
    명령어들을 저장하는 비일시적 컴퓨터 판독 가능 매체.
  19. 제16 항에 있어서,
    상기 IEDF 폭 제어 모듈과 상기 메인 펄스 발생기 출력 사이에서 커플링되는 전류 복귀 경로를 더 포함하고, 상기 전류 복귀 경로는 저항기와 직렬로 커플링되는 인덕터를 포함하고, 상기 저항기 또는 상기 인덕터는 상기 IEDF 폭 제어 모듈과 직렬로 커플링되고, 상기 인덕터 또는 상기 저항기는 상기 메인 펄스 발생기 출력에 추가로 커플링되는,
    명령어들을 저장하는 비일시적 컴퓨터 판독 가능 매체.
KR1020237002444A 2020-11-16 2021-10-13 이온 에너지 분포를 제어하기 위한 장치 및 방법들 KR20230024422A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17/099,342 US11798790B2 (en) 2020-11-16 2020-11-16 Apparatus and methods for controlling ion energy distribution
US17/099,342 2020-11-16
US17/159,133 2021-01-26
US17/159,133 US11901157B2 (en) 2020-11-16 2021-01-26 Apparatus and methods for controlling ion energy distribution
PCT/US2021/054814 WO2022103544A1 (en) 2020-11-16 2021-10-13 Apparatus and methods for controlling ion energy distribution

Publications (1)

Publication Number Publication Date
KR20230024422A true KR20230024422A (ko) 2023-02-20

Family

ID=81586829

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237002444A KR20230024422A (ko) 2020-11-16 2021-10-13 이온 에너지 분포를 제어하기 위한 장치 및 방법들

Country Status (7)

Country Link
US (1) US11901157B2 (ko)
EP (1) EP4244884A1 (ko)
JP (1) JP7461565B2 (ko)
KR (1) KR20230024422A (ko)
CN (1) CN116250059A (ko)
TW (1) TW202236354A (ko)
WO (1) WO2022103544A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7475193B2 (ja) * 2020-05-07 2024-04-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11996274B2 (en) * 2022-04-07 2024-05-28 Mks Instruments, Inc. Real-time, non-invasive IEDF plasma sensor

Family Cites Families (581)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ko) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
CN1103655C (zh) 1997-10-15 2003-03-26 东京电子株式会社 应用等离子体密度梯度来产生粒子流的装置和方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
EP1119033A4 (en) 1998-09-18 2004-11-17 Tokyo Electron Ltd PLASMA PROCESSING
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
CN1241316C (zh) 1999-07-13 2006-02-08 东京电子株式会社 产生感性耦合的等离子的射频电源
KR20020046276A (ko) 1999-08-02 2002-06-20 로버트 엠. 포터 이온 소스를 이용하는 박막 퇴적 시스템의 개선된 전자방출 표면
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
ATE420454T1 (de) 1999-08-17 2009-01-15 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP4718093B2 (ja) 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
KR100842947B1 (ko) 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DK1253216T3 (da) 2001-04-27 2004-03-22 Europ Economic Community Fremgangsmåde og apparat til sekventiel plasmabehandling
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
ATE557418T1 (de) 2001-10-31 2012-05-15 Tokyo Electron Ltd Verfahren zum ätzen von merkmalen mit hohem streckungsverhältnis
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4319514B2 (ja) 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
JP4644128B2 (ja) 2003-11-28 2011-03-02 株式会社アドバンテスト デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN102263026B (zh) 2004-06-21 2016-01-20 东京毅力科创株式会社 等离子体处理装置和方法
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
CN101278385B (zh) 2004-11-04 2011-10-12 株式会社爱发科 静电吸盘装置
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
PL1701376T3 (pl) 2005-03-10 2007-04-30 Huettinger Elektronik Gmbh Co Kg Próżniowy generator plazmowy
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
ATE500604T1 (de) 2005-03-30 2011-03-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
CN101053283A (zh) 2005-05-13 2007-10-10 松下电器产业株式会社 电介质阻挡放电灯点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
EP1982400A4 (en) 2006-01-23 2014-08-13 Audera Internat Sales Inc POWER SUPPLY FOR LIMITED POWER SOURCES AND AUDIOVER AMPLIFIERS WITH A POWER SUPPLY
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
EP1926122B1 (de) 2006-11-23 2009-11-11 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US8435389B2 (en) 2006-12-12 2013-05-07 Oc Oerlikon Balzers Ag RF substrate bias with high power impulse magnetron sputtering (HIPIMS)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
DE112007003667A5 (de) 2007-07-23 2010-07-01 Hüttinger Elektronik GmbH & Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
KR20100095560A (ko) 2007-11-26 2010-08-31 도쿄엘렉트론가부시키가이샤 미소 구조체 검사 장치 및 미소 구조체 검사 방법
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
CN101960556B (zh) 2008-03-06 2013-09-18 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4932942B2 (ja) 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US9313872B2 (en) 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
EP2544616B1 (en) 2010-03-11 2017-09-06 Medtronic Advanced Energy LLC Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
KR101783077B1 (ko) 2010-06-11 2017-09-28 도쿄엘렉트론가부시키가이샤 화학 증착 제어용 장치 및 방법
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP4226935A3 (en) 2010-08-31 2023-09-06 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US20130344702A1 (en) 2011-03-04 2013-12-26 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
WO2013114882A1 (ja) 2012-02-01 2013-08-08 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
US9922802B2 (en) 2012-02-20 2018-03-20 Tokyo Electron Limited Power supply system, plasma etching apparatus, and plasma etching method
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
WO2013146920A1 (ja) 2012-03-30 2013-10-03 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
EP2885248B1 (en) 2012-08-15 2018-08-22 Lockheed Martin Energy, LLC High solubility iron hexacyanides
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
KR101860182B1 (ko) 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
WO2014069559A1 (ja) 2012-11-01 2014-05-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
EP3005220B1 (en) 2013-06-04 2019-09-04 Eagle Harbor Technologies Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9711335B2 (en) 2013-07-17 2017-07-18 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (DMS) processes
JP6441927B2 (ja) 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
JP2017507477A (ja) 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスカーボンフィルムの中へのイオン注入による高エッチング選択性ハードマスク材料の開発
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
WO2015148490A1 (en) 2014-03-24 2015-10-01 Advanced Energy Industries, Inc. System and method for control of high efficiency generator source impedance
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
TWI714074B (zh) 2015-01-16 2020-12-21 美商艾克塞利斯科技公司 離子植入系統及具有可變能量控制的方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
WO2016131061A1 (en) 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
EP3975207B1 (en) 2015-11-30 2023-12-20 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
JP2019504481A (ja) 2015-12-07 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャックを使用した基板の固定と開放のための方法及び装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11430635B2 (en) * 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) * 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10438797B2 (en) 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3563646A4 (en) 2016-12-30 2020-01-22 Eagle Harbor Technologies, Inc. INDUCTIVE HIGH VOLTAGE ADDER
US20180190501A1 (en) 2017-01-05 2018-07-05 Tokyo Electron Limited Plasma processing apparatus
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US20180218905A1 (en) 2017-02-02 2018-08-02 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
EP4266579A3 (en) 2017-02-07 2023-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
KR20190121864A (ko) 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
EP3586441B1 (en) 2017-03-31 2020-10-21 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
CN110945709B (zh) 2017-05-30 2023-08-15 泰坦先进能源解决方案公司 电池寿命估计和容量恢复
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
TWI806772B (zh) 2017-08-17 2023-06-21 日商東京威力科創股份有限公司 工業製造設備中特性的即時感測裝置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) * 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
KR102361417B1 (ko) 2017-09-26 2022-02-09 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 점화를 위한 시스템 및 방법
WO2019087977A1 (ja) 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
KR102387008B1 (ko) 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
KR20200074961A (ko) 2017-11-16 2020-06-25 도쿄엘렉트론가부시키가이샤 동기화된 신호 변조를 통한 플라즈마 공정 시스템
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
CN117612918A (zh) 2018-05-03 2024-02-27 应用材料公司 用于基座的rf接地配置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
CN112088303A (zh) 2018-06-18 2020-12-15 东京毅力科创株式会社 对制造设备中的特性的降低干扰的实时感测
WO2019244734A1 (ja) 2018-06-22 2019-12-26 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
WO2020051064A1 (en) 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
WO2020101734A1 (en) 2018-11-14 2020-05-22 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
JP7297795B2 (ja) 2019-01-09 2023-06-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
WO2021003319A1 (en) 2019-07-02 2021-01-07 Eagle Harbor Technologies. Inc Nanosecond pulser rf isolation
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
WO2021062223A1 (en) 2019-09-25 2021-04-01 Eagle Harbor Technologies, Inc. Nonlinear transmission line high voltage pulse sharpening with energy recovery
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
JP7285377B2 (ja) 2019-12-24 2023-06-01 イーグル ハーバー テクノロジーズ,インク. プラズマシステム用ナノ秒パルサrf絶縁
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution

Also Published As

Publication number Publication date
JP2023540835A (ja) 2023-09-27
US11901157B2 (en) 2024-02-13
JP7461565B2 (ja) 2024-04-03
CN116250059A (zh) 2023-06-09
US20220157561A1 (en) 2022-05-19
TW202236354A (zh) 2022-09-16
WO2022103544A1 (en) 2022-05-19
EP4244884A1 (en) 2023-09-20

Similar Documents

Publication Publication Date Title
JP6986113B2 (ja) 修正された周期的電圧関数を電気ノードに提供するための装置およびコンピュータ読み取り可能な記憶媒体
KR20230024422A (ko) 이온 에너지 분포를 제어하기 위한 장치 및 방법들
KR101800623B1 (ko) 스위칭 모드 이온 에너지 분포 시스템의 고장, 비정상 및 다른 특성들을 모니터링하기 위한 시스템 및 방법
US9210790B2 (en) Systems and methods for calibrating a switched mode ion energy distribution system
US10388544B2 (en) Substrate processing apparatus and substrate processing method
US20200090905A1 (en) Ion energy bias control with plasma-source pulsing
US20230420229A1 (en) Apparatus and methods for controlling ion energy distribution
TWI801845B (zh) 用於提供電壓之系統和設備以及相關的非暫時性有形處理器可讀取儲存媒體
US20120319584A1 (en) Method of controlling the switched mode ion energy distribution system
US11437221B2 (en) Spatial monitoring and control of plasma processing environments
KR101283360B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR20150047599A (ko) 스위칭 모드 이온 에너지 분포 시스템을 제어하는 방법
US20230377839A1 (en) Apparatus to produce a waveform
WO2022173626A1 (en) Spatial monitoring and control of plasma processing environments
TW202309971A (zh) 電漿處理期間的自動靜電卡盤偏壓補償