CN116250059A - 用于控制离子能量分布的装置和方法 - Google Patents

用于控制离子能量分布的装置和方法 Download PDF

Info

Publication number
CN116250059A
CN116250059A CN202180060516.3A CN202180060516A CN116250059A CN 116250059 A CN116250059 A CN 116250059A CN 202180060516 A CN202180060516 A CN 202180060516A CN 116250059 A CN116250059 A CN 116250059A
Authority
CN
China
Prior art keywords
voltage
substrate
current
source
voltage source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180060516.3A
Other languages
English (en)
Inventor
崔琳锳
J·罗杰斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/099,342 external-priority patent/US11798790B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116250059A publication Critical patent/CN116250059A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本公开的实施例大体上涉及用于在等离子体处理期间控制离子能量分布的装置和方法。在实施例中,装置包括具有主体的基板支撑件,所述主体具有用于将基板电压施加到基板的基板电极和被嵌入以用于将边缘环电压施加到边缘环的边缘环电极。装置进一步包括耦合到基板电极的基板电压控制电路和耦合到边缘环电极的边缘环电压控制电路。基板电极、边缘环电极、或两者耦合到被配置为主动地控制到达基板、边缘环、或两者的离子的能量分布函数宽度的功率模块。还描述了用于在基板处理期间控制离子的能量分布函数宽度的方法。

Description

用于控制离子能量分布的装置和方法
技术领域
本公开的实施例大体上涉及用于基板的等离子体处理的装置和方法,并且更特定地,涉及用于在等离子体处理期间控制离子能量分布的装置和方法。
相关技术说明
在基板的等离子体处理期间,离子对于基板表面处理、蚀刻和沉积起关键作用。冲击基板表面的离子可以具有由离子能量分布函数(Ion Energy Distribution Function;IEDF)描述的各种能量。对IEDF的控制可以是各种基板处理方案的重要因素。然而,控制IEDF仍然是一个挑战。例如,当将周期性交替的电压施加到腔室的电极时,可能在基板之上形成等离子体鞘。朝向基板流动的离子由与施加到电极的电压相关的等离子体鞘电压加速。同时,离子电流可为基板充电并且改变基板电位,继而影响等离子体鞘电压,以使得基板表面处的IEDF也受到影响,例如,加宽。在此种实例及其他实例中用于控制IEDF的现有技术的方法是基于低效的迭代回路。
需要新的且改良的用于控制IEDF的方法。
发明内容
本公开的实施例大体上涉及用于基板的等离子体处理的装置和方法,并且更具体地涉及用于在等离子体处理期间控制离子能量分布的装置和方法。
本公开的实施例可提供控制离子能量分布函数(IEDF)的方法。所述方法包括:通过启动主脉冲器将电压引入处理腔室的电极,主脉冲器耦合到IEDF宽度控制模块;以及测量IEDF宽度控制模块的电流和IEDF宽度控制模块的电压或电压导数。所述方法进一步包括基于IEDF宽度控制模块的电流和电压或电压导数来计算处理腔室的离子电流和处理腔室的电容。所述方法进一步包括:确定主脉冲器的DC电压的设定点、IEDF宽度控制模块的电压或电压导数的设定点、或两者;以及将主脉冲器的DC电压、IEDF宽度控制模块的电压或电压导数、或两者调节到所确定的设定点以控制IEDF的宽度。
本公开的实施例还可提供一种用于控制离子能量分布的装置。装置包括具有主体的基板支撑件,所述主体具有基板支撑部分,所述基板支撑部分具有嵌入其中的基板电极,以用于将基板电压施加到基板。主体进一步包括邻近基板支撑部分设置的边缘环部分,边缘环部分具有嵌入其中的边缘环电极,以用于将边缘环电压施加到边缘环。装置进一步包括耦合到基板电极的基板电压控制电路和耦合到边缘环电极的边缘环电压控制电路。基板电极耦合到被配置为主动地控制到达基板的离子的能量分布函数宽度的功率模块,或边缘环电极耦合到被配置为主动地控制到达边缘环的离子的能量分布函数宽度的功率模块,或其组合。基板电压控制电路、边缘环电压控制电路、或两者包含耦合到电流返回路径的主脉冲器,电流返回路径耦合到功率模块和处理腔室,其中功率模块包含电压源、电流源、或其组合。
本公开的实施例还可提供一种用于控制离子能量分布的装置。装置包括具有主体的基板支撑件,所述主体具有基板支撑部分,所述基板支撑部分具有嵌入其中的基板电极,以用于将基板电压施加到基板。主体进一步包括邻近基板支撑部分设置的边缘环部分,边缘环部分具有嵌入其中的边缘环电极,以用于将边缘环电压施加到边缘环。装置进一步包括耦合到基板电极的基板电压控制电路和耦合到边缘环电极的边缘环电压控制电路。基板电极耦合到被配置为主动地控制到达基板的离子的能量分布函数宽度的功率模块,或边缘环电极耦合到被配置为主动地控制到达边缘环的离子的能量分布函数宽度的功率模块,或其组合。基板电压控制电路、边缘环电压控制电路、或两者包含耦合到功率模块的主脉冲器,功率模块耦合到处理腔室,功率模块包含电压源、电流源、或其组合。
本公开的实施例还可提供一种用于控制离子能量分布的装置。装置包括具有主体的基板支撑件,所述主体具有基板支撑部分,所述基板支撑部分具有嵌入其中的基板电极,以用于将基板电压施加到基板。主体进一步包括邻近基板支撑部分设置的边缘环部分,边缘环部分具有嵌入其中的边缘环电极,以用于将边缘环电压施加到边缘环。装置进一步包括耦合到基板电极的基板电压控制电路和耦合到边缘环电极的边缘环电压控制电路。基板电极耦合到被配置为主动控制到达基板的离子的能量分布函数宽度的功率模块,或边缘环电极耦合到被配置为主动控制到达边缘环的离子的能量分布函数宽度的功率模块,或其组合。基板电压控制电路、边缘环电压控制电路、或两者包含耦合到功率模块的主脉冲器,功率模块耦合到处理腔室,其中功率模块与基板吸附和偏压补偿模块并联,并且其中功率模块包含电压源、电流源、或其组合。
本公开的实施例还可提供一种用于控制离子能量分布的装置,所述装置包括:IEDF宽度控制模块,包含电压源或电流源,其中电压源或电流源在主脉冲器输出与接地之间或在主脉冲器输出与处理腔室内的电极之间电气耦合,并且其中电压源或电流源包含串联地电气耦合到电阻器的DC电流源或经整形的DC脉冲电压源或DC电压源;以及开关,所述开关与电压源或电流源并联地电气耦合,并且在主脉冲器输出与接地之间或在主脉冲器输出与处理腔室内的电极之间电气耦合。装置还包括非瞬态计算机可读介质,所述非瞬态计算机可读介质包括指令,当在处理器上执行时,所述指令执行用于控制离子能量分布函数(IEDF)的宽度的操作,操作包含:将第一脉冲电压波形从主脉冲器的主脉冲器输出递送到处理腔室的电极,主脉冲器输出电气耦合到IEDF宽度控制模块;测量从IEDF宽度控制模块流动的电流、通过IEDF宽度控制模块施加的电压、以及在IEDF宽度控制模块与处理腔室中的电极之间的节点处的电压导数中的至少一者;基于测量的电流、测量的电压、以及测量的电压导数中的至少一者来计算处理腔室的离子电流和处理腔室的电容;确定从IEDF宽度控制模块提供的电压或电流的设定点或从IEDF宽度控制模块提供的电压导数的设定点;以及调节从IEDF宽度控制模块提供的电压或电流、或从IEDF宽度控制模块提供的电压导数,以控制IEDF的宽度。
本公开的实施例还可提供一种脉冲直流(DC)功率递送系统,包含:主脉冲器,经配置为将DC脉冲波形递送到主脉冲器输出;以及功率模块,电气耦合到主脉冲器的主脉冲器输出,功率模块经配置为控制形成的等离子体内的离子的离子能量分布函数。功率模块可包括电压源或电流源,其中电压源或电流源在主脉冲器输出与接地之间电气耦合,并且其中电压源或电流源包含串联地电气耦合到电阻器的DC电压源、DC电流源、或经整形的DC脉冲电压源中的至少一者;以及开关,所述开关与电压源或电流源并联地电气耦合,并且在主脉冲器输出与接地之间电气耦合。
本公开的实施例还可提供一种脉冲直流(DC)功率递送系统,包含:主脉冲器,所述主脉冲器被配置为将DC脉冲波形递送到主脉冲器输出;以及功率模块,所述功率模块电气耦合到主脉冲器的输出,功率模块被配置为控制形成的等离子体内的离子的离子能量分布函数,功率模块包含:电压源或电流源,其中电压源或电流源被配置为在主脉冲器输出与处理腔室内的电极之间电气耦合,并且其中电压源或电流源包含串联地电气耦合到电阻器的DC电流源或经整形的DC脉冲电压源或DC电压源;以及开关,所述开关并联地电气耦合到电压源或电流源。
附图说明
为了能够详细理解本公开的上述特征,可参考实施例(其中一些实施例在附图中示出)进行对上文简要概述的本公开进行更具体描述。然而,将注意,附图仅示出示例性实施例,并且由此不被认为限制其范围,由于本公开可允许其他等同有效的实施例。
图1是根据本公开的至少一个实施例的示例处理腔室的示意性剖面图。
图2是根据本公开的至少一个实施例的示例性处理腔室的示意性概述。
图3A是根据本公开的至少一个实施例的图示基板上的三个不同的偏压波形的示例性图表。
图3B是根据本公开的至少一个实施例的针对图3A所示的基板上的三个不同的偏压波形的IEDF与离子能量的关系的示例性曲线。
图4A是根据本公开的至少一个实施例的示例电路的示意性概述。
图4B是根据本公开的至少一个实施例的示例电路的示意性概述。
图4C是根据本公开的至少一个实施例的示例电路的示意性概述。
图4D是根据本公开的至少一个实施例的示例电路的示意性概述。
图5A是根据本公开的至少一个实施例的示出用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图5B是根据本公开的至少一个实施例的针对图5A所示的示例示意性电路图的V2电压波形和基板电压波形的示例性曲线。
图5C是根据本公开的至少一个实施例的示例控制电路。
图5D是根据本公开的至少一个实施例的示例控制电路。
图5E图示了根据本公开的至少一个实施例的示例性锯齿形电压输出。
图6A是根据本公开的至少一个实施例的示出用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图6B是根据本公开的至少一个实施例的示例控制电路。
图6C是根据本公开的至少一个实施例的示例控制电路。
图6D是根据本公开的至少一个实施例的示出用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图6E是根据本公开的至少一个实施例的示例控制电路。
图6F是根据本公开的至少一个实施例的示例控制电路。
图7A是根据本公开的至少一个实施例的示出用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图7B是根据本公开的至少一个实施例的示出用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图8是根据本公开的至少一个实施例的示出用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图9A是根据本公开的至少一个实施例的示出用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图9B是根据本公开的至少一个实施例的示出用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图10是根据本公开的至少一个实施例的示出用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图11是根据本公开的至少一个实施例的控制IEDF宽度的方法的流程图。
为了便于理解,相同附图标记在可能的情况下已经用于标识附图中共有的相同元件。可以构想,一个实施例的要素和特征可有利地并入其他实施例中,而无需进一步叙述。
具体实施方式
本公开的实施例大体上涉及用于基板的等离子体处理的装置和方法,并且更具体地涉及在等离子体处理期间控制离子能量分布的装置和方法。本文描述的方法和装置(例如,电路)实现对脉冲DC电源供应器的电压波形的形状(例如,窄的或可调节宽度)的控制。本文描述的实施例进一步实现例如对包括单能离子加速的离子能量分布函数(IEDF)的控制。
IEDF是可用于经由等离子体工艺处理基板(诸如蚀刻基板表面中的高深宽比特征)的可控参数。通常,根据以下机制,如与正弦波RF偏压相比,脉冲DC偏压可以提供较窄的IEDF。因为离子在脉冲DC周期内由随时间变化较小的电场加速,所以通过鞘内的离子获得的能量还呈现与变化正弦波RF偏压时相比较低的时间变化。因此,与通过使用正弦波RF偏压产生的离子相比,通过脉冲DC偏压加速的离子具有较窄的IEDF。然而,从主体等离子体到基板的离子电流使基板表面处的电压波形失真并且使离子能量分布变宽。本文描述的方法和装置可以例如补偿此离子电流并且主动控制离子能量分布的宽度。
常规方法和装置使用迭代控制回路来控制离子能量分布的宽度。在收敛控制算法之前,等离子体参数(例如,离子电流、鞘厚度以及IEDF宽度)的估计是不准确的。此外,通过使用迭代来控制IEDF的宽度是缓慢的并且可能导致控制算法的不收敛。
相比之下,本文描述的方法和装置利用不具有迭代的单回路工艺来确定离子电流和补偿电流以实现给定的IEDF宽度。由此,本文描述的方法和装置与现有技术相比更快地达到IEDF的期望状态,例如,窄IEDF。例如这是由于在确定补偿电流的解决方案时不使用迭代。如下文进一步论述,图1示出了可以用于执行本文描述的一种或多种方法的装置(例如,处理腔室100)的示例。
简而言之,并且在一些实施例中,基板支撑组件包括主体,所述主体包括基板支撑部分和/或边缘环部分。基板电极嵌入基板支撑部分中以用于将基板电压施加到基板。基板电压控制电路耦合到基板电极。边缘环部分包括嵌入其中的边缘环电极以用于将边缘环电压施加到处理腔室的内部体积的在边缘环附近的的区域。边缘环电压控制电路耦合到边缘环电极。至少一个DC脉冲源(诸如经整形的DC脉冲源)耦合到基板电压控制电路和/或边缘环电压控制电路。基板电压电路和/或边缘环电压控制电路可调谐以调节提供到每个电路的电压和/或功率量。经由例如调谐基板电压控制电路和/或边缘环电压控制电路来调节电压幅度导致调节和控制离子能量分布。在一些实施例中,如将在下文进一步论述,边缘环电压控制电路和/或基板电压控制电路被配置为提供恒定电流与施加期望电压以调节和控制离子能量分布。
在一些实施例中,IEDF宽度的控制电路耦合到基板支撑件。IEDF宽度的控制电路可以在主脉冲DC电源内部整合、或作为单独模块、或作为与偏压补偿模块整合的模块来整合。
示例性处理系统配置
图1是根据本公开的至少一个实施例的处理腔室100的示意性剖面图。处理腔室100被配置为实践本文描述的方案。在此实施例中,处理腔室是等离子体处理腔室,诸如反应性离子蚀刻(reactive ion etch;RIE)等离子体腔室。在一些其他实施例中,处理腔室是等离子体增强的沉积腔室,例如,等离子体增强的化学气相沉积(plasma-enhancedchemical vapor deposition;PECVD)腔室、等离子体增强的物理气相沉积(plasmaenhanced physical vapor deposition;PEPVD)腔室、或等离子体增强的原子层沉积(plasma-enhanced atomic layer deposition;PEALD)腔室。在一些其他实施例中,处理腔室是等离子体处理腔室、或基于等离子体的离子注入腔室,例如,等离子体掺杂(plasmadoping;PLAD)腔室。
处理腔室100包括一起限定内部体积124的腔室主体101和设置在其上的盖102。腔室主体101通常耦合到电气接地103。基板支撑组件104设置在内部体积内以在处理期间支撑其上的基板105。边缘环106定位在基板支撑组件104上并且围绕基板105的周边。处理腔室100还包括在处理腔室100内生成反应性物种的等离子体的感应耦合的等离子体装置107、和适于控制处理腔室100的系统和子系统的控制器108。在一些实施例中,感应耦合的等离子体装置107可被设置在基板支撑组件104上方的接地喷头替代,并且将RF功率从定位在基板下面的电极(例如,基板电极109)递送以生成电容耦合的等离子体。
基板支撑组件104设置在内部体积124中。基板支撑组件104通常包括基板支撑件152。基板支撑件152包括静电吸盘150,所述静电吸盘150包含基板支撑部分154和边缘环部分156,所述基板支撑部分154被配置为在待处理的基板105下方并且支撑待处理的基板105,所述边缘环部分156被配置为支撑边缘环106。基板支撑组件104可以附加地包括加热器组件169。基板支撑组件104还可以包括冷却基座131。冷却基座131可以替代地从基板支撑组件104分离。基板支撑组件104可以可移除地耦合到支撑底座125。将支撑底座125安装到腔室主体101。支撑底座125可以可选地包括设施板180。基板支撑组件104可周期性地从支撑底座125移除以允许修整基板支撑组件104的一个或多个部件。升举销146如常规已知地穿过基板支撑组件104设置以促进基板移送。
设施板180被配置为容纳来自静电吸盘150和冷却基座131的多个流体连接。设施板180还被配置为容纳来自静电吸盘150和加热器组件169的多个电气连接。多个电气连接可以在基板支撑组件104外部或内部运作,而设施板180提供了用于到相应终端的连接的接口。
基板电极109嵌入静电吸盘150的基板支撑部分154内,以用于将基板电压波形施加到设置在基板支撑组件104的上表面160上的基板105。边缘环部分156具有嵌入其中的边缘环电极111,以用于将边缘环电压波形施加到边缘环106。边缘环IEDF宽度控制电路155耦合到边缘环电极111。基板IEDF宽度控制电路158耦合到基板电极109。在一个实施例中,第一经整形的DC脉冲电压源159耦合到边缘环IEDF宽度控制电路155和基板IEDF宽度控制电路158中的一者或两者。尽管本文提供的公开内容将第一经整形的DC脉冲电压源159和第二经整形的DC脉冲电压源161称为“经整形的”DC脉冲电压源,此术语或名称不旨在限制为可以由此类DC脉冲电压源供应的脉冲电压波形的类型。在另一实施例中,如图1所示,第一经整形的DC电压源159耦合到边缘环IEDF宽度控制电路155并且第二经整形的DC电压源161耦合到基板IEDF宽度控制电路158。边缘环IEDF宽度控制电路155和基板IEDF宽度控制电路158可独立地调谐。基板电极109进一步耦合到吸附功率源115以促进在处理期间利用静电吸盘150将基板105静电吸附到上表面160。吸附电源115可包括经配置为使用在基板支撑组件104的基板支撑部分154内设置的嵌入电极将基板105“吸附”到基板支撑组件104的上表面160的高压DC电源。在一些实施例中,设置在基板支撑部分154内的嵌入电极是基板电极109。在此配置中,基板电极109设置在介电材料(例如,AlN、AlOx等)内并且定位为距基板支撑组件104的上表面160在约0.1mm至约1mm之间的距离。在一些实施例中,例如可以选择介电材料和层厚度,使得介电材料的层的电容是在约5nF至约50nF之间,诸如在约7nF至约10nF之间。
感应耦合的等离子体装置107设置在盖102之上并且被配置为将RF功率感应耦合到处理腔室100的内部体积124内的气体以生成等离子体116。感应耦合的等离子体装置107包括设置在盖102之上的第一线圈118和第二线圈120。每个线圈118、120的相对位置、直径比、和/或每个线圈118、120中的匝数可以各自如期望般调节以控制所形成的等离子体116的分布或密度。第一线圈118和第二线圈120中的每一者穿过匹配网络122经由RF馈送结构123耦合到RF电源121。RF电源121可以说明性地能够在从50kHz至13.56MHz的范围中的可调谐频率下产生多达约4000W(但不限于约4000W),尽管其他频率和功率可以如期望般用于特定应用。
在一些示例中,功率分配器126(诸如分配电容器)可以在RF馈送结构123与RF电源供应器121之间提供以控制提供到相应的第一线圈118和第二线圈120的RF功率的相对量。在其他实施例中,如下文关于图2所述,电容耦合的等离子体装置可以替代盖102,并且包括设置在基板支撑组件104上方的喷头(未示出)和/或导电板(未示出),并且可以由RF源驱动或接地。
加热器元件128可以设置在盖102上以促进加热处理腔室100的内部。加热器元件128可以设置在盖102与第一线圈118和第二线圈120之间。在一些示例中,加热器元件128包括电阻式加热元件并且耦合到电源130(诸如AC电源),所述电源被配置为提供足够能量以将加热器元件128的温度控制在期望范围内。
在操作期间,将基板105(诸如半导体基板或适用于等离子体处理的其他基板)放置在基板支撑组件104上。基板升举销146可移动地设置在基板支撑组件104中以有助于将基板105移送到基板支撑组件104上。在定位基板105之后,将处理气体从气体面板132穿过入口端口134供应到腔室主体101的内部体积124中。在处理腔室100的内部体积124中通过将功率从RF电源121施加到第一线圈118和第二线圈120,来将处理气体点燃成等离子体116。在处理腔室100的内部体积124内的压力可以使用阀136和真空泵138控制。
处理腔室100包括控制器108,以用于在处理期间控制处理腔室100的操作。控制器108包含中央处理单元(central processing unit;CPU)140、存储器142以及用于CPU 140的支持电路144,并且促进对处理腔室100的部件的控制。控制器108可以是任何形式的通用计算机处理器中的一者,所述通用计算机处理器可以在工业设置中用于控制各个腔室和子处理器。存储器142(例如,非易失性存储器)存储软件(源或目标代码),所述软件可以执行或调用来以本文描述的方式控制处理腔室100的操作。当由处理单元执行时,软件被配置为执行本文描述的方法中的一者或多者。例如,控制器108被配置为在处理腔室中处理基板期间控制第一经整形的DC电压源159、第二经整形的DC电压源161、边缘环IEDF宽度控制电路155以及基板IEDF宽度控制电路158。
图2是根据本公开的至少一个实施例的处理腔室200的示意性概述。处理腔室200被配置为实践本文描述的方案。如同处理腔室100,处理腔室200是等离子体处理腔室,诸如上文描述的那些处理腔室。
处理腔室200包括如图1所示设置在基板支撑组件104上的基板105。边缘环106定位在基板支撑组件104上并且围绕基板105的周边。尽管未示出,电容耦合的等离子体装置设置在基板之上(通常设置在腔室盖之上)。电容耦合的等离子体装置可以包括离子抑制器和喷头,其中将RF功率从基板下面的电极(例如,电极109)递送以生成电容耦合的等离子体。控制器108适用于控制处理腔室200的系统及子系统。如上文提及,存储器142存储软件(源或目标代码),所述软件可执行或调用来以本文描述的方式控制处理腔室200的操作。控制器108被配置为控制第一经整形的DC电压源159、第二经整形的DC电压源161、边缘环IEDF宽度控制电路155和/或基板IEDF宽度控制电路158。下文论述的图4A至图4D图示了将IEDF宽度控制模块连接到脉冲器的不同配置。
图2中示出的基板支撑组件104、设施板180、基板电极109和边缘环电极111可与关于图1所论述的那些相同。边缘环IEDF宽度控制电路155耦合到边缘环电极111。基板IEDF宽度控制电路158耦合到基板电极109。在一个实施例中,第一经整形的DC脉冲电压源159耦合到边缘环IEDF宽度控制电路155和基板IEDF宽度控制电路158中的一者或两者。在另一实施例中,第一经整形的DC电压源159耦合到边缘环IEDF宽度控制电路155并且第二经整形的DC电压源161耦合到基板IEDF宽度控制电路158。边缘环IEDF宽度控制电路155和基板IEDF宽度控制电路158可独立地调谐以分别调节由第一经整形的DC脉冲电压源159和第二经整形的DC脉冲电压源161提供到边缘环电极111或基板电极109的电压波形的斜率。基板电极109进一步耦合到吸附电源115以促进在处理期间利用静电吸盘150将基板105吸附到上表面160。
处理腔室200的操作和基板105的处理可以与处理腔室100类似的方式执行。在一些实施例中,处理系统配置包括定位在处理腔室内部以控制到达基板的等离子体受激发物种的类型和量的离子抑制器。在一些实施例中,离子抑制器单元是多孔板,所述多孔板还可用作等离子体生成单元的电极。在此类和其他实施例中,离子抑制器可以是喷头,所述喷头将气体和受激发物种分布到与基板接触的反应区域(例如,内部区域124)。在一些实施例中,离子抑制通过多孔板离子抑制器和喷头实现,等离子体受激发物种经过该二者以到达反应区域。
当将电压通过经整形的DC电压源159施加到基板(或晶片)时,形成波形。图3A图示了不同的偏压波形。波形包括两个阶段:离子电流阶段及鞘塌陷阶段。在离子电流阶段开始时,晶片电压的下降在基板之上产生高电压鞘,所述高电压鞘将正离子加速到基板。正离子在基板表面上沉积正电荷并且趋于逐渐正向地增加基板电压(即,较小负电压)。若方形波通过经整形的DC电压源159供应,则朝向基板流动的离子电流产生基板电压的正斜率,如迹线305所示。在离子电流阶段开始与结束之间的电压差确定IEDF宽度。电压差越大,IEDF宽度越宽(图3B)。为了实现单能离子和较窄IEDF宽度,执行操作以使离子电流阶段中的基板电压波形(例如,迹线310)变平(例如,将离子电流曲线的斜率减小到更接近零伏每微秒(μs))。在一些实施例中,电压可被施加以便实现某一IEDF宽度,如由迹线315的基板波形所示。
在离子电流阶段结束时,基板电压上升到主体等离子体电压并且鞘塌陷,以使得电子从等离子体行进到基板表面并且中和基板表面处的正电荷。因此,基板表面为下一脉冲波形循环重置。
通常,第一经整形的DC电压源159和第二经整形的DC电压源161的输出被配置为生成包括至少一个部分的电压波形,在所述至少一个部分中在相对于接地的正或负电压方向上电压从第一电压电平改变为第二电压电平。在处理期间,脉冲器生成在第一和第二电压电平之间周期性交替的脉冲电压波形。在脉冲电压波形的一部分期间的较高电压电平下的周期对应于鞘塌陷阶段。在脉冲电压波形的一部分期间的较低电压电平下的周期对应于离子电流阶段。尽管在图4A至图4D、图5A、图6A以及图7至图10中的第一经整形的DC电压源159和第二经整形的DC电压源161的示意性表示示出了具有正电压输出的DC电压源,此配置仅作为示例提供并且不旨在限制为本文提供的公开内容的范围,由于还如本文论述,第一经整形的DC电压源159和/或第二经整形的DC电压源161可交替地被配置为建立提供到期望电极的其他脉冲电压输出。
示例电路
图4A是示例电路465的示意性概述。如下文描述,并且在一些实施例中,在图4A中示出的示例电路对应于图5A和图6A的电路图。图5A和图6A通过例如第二功率模块的电路系统进行区分。
示例性电路465包括通过串联的串联电感器468和电阻器469耦合到第二功率模块470的脉冲DC电源466。第二功率模块470调制离子能量分布函数(IEDF)的宽度。可选的阻挡电容器471可在等离子体腔室负载472与电路465的剩余部分之间存在。可通过硬件、软件、固件、或其组合实现的控制器(未示出)用于控制图4A中表示的各种部件。
经整形的DC电源466生成具有至少两个电压电平(低电压电平和高电压电平)的电压波形。低电压电平对应于离子电流阶段。高电压电平对应于鞘塌陷阶段。在离子电流阶段中,第二功率模块470调制图3A中示出的随时间变化的电压的斜率,如迹线305、310、及315。如图3B所示,不同的斜率导致不同的IEDF宽度。最平坦的斜率(例如,图3A中的迹线305)对应于图3B中的最窄IEDF宽度。
图4B是示例电路475的示意性概述。如图所示,图4B与图4A的不同之处在于通过用开关479替代串联电感器468和电阻器469。开关479与脉冲DC电源476和第二功率模块478串联连接。在离子电流阶段期间,关闭开关479。在鞘塌陷阶段期间,可以打开或关闭开关。可通过硬件、软件、固件、或其组合实现的控制器(未示出)用于控制图4B中表示的各种部件。
图4C是示例电路485的示意性概述。如下文所述,并且在一些实施例中,在图4C中示出的示例电路485对应于图7和图8的电路图。示例性电路485包括耦合到接地的经整形的DC电压源486。可选的阻挡电容器487可在经整形的DC电压源486与第二功率模块488之间存在。第二功率模块488调制IEDF的宽度。第二功率模块488进一步耦合到等离子体腔室负载489。可通过硬件、软件、固件、或其组合实现的控制器(未示出)用于控制图4C中表示的各种部件。
经整形的DC电压源486生成具有两个电压电平—(低电压电平和高电压电平)的电压波形。低电压电平对应于离子电流阶段。高电压电平对应于鞘塌陷阶段。在离子电流阶段中,第二功率模块488产生随时间变化的电压斜率。在基板上的所得电压波形是经整形的DC电压源486和第二功率模块488的输出电压的总和,可以调制所述输出电压,并且由此调制IEDF宽度。
图4D是根据本公开的至少一个实施例的示例电路490的示意性概述。如下文所述,并且在一些实施例中,示例电路490对应于图9和图10的电路图。示例电路490包括耦合到接地的经整形的DC电压源491、第二功率模块492以及基板吸附和偏压补偿模块493。开关495与基板吸附和偏压补偿模块493串联连接。第二功率模块492以及基板吸附和偏压补偿模块493并联连接,其中一端耦合到经整形的DC电压源491并且另一端耦合到等离子体腔室负载494。第二功率模块492调制IEDF的宽度。第二功率模块492以及基板吸附和偏压补偿模块493进一步耦合到等离子体腔室负载494。可通过硬件、软件、固件、或其组合实现的控制器(未示出)用于控制图4D中表示的各种部件。关于图4A至图4D描述的每个控制器可各自是本文公开的控制器108的部分。
经整形的DC电压源491产生具有至少两个电压电平(低电压电平及高电压电平)的电压波形。低电压电平对应于离子电流阶段。高电压电平对应于鞘塌陷阶段。在离子电流阶段中,第二功率模块492产生随时间变化的电压斜率。在基板上的所得电压波形是经整形的DC电压源491和第二功率模块492的输出电压的总和,可以调制所述输出电压,并且藉此调制IEDF宽度。开关495在离子电流阶段中是打开的,使得吸附和偏压补偿模块493不调制等离子体腔室负载的电压。在鞘塌陷阶段中,关闭开关495,并且吸附和偏压补偿模块493将基板吸附电压重置到设定点。
图5A是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的基板电压控制电路/边缘环电压控制电路500的实施例的示意性电路图。电路500包括主脉冲器502,以用于在每个离子电流阶段开始时重置基板电压(对应于图3A中的电压下降)。主脉冲器502可为耦合到接地501的第一经整形的DC电压源159或第二经整形的DC电压源161。主脉冲器502通过主脉冲器输出505(例如,主脉冲器的输出连接)和导电元件507(例如,线缆)耦合到电流返回路径503。电流返回路径503包括与电阻器506串联耦合至IEDF宽度控制模块508(例如,图4A和图4B中的第二功率模块)的电感器504。IEDF宽度控制模块508调制离子能量分布函数(IEDF)宽度。
IEDF宽度控制模块508可以模型化为电路,所述电路包含晶体管-晶体管逻辑(transistor-transistor logic;TTL)信号源510和开关512、可选的二极管514、可选的电容516和并联耦合且耦合到接地517的第三经整形的DC脉冲电压源518。二极管514是用于保护开关512和第三经整形的DC脉冲电压源518的反激(flyback)二极管。在一些实施例中,阻挡电容520在电流返回路径503与腔室电容536之间存在。例如,腔室电容536可为在基板电极109与基板之间或在边缘环电极111与边缘环106之间形成的阻抗的一部分。在一些实施例中,阻挡电容520还耦合到基板吸附和偏压补偿模块522。
基板吸附和偏压补偿模块522是包括串联耦合到电阻器526和DC电压源528的二极管524,以及串联耦合到电容532和接地534的电阻器530的电路。如针对特定处理腔室配置所期望的,DC电压源528可被配置为在任何脉冲波形情况下具有正或负极性。电容536进一步耦合到杂散电容538和负载的等离子体鞘540部分。基板吸附和偏压补偿模块522进一步耦合到杂散电容538。等离子体鞘540可模型化(等离子体鞘模型化)为电路,所述电路包含与电流源544并联耦合的鞘电容542以及耦合到接地548的二极管546。在一些实施例中,在电流返回路径中的串联电感器504和电阻器506可以被开关479(图4B)替代。开关479在离子电流阶段期间关闭。
在使用中,并且针对图5A中示出的配置,第三经整形的DC脉冲电压源518用作在离子电流阶段中控制电压波形的斜率的主动旋钮。如图5B的曲线550所示,由TTL信号源510控制的开关512与主脉冲器502同步。来自主脉冲器的输出与开关512的位置的同步可以通过使用在控制器108上运作的一个或多个软件应用来控制。开关512可以在进入鞘塌陷阶段时施加主脉冲器502的电压之前关闭。开关512可以在鞘塌陷阶段期间保持关闭以将电流返回路径503连接到接地。在主脉冲器502的电压在进入离子电流阶段时下降之后,开关512可以打开,使得第三经整形的DC脉冲电压源518用于在离子电流阶段期间调制IEDF。可选电容516可以用于调节基板电压波形对第三经整形的DC脉冲电压源518的灵敏度。电容542是在不同工艺条件下不同的等离子体鞘电容,并且电流源544是也可变的朝向基板的离子电流。电容536和杂散电容538是关于腔室的电容并且是恒定的。阻挡电容520用作阻挡电容器,所述阻挡电容器被配置为阻挡在阻挡电容器520的腔室侧面上形成或施加的DC电压(诸如通过DC电压源528施加的DC电压)到达主脉冲器502,并且还具有恒定的电容值。
如图5C和图5D所示,在离子电流阶段期间并且当IEDF宽度控制模块508(图5A)正主动地控制基板或边缘环波形时,在此类附图中示出的在电路模型中的主动部件包括与第三经整形的DC脉冲电压源518(V1)并联的离子电流544(I0)、鞘电容542(C1)、腔室电容536(C2)、杂散电容538(C3)、阻挡电容520(C4)以及可选电容516(C5)。因为在电流返回路径中的电感器504和电阻器506通常对IEDF宽度调制具有微小影响,电感器504和电阻器506被处理为在图5C的控制电路560和图5D的控制电路570中是短路的。
加宽IEDF的内在因素是在基板上沉积正电荷的离子电流“I0”,使得基板的电压逐渐增加并且轰击基板的离子能量下降(例如,图3A的迹线305)。IEDF加宽的量取决于例如离子电流I0、鞘电容C1和/或与控制电路560、570中的腔室以及电源模块V1和C5相关联的其他电容C2、C3和C4。为了补偿IEDF加宽的离子电流效应和/或具有对IEDF宽度的主动控制,确定在此控制电路(图5C)中的所有部件的值。与腔室和电源模块相关联的电容C2至C5可以通过产品说明书或使用腔室部件尺寸的估计确定,或通过先前测量(诸如使用万用表直接测量阻抗、或从S参数或Z参数测量中提取电容值)确定。离子电流I0及鞘电容C1在变化的等离子体工艺条件下变化并且在等离子体工艺期间经由实时测量确定。经整形的DC脉冲电压源V1具有锯齿形电压输出(图5E)。电压输出的斜率dV1/dt可以变化以确定离子电流I0和鞘电容C1和/或调制IEDF宽度。在图5A的配置中,如迹线584显示,在鞘塌陷阶段中的经整形的DC脉冲电压源V1的输出电压是零。如下文所述,迹线582和迹线586显示经整形的DC脉冲电压源V1的其他可能波形。
IEDF调制的方法包括两个部分:(1)确定离子电流I0和鞘电容C1,以及(2)确定经整形的DC脉冲电压源的斜率dV1/dt以达到目标IEDF宽度。利用将功率供应到基板105或边缘环106的锯齿状电压源V1和经整形的DC电压源159或161,在基板或边缘环处的IEDF宽度是基板或边缘环电压从离子电流阶段的开始到结束(图3A和图3B)的改变。在控制电路560(图5C)和控制电路570(图5D)中,IEDF宽度对应于从离子电流阶段的开始到结束的跨鞘电容C1的电压改变,所述电压改变通过穿过鞘电容C1的充电或放电电流I1决定的:
ΔV = I1*T/C1, (1)
其中ΔV是IEDF宽度并且T是离子电流阶段的持续时间。为了获得目标IEDF宽度(ΔV),将确定鞘电容C1和穿过鞘电容的期望电流I1。
为了确定鞘电容C1和离子电流I0,分析控制电路中的电流与电压的关系。如图所示,经过电容器C1至C4的电流被称为I1至I4,其中在电路示意图中的箭头指向正向。基于基尔霍夫电流定律(Kirchhoff's current law),离子电流I0等于穿过电容器C1和C2的电流的总和:
I0 = I1 + I2. (2)
穿过电容器C2的电流等于穿过电容器C3和C4的电流的总和:
I2 = I3 + I4. (3)
基于基尔霍夫电压定律,C1、C2和C3的封闭回路的电压总和是零。C1、C2和C3的电压总和的时间导数还是零。将电容器C2与C3的交叉点处的电压指定为V3。跨电容器C3的电压的时间导数是dV3/dt=I3/C3。对于电容器C1和C2存在类似关系,并且基尔霍夫电压定律提供等式(4):
I1/C1 = I2/C2 + I3/C3. (4)
将基尔霍夫电压定律应用于电容器C3和C4与电压源V1的封闭回路提供等式(5):
I3/C3 = I4/C4 + dV1/dt. (5)
在等式(2)-(5)中,C2、C3和C4通过产品说明书或基于腔室部件尺寸的估计预先确定,或通过先前测量(诸如使用万用表直接测量阻抗、或从S参数或Z参数测量中提取电容值)预先确定。电流I4可以通过传感器(诸如电流探针和/或集成的电压-电流(VI)传感器)直接测量。电压V3可以通过传感器(诸如电压探针和/或集成的VI传感器)直接测量。电流I3可以计算为I3=C3*dV3/dt。电压斜率dV1/dt是使用者控制且已知的,诸如零或1伏特/纳秒(V/nsec)。通过在两个不同斜率dV1/dt及dV1'/dt处设定经整形的DC脉冲电压源V1,可以确定电流I4、I4'和电压的时间导数dV3/dt、dV3'/dt。在两个斜率dV1/dt以及dV1'/dt处的等式集合(2)-(5)形成八个等式,所述八个等式可以解出以给定鞘电容等式:
Figure BDA0004113774630000171
及离子电流:
Figure BDA0004113774630000172
为了获得目标IEDF宽度(ΔV),穿过鞘电容器C1的总电流是
I1 = C1*ΔV/T. (8)
将等式(6)-(8)代入等式(2)-(5)中给出锯齿状电压源V1的电压斜率,用于获得IEDF宽度ΔV:
Figure BDA0004113774630000173
在最窄IEDF(ΔV=0)的情况下,锯状电压源V1的电压斜率是
Figure BDA0004113774630000174
图6A是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的基板电压控制电路/边缘环电压控制电路600的实施例的示意性电路图。电路600包括主脉冲器502,以用于在每个离子电流阶段开始时重置基板电压(对应于图3A中的电压下降)。主脉冲器502可为耦合到接地501的第一经整形的DC电压源159或第二经整形的DC电压源161。主脉冲器502经由主脉冲器输出505和导电元件507耦合到电流返回路径503。电流返回路径503包括与电阻器506串联耦合至IEDF宽度控制模块602(例如,图4A及图4B中的第二功率模块)的电感器504。IEDF宽度控制模块602用于调制IEDF宽度。与图5A的配置不同的IEDF宽度控制模块602可模型化为电路,所述电路包含TTL信号源510和开关512、二极管514、可选的电容516、以及串联耦合到电阻器606的DC电压源604,所述电阻器并联耦合并且耦合到接地517。二极管514是用于保护开关512及DC电压源604的反激二极管。在一些实施例中,阻挡电容520在电流返回路径503与腔室电容536之间存在。例如,电容536可为在基板电极109与基板105之间或在边缘环电极111与边缘环106之间形成的阻抗的一部分。在一些实施例中,基板吸附和偏压补偿模块522还耦合到阻挡电容520及腔室电容536。基板吸附和偏压补偿模块522进一步耦合到杂散电容538。基板吸附和偏压补偿模块522是电路,所述电路包括串联耦合到电阻器526和DC电压源528的二极管524,以及串联耦合到电容532和接地534的电阻器530。
等离子体鞘540可模型化(等离子体鞘模型化)为电路,该电路包含与电流源544并联耦合的鞘电容542以及耦合到接地548的二极管546。
在使用中,并且针对图6A中示出的配置,如与图5A的配置相反,DC电压源604与电阻器606一起用作在离子电流阶段中控制基板或边缘环电压波形的斜率的主动旋钮,其中第三经整形的DC脉冲电压源518用作在离子电流阶段中控制电压波形的斜率的主动旋钮。如上文所述及图5B中图示,开关512可以由与主脉冲器502同步的TTL信号源510控制。开关512可以在主脉冲器502的电压上升到进入鞘塌陷阶段之前关闭。开关512可以在鞘塌陷阶段期间保持关闭以将电流返回路径503连接到接地。在主脉冲器502的电压在进入离子电流阶段时下降之后,开关512可以打开,使得DC电压源604用于在离子电流阶段中调制IEDF。可选电容516可以用于调节基板电压波形对DC电压源604的灵敏度。例如,电容536可为在基板电极109与基板之间或在边缘环电极111与边缘环106之间的电容。电容538可为在基板电极109与接地之间或在边缘环电极111与接地之间的电容。
如图6B和图6C所示,在离子电流阶段期间并且当IEDF宽度控制模块602(图6A)正主动地控制基板或边缘环波形时,在此类附图中示出的在电路模型中的主动部件包括与DC电压源604(V0)和电阻器606(R)并联的离子电流544(I0)、鞘电容542(C1)、腔室电容536(C2)、杂散电容538(C3)、阻挡电容520(C4)以及可选电容516(C5)。因为在电流返回路径中的电感器504和电阻器506通常对IEDF宽度调制具有微小影响,电感器504和电阻器506被处理为在图6B的控制电路650和图6C的控制电路660中是短路的。图6A的控制电路在图6B中示出,而下文描述的图7A的控制电路在图6C中示出。
加宽IEDF的内在因素是在基板上沉积正电荷的离子电流I0,使得基板的电压逐渐增加并且轰击基板的离子能量下降(图3A的迹线305)。IEDF加宽的量取决于例如离子电流I0、鞘电容C1、以及分别与图6B和图6C的控制电路650和控制电路660中的腔室(C2、C3和C4)以及电源模块(V0、R和C5)相关联的其他电容。为了补偿IEDF加宽的离子电流效应和具有对IEDF宽度的主动控制,确定在控制电路650和控制电路660中的所有部件的值。与腔室和电源供应器模块相关联的电容C2至C5可以通过产品说明书或使用腔室部件尺寸的估计确定,或通过先前测量(诸如使用万用表直接测量阻抗、或从S参数或Z参数测量中提取电容值)确定。电阻器R还通过产品说明书或通过利用万用表的直接量测预先确定。在变化的等离子体工艺条件下变化的离子电流I0和鞘电容C1在等离子体工艺期间经由实时测量确定。DC电压源V0是主动控制旋钮并且可以变化以确定离子电流I0,确定鞘电容C1,和/或调制IEDF宽度。
IEDF调制的方法包括两个部分:(1)确定离子电流I0和鞘电容C1,以及(2)确定DC电压V0以达到目标IEDF宽度。IEDF宽度是从离子电流阶段(图3A及图3B)的开始到结束的基板或边缘环电压的扩展。在图6B的控制电路650和图6C的控制电路660中,IEDF宽度对应于从离子电流阶段的开始到结束的跨鞘电容C1的电压改变,所述电压改变通过穿过鞘电容C1的充电或放电电流I1确定的:
Figure BDA0004113774630000201
其中ΔV是IEDF宽度并且T是离子电流阶段的持续时间。为了获得目标IEDF宽度(ΔV),将确定鞘电容C1和穿过鞘电容的期望电流I1。
为了确定鞘电容C1和离子电流I0,分析控制电路中的电流与电压的关系。此处,例如,经过电容器C1至C5的电流被称为I1至I5,其中在电路示意图中的箭头指向正向。电容器C2与C3的交叉点处的电压是V3。存在指定为Vth的DC电压源V0的阈值电压,低于所述阈值电压,二极管D3绕过DC电压源V0和电阻器R的串联,使得IEDF宽度控制模块的输出电压是零。Vth是依赖于等离子体条件的,并且可以通过例如逐渐增加DC电压V0直到电流I4或电压V3受到DC电压输出V0影响的点来实验性确定。
在V0≤Vth的情况下,基于基尔霍夫电流定律(Kirchhoff'scurrent law),离子电流I0等于穿过电容器C1和C2的电流的总和:
I0 = I1 + I2. (12)
穿过电容器C2的电流等于穿过电容器C3和C4的电流的总和:
I2 = I3 + I4. (13)
基于基尔霍夫电压定律,C1、C2和C3的封闭回路的电压总和是零。C1、C2和C3的电压总和的时间导数还是零。跨电容器C3的电压的时间导数是dV3/dt=I3/C3。相同的关系适用于电容器C1和C2。在电容器C1和C2上使用基尔霍夫电压定律提供了等式(14):
I1/C1 = I2/C2 + I3/C3. (14)
将基尔霍夫电压定律应用于电容器C3和C4的封闭回路,以及二极管绕过的IEDF宽度控制模块,提供了等式(15):
I3/C3 = I4/C4. (15)
在V0>Vth的情况下,等式(12)-(14)仍适用。将基尔霍夫电压定律应用于电容器C3、C4和C5的封闭回路提供等式(16):
I3/C3 = I4/C4 + I5/C5. (16)
将基尔霍夫电压定律应用于电容器C5、DC电压源V0和电阻器R的封闭回路提供了等式(17):
Figure BDA0004113774630000211
其中(I4-I5)是当二极管D3无效时穿过DC电压源V0和电阻器R的电流。
在一些实施例中,不存在电容器C5。在此种情况下,不存在等式(17)并且等式(16)变为
I3/C3 = I4/C4 + R*dI4/dt, (18)
在等式(12)-(18)中,C2、C3、C4和C5通过产品说明书或基于腔室部件尺寸的估计预先确定,或通过先前量测(诸如使用万用表直接量测阻抗、或从S参数或Z参数量测中提取电容值)预先确定。电流I4可以通过传感器直接量测,诸如电流探针和/或整合的VI传感器。电压V3可以通过传感器(诸如电压探针和/或集成的VI传感器)直接测量。电流I3可以计算为I3=C3*dV3/dt。DC电压V0是用户控制且已知的,诸如将DC电压输出V0设定到从零至数kV的值。通过将DC电压V0设定为两个不同值V0和V0',其中该两个值的至少一者高于阈值电压Vth,可以确定电流I4、I4'和电压的时间导数dV3/dt、dV3'/dt。求解等式集合(12)-(18)给出鞘电容C1:
Figure BDA0004113774630000212
及离子电流I0:
I0 = (C1/C2 + C1/C3 +1)*I3 + (C1/C2 +1)*I4. (20)
在等式集合(12)-(18)中代入鞘电容C1及离子功率I0,电流I1至I5可以针对任何DC电压V0计算。
通过已知电容C1至C5、电阻R以及DC电压V0将I1的表达式带入等式(11)中,可以获得在IEDF宽度(ΔV)与DC电压V0之间的关系。由此,针对目标IEDF宽度(ΔV),确定需要的DC电压V0。
在一些实施例中,电阻器R是足够大的(例如,约10kΩ),并且穿过DC电压源V0的电流在离子电流阶段中是近似时间常数,并且等于V0/R。在此类实施例中,等式(17)变成
I4 = I5 + V0/R. (21)
求解等式(12)、(13)、(14)、(16)以及(21)给出穿过鞘电容器C1的总电流,作为等式(22):
Figure BDA0004113774630000221
其中
k=C3C4+C4C5+C5C3
针对恒定电流I1的此近似情况使用等式(8),用于获得目标IEDF宽度(ΔV)的DC电压V0可以使用等式(23)发现:
Figure BDA0004113774630000222
在最窄IEDF(ΔV=0)的情况下,DC电压V0是
Figure BDA0004113774630000223
图6D是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的基板电压控制电路/边缘环电压控制电路500、或基板电压控制电路/边缘环电压控制电路600的替代实施例的示意性电路图。电路601包括主脉冲器502,以用于在每个离子电流阶段开始时重置基板电压(对应于图3A中的电压下降)。主脉冲器502可为耦合到接地501的第一经整形的DC电压源159或第二经整形的DC电压源161。主脉冲器502经由主脉冲器输出505和导电元件507耦合到电流返回路径503。电流返回路径503包括与电阻器506串联耦合至IEDF宽度控制模块602(例如,图4A和图4B中的第二功率模块)的电感器504。IEDF宽度控制模块602用于调制IEDF宽度。与图5A的配置不同的IEDF宽度控制模块602可模型化为电路,所述电路包含TTL信号源510和开关512、二极管514、可选的电容516、以及并联耦合并且耦合到接地517的DC电流源604。二极管514是用于保护开关512及DC电流源605的反激二极管。在一些实施例中,阻挡电容520在电流返回路径503与腔室电容536之间存在。例如,电容536可为在基板电极109与基板105之间或在边缘环电极111与边缘环106之间形成的阻抗的一部分。在一些实施例中,基板吸附和偏压补偿模块522还耦合到阻挡电容520和腔室电容536。基板吸附和偏压补偿模块522进一步耦合到杂散电容538。基板吸附和偏压补偿模块522是包括串联耦合到电阻器526和DC电压源528的二极管524,以及串联耦合到电容532和接地534的电阻器530的电路。
等离子体鞘540可模型化(等离子体鞘模型化)为电路,该电路包含与电流源544并联耦合的鞘电容542和耦合到接地548的二极管546。
在使用中,并且针对图6D中示出的配置,如与图5A的配置相反,DC电流源605用作在离子电流阶段中控制基板或边缘环电压波形的斜率的主动旋钮,其中第三经整形的DC脉冲电压源518用作在离子电流阶段中控制电压波形的斜率的主动旋钮。如上文所述及图5B中图示,开关512可以由与主脉冲器502同步的TTL信号源510控制。开关512可以在主脉冲器502的电压上升到进入鞘塌陷阶段之前关闭。开关512可以在鞘塌陷阶段期间保持关闭以将电流返回路径503连接到接地。在主脉冲器502的电压在进入离子电流阶段时下降之后,开关512可以打开,使得DC电流源605用于在离子电流阶段中调制IEDF。可选电容516可以用于调节基板电压波形对DC电流源605的灵敏度。例如,电容536可为在基板电极109与基板之间或在边缘环电极111与边缘环106之间的电容。电容538可为在基板电极109与接地之间或在边缘环电极111与接地之间的电容。
如图6E和图6F所示,在离子电流阶段期间并且当图6D中示出的IEDF宽度控制模块602的实施例正主动地控制基板或边缘环波形时,在此类附图中示出的在电路模型中的主动部件包括与DC电流源605(Ic)并联的离子电流544(I0)、鞘电容542(C1)、腔室电容536(C2)、杂散电容538(C3)、阻挡电容520(C4)以及可选电容516(C5)。因为在电流返回路径中的电感器504和电阻器506通常对IEDF宽度调制具有微小影响,电感器504和电阻器506被处理为在图6E的控制电路651和图6F的控制电路661中是短路的。图6D的控制电路在图6E中示出,而下文描述的图7B的控制电路在图6F中示出。
加宽IEDF的内在因素是在基板上沉积正电荷的离子电流I0,使得基板的电压逐渐增加并且轰击基板的离子能量下降(图3A的迹线305)。IEDF加宽的量取决于例如离子电流I0、鞘电容C1、以及分别与图6E和图6F的控制电路651和控制电路661中的腔室(C2、C3和C4)以及电源模块(V0、R和C5)相关联的其他电容。为了补偿IEDF加宽的离子电流效应和/或具有对IEDF宽度的主动控制,确定在控制电路651和控制电路661中的所有部件的值。与腔室和电源模块相关联的电容C2至C5可以通过产品说明书或使用腔室部件尺寸的估计确定,或通过先前测量(诸如使用万用表直接测量阻抗、或从S参数或Z参数测量中提取电容值)确定。在变化的等离子体工艺条件下变化的离子电流I0和鞘电容C1在等离子体工艺期间经由实时测量确定。DC电流源Ic是主动控制旋钮并且可以变化以确定离子电流I0、确定鞘电容C1,和/或调制IEDF宽度。
IEDF调制的方法包括两个部分:(1)确定离子电流I0和鞘电容C1,以及(2)确定DC电流Ic以达到目标IEDF宽度。利用将功率供应到基板105或边缘环106的DC电流源Ic和经整形的DC电压源159或161,在基板或边缘环处的IEDF宽度是基板或边缘环电压从离子电流阶段的开始到结束(图3A和图3B)。在控制电路651(图6E)和控制电路661(图6F)中,IEDF宽度对应于从离子电流阶段的开始到结束的跨鞘电容C1的电压改变,所述电压改变通过穿过鞘电容C1的充电或放电电流I1确定的:
ΔV=I1*T/C1, (25)
其中ΔV是IEDF宽度并且T是离子电流阶段的持续时间。为了获得目标IEDF宽度(ΔV),将确定鞘电容C1和穿过鞘电容的期望电流I1。
为了确定鞘电容C1及离子电流I0,分析控制电路中的电流与电压的关系。如图所示,经过电容器C1至C4的电流被称为I1至I4,其中在电路示意图中的箭头指向正向。基于基尔霍夫电流定律(Kirchhoff's currentlaw),离子电流I0等于穿过电容器C1和C2的电流的总和:
I0=I1+I2. (26)
穿过电容器C2的电流等于穿过电容器C3和C4的电流的总和:
I2=I3+I4. (27)
穿过电容器C4的电流等于穿过电容器C5及DC电流源Ic的电流的总和:
I4=I5+Ic. (28)
基于基尔霍夫电压定律,C1、C2和C3的封闭回路的电压总和是零。C1、C2和C3的电压总和的时间导数还是零。将电容器C2与C3的交叉点处的电压指定为V3。跨电容器C3的电压的时间导数是dV3/dt=I3/C3。对于电容器C1和C2存在类似关系,并且基尔霍夫电压定律提供等式(29):
I1/C1=I2/C2+I3/C3. (29)
将基尔霍夫电压定律应用于电容器C3、C4、及C5的封闭回路(5):
I3/C3=I4/C4+I5/C5. (30)
在等式(26、27、28、29和30)中,C2-C5通过产品说明书或基于腔室部件尺寸的估计预先确定,或通过先前测量(诸如使用万用表直接测量阻抗、或从S参数或Z参数测量中提取电容值)预先确定。电流I4或I5可以通过传感器(诸如电流探针和/或集成的电压-电流(VI)传感器)直接测量。电压V3可以通过传感器(诸如电压探针和/或集成的VI传感器)直接测量。电流I3可以计算为I3=C3*dV3/dt。电流Ic是使用者控制且已知的,诸如零或3A。通过将DC电流源Ic设定为两个值Ic和Ic',可以确定电流I4和I4'、或I5和I5',或者电压的时间导数dV3/dt和dV3'/dt。在两个DC电流值Ic和Ic'处的等式集合(26、27、28、29和30)形成十个等式,可以关于电流I4和I4'、或I5和I5',或电压的时间导数dV3/dt和dV3'/dt求解所述等式以给出鞘电容C1。例如,关于电流I4和I4'的鞘电容是:
Figure BDA0004113774630000251
及离子电流:
Figure BDA0004113774630000252
为了获得目标IEDF宽度(ΔV),穿过鞘电容器C1的总电流是
I1=C1*ΔV/T. (33)
将等式(31、32和33)代入等式(26、27、28、29和30)中给出用于获得IEDF宽度ΔV的DC电流Ic:
Figure BDA0004113774630000261
在最窄IEDF(ΔV=0)的情况下,DC电流Ic是
Figure BDA0004113774630000262
图7A是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的边缘环电压控制电路/基板电压控制电路700的实施例的示意性电路图。电路700包括主脉冲器502,以用于在每个离子电流阶段开始时重置基板电压(对应于图3A中的电压下降)。主脉冲器502可为耦合到接地501的第一经整形的DC电压源159或第二经整形的DC电压源161。主脉冲器502直接或穿过电容701耦合到IEDF宽度控制模块702(例如,图4C中的第二功率模块)。
IEDF宽度控制模块702可模型化为电路,所述电路包含耦合到开关706并且耦合到接地716的TTL信号源704。TTL信号源704和开关706并联耦合到二极管708、DC电压源710以及可选的电容714。DC电压源710串联耦合到电阻器712。IEDF宽度控制模块702耦合到腔室电容536。例如,电容536可为在基板电极109与基板105之间或在边缘环电极111与边缘环106之间形成的阻抗的一部分。在一些实施例中,IEDF宽度控制模块702还耦合到上文论述的基板吸附和偏压补偿模块522。基板吸附和偏压补偿模块522进一步耦合到杂散电容538。基板吸附和偏压补偿模块522是包括串联耦合到电阻器526和DC电压源528的二极管524,以及串联耦合到电容532和接地534的电阻器530的电路。二极管708是用于保护开关706及DC电压源710的反激二极管。
等离子体鞘540可模型化(等离子体鞘模型化)为电路,所述电路包含与电流源544并联耦合的鞘电容542及耦合到接地548的二极管546。
在使用中,并且针对图7A中图标的配置,DC电压源710与电阻器712一起用作在离子电流阶段中控制电压波形的斜率的主动旋钮。如图5B的曲线所示,开关706可以通过TTL信号源704控制并且与主脉冲器502的输出同步。开关706可以在主脉冲器502的电压上升到进入鞘塌陷阶段之前关闭。开关512可以在鞘塌陷阶段期间保持关闭。在主脉冲器502的电压在进入离子电流阶段时下降之后,开关706可以打开,使得DC电压源710用于在离子电流阶段中调制IEDF。可选电容714可以用于调节基板电压波形对DC电压源710的灵敏度。图7A的控制机制类似于图6A的控制机制。一个差异是图7A的控制电路在上文描述的图6C中示出,并且图6A的控制电路在图6B中示出。
图8是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的边缘环电压控制电路/基板电压控制电路800的实施例的示意性电路图。电路800包括主脉冲器502,以用于在每个离子电流阶段开始时重置基板电压(对应于图3A中的电压下降)。主脉冲器502可为耦合到接地501的第一经整形的DC电压源159或第二经整形的DC电压源161。主脉冲器502直接或穿过阻挡电容器701耦合到IEDF宽度控制模块802(例如,图4C中的第二功率模块)。
IEDF宽度控制模块802可模型化为电路,所述电路包含与开关706并联耦合的TTL信号源704。TTL信号源704还耦合到接地716。TTL信号源704和开关706并联耦合到二极管708、第三经整形的DC脉冲电压源804以及可选的电容714。IEDF宽度控制模块802耦合到腔室电容536。例如,电容536可为在基板电极109与基板105之间或在边缘环电极111与边缘环106之间形成的阻抗的一部分。在一些实施例中,IEDF宽度控制模块802还耦合到上文论述的基板吸附和偏压补偿模块522。基板吸附及偏压补偿模块522是包括串联耦合到电阻器526、DC电压源528的二极管524,以及串联耦合到电容532和接地534的电阻器530的电路。二极管708是用于保护开关和第三经整形的DC脉冲电压源804的反激二极管。
基板吸附和偏压补偿模块522进一步耦合到腔室电容536。等离子体鞘540可模型化(等离子体鞘模型化)为电路,所述电路包含与电流源544并联耦合的鞘电容542和耦合到接地548的二极管546。
在使用中,并且针对图8中图标的配置,第三经整形的DC脉冲电压源804用作在离子电流阶段中控制电压波形的斜率的主动旋钮,此配置与图7A的配置不同,其中DC电压源710与电阻器712一起用作在离子电流阶段中控制电压波形的斜率的主动旋钮。如图5B的曲线所示,开关706被TTL信号源704控制并且与主脉冲器502的输出同步。开关706可以在主脉冲器502的电压上升到进入鞘塌陷阶段之前关闭。开关706在鞘塌陷阶段期间保持关闭。在主脉冲器502的电压在进入离子电流阶段时下降之后,开关706可以打开,使得第三经整形的DC脉冲电压源804用于在离子电流阶段中调制IEDF。可选电容714可以用于调节基板电压波形对第三经整形的DC脉冲电压源804的灵敏度。图8的控制机制类似于图5A的控制机制。一个差异是图8的控制电路在上文描述的图5D中示出,并且图5A的控制电路在图5C中示出。
图7B是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的边缘环电压控制电路/基板电压控制电路700的替代实施例的示意性电路图。电路700包括主脉冲器502,以用于在每个离子电流阶段开始时重置基板电压(对应于图3A中的电压下降)。主脉冲器502可为耦合到接地501的第一经整形的DC电压源159或第二经整形的DC电压源161。主脉冲器502直接或穿过电容701耦合到IEDF宽度控制模块702(例如,图4C中的第二功率模块)。
IEDF宽度控制模块702可模型化为电路,所述电路包含耦合到开关706并且耦合到接地716的TTL信号源704。TTL信号源704和开关706并联耦合到二极管708、DC电流源605以及可选的电容714。IEDF宽度控制模块702耦合到腔室电容536。例如,电容536可为在基板电极109与基板105之间或在边缘环电极111与边缘环106之间形成的阻抗的一部分。在一些实施例中,IEDF宽度控制模块702还耦合到上文论述的基板吸附和偏压补偿模块522。基板吸附和偏压补偿模块522进一步耦合到杂散电容538。基板吸附和偏压补偿模块522是包括串联耦合到电阻器526和DC电压源528的二极管524,以及串联耦合到电容532和接地534的电阻器530的电路。二极管708是用于保护开关706和DC电流源605的反激二极管。
等离子体鞘540可模型化(等离子体鞘模型化)为电路,所述电路包含与电流源544并联耦合的鞘电容542以及耦合到接地548的二极管546。
在使用中,并且针对图7B中图标的配置,DC电流源605用作在离子电流阶段中控制电压波形的斜率的主动旋钮。如图5B的曲线所示,开关706可以被TTL信号源704控制并且与主脉冲器502的输出同步。开关706可以在主脉冲器502的电压上升到进入鞘塌陷阶段之前关闭。开关512可以在鞘塌陷阶段期间保持关闭。在主脉冲器502的电压在进入离子电流阶段时下降之后,开关706可以打开,使得DC电压源710用于在离子电流阶段中调制IEDF。可选电容714可以用于调节基板电压波形对DC电流源605的灵敏度。图7B的控制机制类似于图6D的控制机制。一个差异是图7B的控制电路在上文描述的图6F中图示,并且图6D的控制电路在图6E中图示。
针对图5A、图6A、图6D、图7A、图7B和图8中示出的配置,可以构想,基板吸附和偏压补偿模块可以任何适当方式连接到电路,而不脱离本文描述的实施例的范围。还可以构想,基板吸附和偏压补偿模块可以包括附加或不同的部件而不脱离本文描述的实施例的范围。
图9A是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的边缘环电压控制电路/基板电压控制电路900的实施例的示意性电路图。电路900包括主脉冲器502,以用于在每个离子电流阶段开始时重置基板电压(对应于图3A中的电压下降)。主脉冲器502可为耦合到接地501的第一经整形的DC脉冲电压源159或第二经整形的DC脉冲电压源161。主脉冲器502耦合到IEDF宽度控制模块902(例如,图4D中的第二功率模块)。
IEDF宽度控制模块902可模型化为电路,所述电路包含耦合到开关906的TTL信号源904,所述开关906还耦合到接地916。TTL信号源704和开关906并联耦合到二极管908。TTL信号源904、开关906和二极管908的组合控制基板吸附和偏压补偿模块920是否连接到电路的另一部分。基板吸附和偏压补偿模块920是包括并联耦合到电阻器922以及DC电压源924的电容926的电路。基板吸附和偏压补偿模块920与TTL信号源904以及开关906的组件、以及二极管908串联耦合。基板吸附和偏压补偿模块920以及开关906(作为整体)并联耦合到DC电压源910,所述DC电压源与电阻器912串联连接,并且还并联连接到可选电容器914。二极管908是用于保护开关906和DC电压源910及924的反激二极管。
电容536可在杂散电容538与等离子体鞘540之间存在,所述等离子体鞘可为例如在基板电极109与基板105之间、或在边缘环电极111与边缘环106之间形成的阻抗的一部分。IEDF宽度控制模块902以及基板吸附和偏压补偿模块920均耦合到基板电极109和/或边缘环电极111。IEDF宽度控制模块902还耦合到杂散电容538。等离子体鞘540可模型化(等离子体鞘模型化)为电路,所述电路包含与电流源544并联耦合的鞘电容542以及耦合到接地548的二极管546。
在使用中,并且针对图9A中示出的配置,DC电压源910与电阻器912一起用作在离子电流阶段中控制电压波形的斜率的主动旋钮。如图5B所示,开关906被TTL信号源904控制并且与主脉冲器502同步。开关906可以在主脉冲器502的电压上升到进入鞘塌陷阶段之前关闭。开关512可以在鞘塌陷阶段期间保持关闭,使得基板吸附和偏压补偿模块920连接到电路的另一部分并且将基板吸附电压重置到设定点。在主脉冲器502的电压在进入离子电流阶段时下降之后,开关906可以打开,使得DC电压源910用于在离子电流阶段中调制IEDF。可选电容器914可以用于调节基板电压波形对DC电压源910的灵敏度。图9A的控制机制类似于上文描述的图6B所示的控制机制。一个差异是移除了电容器C4。
图9B是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的边缘环电压控制电路/基板电压控制电路900的替代实施例的示意性电路图。电路900包括主脉冲器502,以用于在每个离子电流阶段开始时重置基板电压(对应于图3A中的电压下降)。主脉冲器502可为耦合到接地501的第一经整形的DC脉冲电压源159或第二经整形的DC脉冲电压源161。主脉冲器502耦合到IEDF宽度控制模块902(例如,图4D中的第二功率模块)。
IEDF宽度控制模块902可模型化为电路,所述电路包含耦合到开关906的TTL信号源904,所述开关906还耦合到接地916。TTL信号源904和开关906并联耦合到二极管908。TTL信号源904、开关906以及二极管908的组合控制基板吸附和偏压补偿模块920是否连接到电路的剩余部分。基板吸附和偏压补偿模块920是包括与电阻器922串联的、并联耦合到DC电压源924的电容926的电路。基板吸附和偏压补偿模块920与TTL信号源904和开关906的组件以及二极管908串联耦合。基板吸附和偏压补偿模块920以及开关906(作为整体)并联耦合到DC电流源605,并且还并联耦合到可选电容器914。二极管908是用于保护开关906及DC电流源605的反激二极管。
电容536可在杂散电容538与等离子体鞘540之间存在,所述等离子体鞘可为例如在基板电极109与基板105之间、或在边缘环电极111与边缘环106之间形成的阻抗的一部分。IEDF宽度控制模块902以及基板吸附和偏压补偿模块920均耦合到基板电极109和/或边缘环电极111。IEDF宽度控制模块902还耦合到杂散电容538。等离子体鞘540可模型化(等离子体鞘模型化)为电路,所述电路包含与电流源544并联耦合的鞘电容542以及耦合到接地548的二极管546。
在使用中,并且针对图9B中示出的配置,DC电流源605用作在离子电流阶段中控制电压波形的斜率的主动旋钮。如图5B所示,开关906被TTL信号源904控制并且与主脉冲器502同步。开关906可以在主脉冲器502的电压上升到进入鞘塌陷阶段之前关闭。开关512可以在鞘塌陷阶段期间保持关闭,使得基板吸附和偏压补偿模块920连接到电路的另一部分并且将基板吸附电压重置到设定点。在主脉冲器502的电压在进入离子电流阶段时下降之后,开关906可以打开,使得DC电流源605用于在离子电流阶段中调制IEDF。可选电容器914可以用于调节基板电压波形对DC电流源605的灵敏度。图9B的控制机制类似于上文描述的图6D所示的控制机制。一个差异是移除了电容器C4。
图10是示出用于驱动基板支撑组件104的电极109、111的边缘环电压控制电路/基板电压控制电路1000的实施例的示意性电路图。电路1000包括主脉冲器502,以用于在每个离子电流阶段开始时重置基板电压(对应于图3A中的电压下降)。主脉冲器502可为耦合到接地501的第一经整形的DC脉冲电压源159或第二经整形的DC脉冲电压源161。主脉冲器502耦合到IEDF宽度控制模块1002(例如,图4D中的第二功率模块)。
IEDF宽度控制模块1002可模型化为电路,所述电路包含耦合到开关906并且还耦合到接地916的TTL信号源904。TTL信号源904和开关906并联耦合到二极管908。TTL信号源904、开关906以及二极管908的组合控制基板吸附和偏压补偿模块是否连接到电路的另一部分。基板吸附和偏压补偿模块920是包括并联耦合到电阻器922和DC电压源924的电容926的电路。二极管908是用于保护开关、DC脉冲电压源1004以及DC电压源924的反激二极管。基板吸附和偏压补偿模块920与TTL信号源904和开关906的组件以及二极管908串联耦合。基板吸附和偏压补偿模块920以及开关906(作为整体)并联耦合到经整形的DC脉冲电压源1004,并且还并联耦合到可选电容器914。
电容536可在杂散电容538与等离子体鞘540之间存在,所述等离子体鞘可为例如在基板电极109与基板105之间、或在边缘环电极111与边缘环106之间形成的阻抗的一部分。IEDF宽度控制模块1002以及基板吸附和偏压补偿模块920均耦合到基板电极109和/或边缘环电极111。IEDF宽度控制模块1002还耦合到杂散电容538。等离子体鞘540可模型化(等离子体鞘模型化)为电路,所述电路包含与电流源544并联耦合的鞘电容542以及耦合到接地548的二极管546。
在使用中,并且针对图10中示出的配置,经整形的DC脉冲电压源1004用作在离子电流阶段中控制电压波形的斜率的主动旋钮,此配置与图9A的配置不同,其中DC电压源910与电阻器912一起用作在离子电流阶段中控制电压波形的斜率的主动旋钮。如图5B中的曲线所示,开关906被TTL信号源904控制并且与主脉冲器502同步。开关906可以在主脉冲器502的电压上升到进入鞘塌陷阶段之前关闭。开关512可以在鞘塌陷阶段期间保持关闭,使得基板吸附和偏压补偿模块连接到电路的另一部分并且将基板吸附电压重置到设定点。在主脉冲器502的电压在进入离子电流阶段时下降之后,开关906可以打开,使得DC脉冲电压源1004用于在离子电流阶段中调制IEDF。可选电容器914可以用于调节基板电压波形对经整形的DC脉冲电压源1004的灵敏度。
图10的控制机制类似于图5A的控制机制。一个差异是移除了电容器C4。另一差异是在鞘塌陷阶段中的经整形的DC脉冲电压源1004的输出电压保持在基板吸附和偏压补偿模块920的输出电压处而非零,如图5E中的迹线582(正吸附电压)和迹线586(负吸附电压)所示。
(多个)方法示例
图11是根据本公开的至少一个实施例的使用边缘环IEDF宽度控制电路155和/或基板IEDF宽度控制电路158控制IEDF宽度的方法1100的流程图。方法1100可以使用图5至图10中示出的一个或多个电路配置来实现。方法1100还提供了操作处理腔室100或处理腔室200的方法。
方法1100开始于通过激活或开启耦合到功率模块(例如,IEDF宽度控制模块)的主脉冲器(例如,主脉冲器502)来将电压施加或以其他方式引入合适的处理腔室。主脉冲器可为第一经整形的DC脉冲电压源161或第二经整形的DC脉冲电压源159。IEDF宽度控制模块可以分别是基板IEDF宽度控制电路158或边缘环IEDF宽度控制电路155。此处,将电压引入基板电极(例如,基板电极109)和/或边缘环电极(例如,边缘环电极111)。在基板电极和/或边缘环电极上的偏压在离子电流阶段中形成并且在例如鞘电压乘以离子电荷的乘积的能量处加速离子。在无碰撞鞘模型中,当轰击基板电极和/或边缘环电极时,大部分离子可以达到此最大能量。然而,由于例如在基板电极和/边缘环电极上沉积正电荷的离子电流,基板电极和/或边缘环电极的电压随着时间增加,从而减少鞘电压并且导致离子能量的扩展。
在操作1110处,功率模块(例如,IEDF宽度控制模块)的电流和/或IEDF宽度控制模块的电压或电压导数在两个或多个条件下测量以确定鞘电容C1和/或离子电流I0。此处,测量的电流可为电流I4,在图5A、图6A、图6D、图7A、图7B、图8和图9B中所述电流I4是穿过电容器C4的电流。附加地或替代地,测量的电流可为电流I5,在图6A、图6D、图7A、图7B和图9B中所述电流I5是穿过电容器C5的电流。附加地或替代地,在图9A和图10中测量的电流可为主脉冲器的输出电流。电压导数可为dV3/dt。测量可以在离子电流阶段中执行。两个或多个条件可以通过将IEDF宽度控制模块中的主动旋钮(例如,DC电压源V0和/或DC电流源Ic和/或经整形的DC脉冲电压源dV1/dt)设定为两个不同值来实现。
作为示例,并且针对图5、图8和图10的配置,经整形的DC脉冲电压源可以在离子电流阶段中设定为任何两个不同的斜率dV1/dt。作为另一示例,并且针对图6D、图7B和图9B的配置,DC电流源可以设定为任何两个不同的电流Ic。作为另一示例,并且针对图6、图7和图9的配置,在监测I4直到I4受到DC电压V0影响的点时,DC电压V0可以逐渐增加。此DC电压是阈值电压Vth。针对DC电压源V0的两个设定点的至少一者大于Vth。即,测量IEDF宽度控制模块的电流、IEDF宽度控制模块的电压或电压导数、或两者包括:将DC电压源、DC电流源和/或经整形的DC脉冲电压源设定为第一值;以及将DC电压源、DC电流源和/或经整形的DC脉冲电压源设定为第二值。
在操作1115处,离子电流I0和鞘电容C1基于针对图5、图8、和图10的配置的等式(6)和(7),或针对图6、图7和图9的配置的等式(19)和(20),或针对图6D、图7B和图9B的配置的等式(31)和(32)来计算。用于计算的输入值是:I3=C3*dV3/dt;I3'=C3*dV3'/dt;和/或I4、I4';和/或I5、I5'。C3和C3'的值是已知的,并且dV3/dt、dV3'/dt、I4、I4'、I5和I5'的值在操作1110处测量。因此,可以计算I3及I3'。
在操作1120处,确定针对IEDF宽度控制模块的电压(V0)或电流(Ic)或电压导数(dV1/dt)的期望设定点以达到目标IEDF宽度(ΔV)。该确定是基于例如确定IEDF宽度控制模块的期望设定以达到使用者规定的离子能量分布宽度(ΔV)。DC电压源的DC电压(V0)或DC电流源的DC电流(Ic)或经整形的DC脉冲电压(V1)的斜率(dV1/dt)可以分别从等式(23)、(34)和(9)确定。在操作1125处,将IEDF宽度控制模块的DC电压(V0)或DC电流(Ic)或电压导数(dV1/dt)调节为确定的设定点。
与用于控制IEDF的常规工艺相比,本文描述的方法不具有用于确定IEDF宽度控制模块的期望设定点的回路。然而,并且在一些实施例中,回路可以用于确定期望的设定点。在此种实施例中,控制器可以在离子电流阶段中监测I4和V3以检测等离子体条件的任何改变,并且由此调节IEDF宽度控制模块的设定点。
脉冲直流功率递送系统示例
一种脉冲直流(DC)功率递送系统,包含:主脉冲器,所述主脉冲器被配置为将DC脉冲波形递送到主脉冲器输出;以及功率模块,所述功率模块电气耦合到主脉冲器的输出,功率模块被配置为控制形成的等离子体内的离子的离子能量分布函数,功率模块包含:电压源或电流源,其中电压源或电流源在主脉冲器输出与接地之间电气耦合,并且其中电压源或电流源包含串联地电气耦合到电阻器的DC电流源或DC电压源;以及开关,所述开关与电压源或电流源并联地电气耦合,并且在主脉冲器输出与接地之间电气耦合。
一种脉冲直流(DC)功率递送系统,包含:主脉冲器,所述主脉冲器被配置为将DC脉冲波形递送到主脉冲器输出;以及功率模块,所述功率模块电气耦合到主脉冲器的输出,功率模块被配置为控制形成的等离子体内的离子的离子能量分布函数,功率模块包含:电压源或电流源,其中电压源或电流源在主脉冲器输出与接地之间电气耦合,并且其中电压源或电流源包含经整形的脉冲电压源;以及开关,所述开关与电压源或电流源并联地电气耦合,并且在主脉冲器输出与接地之间电气耦合。
一种脉冲直流(DC)功率递送系统,包含:主脉冲器,所述主脉冲器被配置为将DC脉冲波形递送到主脉冲器输出;以及功率模块,所述功率模块穿过电容电气耦合到主脉冲器的输出,其中功率模块被配置为在主脉冲器输出与处理腔室内的电极之间电气耦合,功率模块被配置为控制在形成的等离子体内的离子的离子能量分布函数,功率模块包含:电压源或电流源,并且其中电压源或电流源包含串联地电气耦合到电阻器的DC电流源或DC电压源;以及开关,所述开关并联地电气耦合到电压源或电流源。
一种脉冲直流(DC)功率递送系统,包含:主脉冲器,所述主脉冲器被配置为将DC脉冲波形递送到主脉冲器输出;以及功率模块,所述功率模块穿过电容电气耦合到主脉冲器的输出,其中功率模块被配置为在主脉冲器输出与处理腔室内的电极之间电气耦合,功率模块被配置为控制在形成的等离子体内的离子的离子能量分布函数,功率模块包含:电压源或电流源,并且其中电压源或电流源包含经整形的脉冲电压源;以及开关,所述开关并联地电气耦合到电压源或电流源。
一种脉冲直流(DC)功率递送系统,包含:主脉冲器,所述主脉冲器被配置为将DC脉冲波形递送到主脉冲器输出;以及功率模块,所述功率模块在主脉冲器输出与处理腔室内的电极之间电气耦合,功率模块被配置为控制在形成的等离子体内的离子的离子能量分布函数,功率模块包含:电压源或电流源,并且其中电压源或电流源包含串联地电气耦合到电阻器的DC电流源或DC电压源;以及开关,所述开关并联地电气耦合到电压源或电流源。
一种脉冲直流(DC)功率递送系统,包含:主脉冲器,所述主脉冲器被配置为将DC脉冲波形递送到主脉冲器输出;以及功率模块,所述功率模块在主脉冲器输出与处理腔室内的电极之间电气耦合,功率模块被配置为控制在形成的等离子体内的离子的离子能量分布函数,功率模块包含:电压源或电流源,并且其中电压源或电流源包含经整形的脉冲电压源;以及开关,所述开关并联地电气耦合到电压源或电流源。
一种存储指令的非瞬态计算机可读介质,当在处理器上执行时,所述指令执行用于控制离子能量分布函数(IEDF)的宽度的操作,操作包含:通过启动主脉冲器将电压引入处理腔室的电极,主脉冲器具有电气耦合到IEDF宽度控制模块的主脉冲器输出,其中IEDF宽度控制模块包含电压源或电流源,其中电压源或电流源在主脉冲器输出与接地之间或在主脉冲器输出与处理腔室内的电极之间电气耦合,并且其中电压源或电流源包含串联地电气耦合到电阻器的经整形的脉冲电压源或DC电流源或DC电压源,以及开关,所述开关与电压源或电流源并联地电气耦合,并且在主脉冲器输出与接地之间或在主脉冲器输出与处理腔室内的电极之间电气耦合;测量IEDF宽度控制模块的电流和IEDF宽度控制模块或在主脉冲器与腔室等离子体负载之间的节点的电压或电压导数;基于IEDF宽度控制模块的电流和电压或电压导数来计算处理腔室的离子电流和等离子体负载的电容;确定IEDF宽度控制模块的电流或电压或电压导数的设定点、或其任何组合;以及将IEDF宽度控制模块的电流或电压或电压导数、或其任何组合调节至所确定的设定点以控制IEDF的宽度。
在脉冲直流(DC)功率递送系统的一些实施例中,主脉冲器输出电气耦合到IEDF宽度控制模块,其中IEDF宽度控制模块包含:电压源或电流源,其中电压源或电流源在主脉冲器输出与接地之间或在主脉冲器输出与处理腔室内的电极之间电气耦合,并且其中电压源或电流源包含串联地电气耦合到电阻器的DC电流源或经整形的DC脉冲电压源或DC电压源;以及开关,所述开关与电压源或电流源并联地电气耦合,并且在主脉冲器输出与接地之间或在主脉冲器输出与处理腔室内的电极之间电气耦合。脉冲直流(DC)功率递送系统还包括非瞬态计算机可读介质,所述非瞬态计算机可读介质包括软件指令,当在处理器上执行时,所述指令执行用于控制离子能量分布函数(IEDF)的宽度的操作,操作包含:将第一脉冲电压波形从主脉冲器的主脉冲器输出递送到处理腔室的电极;测量从IEDF宽度控制模块流动的电流、在主脉冲器与腔室等离子体负载之间的节点的电压或电压导数;基于测量的电流、测量的电压、或测量的电压导数来计算处理腔室的离子电流和处理腔室的电容;确定从IEDF宽度控制模块提供的电压设定点或从IEDF宽度控制模块提供的电压导数的设定点;以及调节从IEDF宽度控制模块提供的电压或从IEDF宽度控制模块提供的电压导数,以控制IEDF的宽度。
本文描述的方法和装置(例如,电路)实现对脉冲DC基板电压的波形的形状(例如,窄的或可调节宽度)的控制。本文描述的实施例进一步实现例如对包括单能离子加速的离子能量分布的控制。
如从上文大致描述和具体实施例中显而易见,尽管已经示出并且描述本公开的形式,可以进行各种修改而不脱离本公开的精神及范围。由此,本公开不旨在由此受限。同样,术语“包含(comprising)”被认为与术语“包括(including)”同义。同样,每当组成物、元素或元素组合之前有过渡性词组“包含”时,将理解,还预期相同的组成物或元素的群组具有过渡性词组“基本上由……组成”、“由……组成”、“从由……组成的群组中选择”、或“是”在组成物、要素、或多种要素的叙述之前,并且反的还然。
尽管上述内容涉及本公开的示例,本公开的其他和进一步示例可在不脱离其基本范围的情况下设计,并且其范围由以下权利要求确定。

Claims (19)

1.一种脉冲直流(DC)功率递送系统,包含:
主脉冲器,所述主脉冲器被配置为将DC脉冲波形递送到主脉冲器输出;以及
功率模块,所述功率模块电气耦合到所述主脉冲器的所述主脉冲器输出,所述功率模块被配置为控制在形成的等离子体内的离子的离子能量分布函数,所述功率模块包含:
电压源或电流源,其中所述电压源或电流源在所述主脉冲器输出与接地之间电气耦合,并且其中所述电压源或所述电流源包含串联地电气耦合到电阻器的DC电压源、DC电流源、或经整形的DC脉冲电压源中的至少一者;以及
开关,所述开关与所述电压源或所述电流源并联地电气耦合,并且在所述主脉冲器输出与所述接地之间电气耦合。
2.如权利要求1所述的脉冲DC功率递送系统,其特征在于
所述电压源或所述电流源包含所述DC电压源和电阻器,并且
所述功率模块进一步包含电容和二极管,并且所述电容和二极管均与所述DC电压源和电阻器并联地电气耦合。
3.如权利要求2所述的脉冲DC功率递送系统,其特征在于,电流返回路径在所述功率模块与所述主脉冲器输出之间电气耦合。
4.如权利要求3所述的脉冲DC功率递送系统,其特征在于,所述电流返回路径包含与电阻器串联地电气耦合的电感器,所述电阻器与所述功率模块或所述脉冲器输出串联地电气耦合,所述电感器进一步电气耦合到所述主脉冲器输出或所述功率模块。
5.如权利要求1所述的脉冲DC功率递送系统,其特征在于,所述主脉冲器输出能电气耦合到半导体处理腔室内的电极。
6.如权利要求1所述的脉冲DC功率递送系统,进一步包含:
软件,当由处理单元执行时,调节所述DC电压源或所述DC电流源或所述经整形的DC脉冲电压源的输出,以控制从所述主脉冲器输出递送到处理腔室中的第一电极的电压波形的斜率、从所述主脉冲器输出递送到所述处理腔室中的第二电极的电压波形的斜率、或其组合。
7.如权利要求6所述的脉冲DC功率递送系统,其特征在于,所述主脉冲器输出电气耦合到设置在等离子体处理腔室内的基板电极或边缘环电极。
8.如权利要求1所述的脉冲DC功率递送系统,进一步包含:
阻挡电容,所述阻挡电容在所述功率模块与处理腔室中的电极之间电气耦合;以及
偏压补偿模块,所述偏压补偿模块在所述阻挡电容与所述电极之间电气耦合。
9.一种脉冲直流(DC)功率递送系统,包含:
主脉冲器,所述主脉冲器被配置为将DC脉冲波形递送到主脉冲器输出;以及
功率模块,所述功率模块电气耦合到所述主脉冲器的输出,所述功率模块被配置为控制在形成的等离子体内的离子的离子能量分布函数,所述功率模块包含:
电压源或电流源,其中所述电压源或电流源被配置为在所述主脉冲器输出与处理腔室内的电极之间电气耦合,并且其中所述电压源或所述电流源包含串联地电气耦合到电阻器的DC电流源或经整形的DC脉冲电压源或DC电压源;以及
开关,所述开关并联地电气耦合到所述电压源或所述电流源。
10.如权利要求9所述的脉冲DC功率递送系统,其特征在于,所述功率模块进一步包含电容和二极管,所述电容和二极管均与所述DC电压源并联地电气耦合。
11.如权利要求9所述的脉冲DC功率递送系统,其特征在于,所述功率模块能电气耦合到半导体处理腔室内的电极。
12.如权利要求9所述的脉冲DC功率递送系统,进一步包含:
软件,当由处理单元执行时,调节所述DC电压源或所述DC电流源或所述经整形的DC脉冲电压源的输出,以控制从所述主脉冲器输出递送到处理腔室中的第一电极的电压波形的斜率、从所述主脉冲器输出递送到所述处理腔室中的第二电极的电压波形的斜率、或其组合。
13.如权利要求9所述的脉冲DC功率递送系统,其特征在于,所述功率模块输出电气耦合到设置在等离子体处理腔室内的基板电极或边缘环电极。
14.如权利要求9所述的脉冲DC功率递送系统,进一步包含偏压补偿模块,所述偏压补偿模块在所述功率模块与所述处理腔室中的电极之间电气耦合,或与所述功率模块并联。
15.如权利要求14所述的脉冲DC功率递送系统,进一步包含阻挡电容器,所述阻挡电容器在所述偏压补偿模块与所述主脉冲器输出之间电气耦合。
16.一种存储指令的非瞬态计算机可读介质,当在处理器上执行时,所述指令执行用于控制离子能量分布函数(IEDF)的宽度的操作,所述操作包含:
将第一脉冲电压波形从主脉冲器的主脉冲器输出递送到处理腔室的电极,所述主脉冲器输出电气耦合到IEDF宽度控制模块,其中所述IEDF宽度控制模块包含:
电压源或电流源,其中所述电压源或电流源在所述主脉冲器输出与接地之间或在所述主脉冲器输出与处理腔室内的电极之间电气耦合,并且其中所述电压源或所述电流源包含串联地电气耦合到电阻器的DC电流源或经整形的DC脉冲电压源或DC电压源;以及
开关,所述开关与所述电压源或所述电流源并联地电气耦合,并且在所述主脉冲器输出与所述接地之间或在所述主脉冲器输出与处理腔室内的电极之间电气耦合;
测量从所述IEDF宽度控制模块流动的一电流、通过所述IEDF宽度控制模块施加的电压、以及在所述IEDF宽度控制模块与所述处理腔室中的所述电极之间的节点处的电压导数中的至少一者;
基于测量的电流、测量的电压、以及测量的电压导数中的至少一者来计算所述处理腔室的离子电流和所述处理腔室的电容;
确定从所述IEDF宽度控制模块提供的电压或电流的设定点或从所述IEDF宽度控制模块提供的电压导数的设定点;以及
调节从所述IEDF宽度控制模块提供的所述电压或所述电流、或从所述IEDF宽度控制模块提供的电压导数,以控制所述IEDF的所述宽度。
17.如权利要求16所述的非瞬态计算机可读介质,其特征在于,测量所述IEDF宽度控制模块的电流和所述IEDF宽度控制模块的电压导数包含:
将所述IEDF宽度控制模块的DC电压设定为两个不同值;以及
将所述IEDF宽度控制模块的电压或电压导数设定为两个不同值;以及
将所述IEDF宽度控制模块的DC电流设定为两个不同值。
18.如权利要求16所述的非瞬态计算机可读介质,其特征在于,所述IEDF宽度控制模块包含电容和二极管,所述电容和二极管均与所述DC电压源或所述DC电流源或经整形的DC脉冲电压源并联地电气耦合。
19.如权利要求16所述的非瞬态计算机可读介质,进一步包含在所述IEDF宽度控制模块与所述主脉冲器输出之间耦合的电流返回路径,其中所述电流返回路径包含与电阻器串联耦合的电感器,所述电阻器或所述电感器与所述IEDF宽度控制模块串联耦合,所述电感器或所述电阻器进一步耦合到所述主脉冲器输出。
CN202180060516.3A 2020-11-16 2021-10-13 用于控制离子能量分布的装置和方法 Pending CN116250059A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17/099,342 2020-11-16
US17/099,342 US11798790B2 (en) 2020-11-16 2020-11-16 Apparatus and methods for controlling ion energy distribution
US17/159,133 US11901157B2 (en) 2020-11-16 2021-01-26 Apparatus and methods for controlling ion energy distribution
US17/159,133 2021-01-26
PCT/US2021/054814 WO2022103544A1 (en) 2020-11-16 2021-10-13 Apparatus and methods for controlling ion energy distribution

Publications (1)

Publication Number Publication Date
CN116250059A true CN116250059A (zh) 2023-06-09

Family

ID=81586829

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180060516.3A Pending CN116250059A (zh) 2020-11-16 2021-10-13 用于控制离子能量分布的装置和方法

Country Status (6)

Country Link
US (1) US11901157B2 (zh)
EP (1) EP4244884A1 (zh)
JP (1) JP7461565B2 (zh)
KR (1) KR20230024422A (zh)
CN (1) CN116250059A (zh)
WO (1) WO2022103544A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7475193B2 (ja) * 2020-05-07 2024-04-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11996274B2 (en) * 2022-04-07 2024-05-28 Mks Instruments, Inc. Real-time, non-invasive IEDF plasma sensor
JP2024085546A (ja) * 2022-12-15 2024-06-27 東京エレクトロン株式会社 凹部の埋込方法及びプラズマ処理装置
US20240249914A1 (en) * 2023-01-23 2024-07-25 Mks Instruments, Inc. Non-Invasive IED Estimation For Pulsed-DC And Low Frequency Applications

Family Cites Families (581)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
JP2775345B2 (ja) 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
WO1999014699A1 (en) 1997-09-17 1999-03-25 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
KR20010024503A (ko) 1997-10-15 2001-03-26 히가시 데쓰로 입자의 흐름을 발생하기 위하여 플라즈마 밀도그레디언트를 활용하는 장치 및 방법
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
WO2000017920A1 (fr) 1998-09-18 2000-03-30 Tokyo Electron Limited Procede de traitement au plasma
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
JP4672941B2 (ja) 1999-07-13 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマを発生させるための高周波電源
EP1282909A1 (en) 1999-08-02 2003-02-12 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
KR100750420B1 (ko) 1999-08-17 2007-08-21 동경 엘렉트론 주식회사 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
JP5165825B2 (ja) 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
AU2001245938A1 (en) 2000-03-28 2001-10-08 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
KR100842947B1 (ko) 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
JPWO2002059954A1 (ja) 2001-01-25 2004-10-14 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
ATE254192T1 (de) 2001-04-27 2003-11-15 Europ Economic Community Verfahren und vorrichtung zur sequentiellen plasmabehandlung
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
TWI296132B (en) 2001-10-31 2008-04-21 Mosden Aelan Method of etching high aspect ratio features
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4319514B2 (ja) 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
DE112004002262T5 (de) 2003-11-28 2006-10-26 Advantest Corp. Digitale QP Detektionsvorrichtung, Spektrumanalysator aufweisend dieselbe und ein Verfahren zur digitalen QP Detektierung
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
CN102256432B (zh) 2004-06-21 2014-10-29 东京毅力科创株式会社 等离子体处理装置和方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
US7821767B2 (en) 2004-11-04 2010-10-26 Ulvac, Inc. Electrostatic chuck device
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
DE502005000175D1 (de) 2005-03-10 2006-12-21 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
EP1708239B1 (de) 2005-03-30 2011-03-02 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
US7852008B2 (en) 2005-05-13 2010-12-14 Panasonic Corporation Dielectric barrier discharge lamp lighting device
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CA2635629A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
EP1926122B1 (de) 2006-11-23 2009-11-11 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
WO2008071732A2 (en) 2006-12-12 2008-06-19 Oc Oerlikon Balzers Ag Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
EP2221614A1 (en) 2007-11-26 2010-08-25 Tokyo Electron Limited Microstructure inspecting device, and microstructure inspecting method
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
KR101538531B1 (ko) 2008-03-06 2015-07-21 도쿄엘렉트론가부시키가이샤 다공성 저 유전 상수 유전체막의 경화 방법
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
JP5891341B2 (ja) 2009-01-13 2016-03-23 ヘルスセンシング株式会社 プラズマ生成装置及び方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN102474971B (zh) 2009-08-07 2015-03-04 株式会社京三制作所 脉冲调制高频功率控制方法以及脉冲调制高频电源装置
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US9313872B2 (en) 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
EP2544616B1 (en) 2010-03-11 2017-09-06 Medtronic Advanced Energy LLC Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
EP2580368B1 (en) 2010-06-11 2015-11-18 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP4085924A1 (en) 2010-08-31 2022-11-09 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
TWI478234B (zh) 2011-03-04 2015-03-21 Tokyo Electron Ltd 氮化矽膜之蝕刻方法
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8979842B2 (en) 2011-06-10 2015-03-17 Medtronic Advanced Energy Llc Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI830183B (zh) 2011-10-05 2024-01-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
US9209034B2 (en) 2012-02-01 2015-12-08 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
KR102034556B1 (ko) 2012-02-09 2019-10-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
WO2013125523A1 (ja) 2012-02-20 2013-08-29 東京エレクトロン株式会社 電源システム、プラズマエッチング装置及びプラズマエッチング方法
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
US9644221B2 (en) 2012-03-30 2017-05-09 Toray Industries, Inc. Method of producing chemical by continuous fermentation and continuous fermentation apparatus
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
EP3403995B1 (en) 2012-08-15 2021-01-27 Lockheed Martin Energy, LLC High solubility iron hexacyanides
KR102025540B1 (ko) 2012-08-28 2019-09-26 에이이에스 글로벌 홀딩스 피티이 리미티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
KR101860182B1 (ko) 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
JP6154820B2 (ja) 2012-11-01 2017-06-28 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
US20160004475A1 (en) 2013-02-28 2016-01-07 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
JP2016511551A (ja) 2013-03-13 2016-04-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 銅のuv支援反応性イオンエッチング
CN105122431A (zh) 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
WO2015009864A1 (en) 2013-07-17 2015-01-22 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (dms) processes
CN105408993A (zh) 2013-08-06 2016-03-16 应用材料公司 局部加热的多区域基板支撑件
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
CN109166782B (zh) 2013-11-06 2020-08-07 应用材料公司 通过dc偏压调制的颗粒产生抑制器
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
CN106105033B (zh) 2013-11-14 2019-04-12 鹰港科技有限公司 高压纳秒脉冲发生器
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10522343B2 (en) 2014-03-02 2019-12-31 Tokyo Electron Limited Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9741544B2 (en) 2014-03-24 2017-08-22 Advanced Energy Industries, Inc. System and method for control of high efficiency generator source impedance
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
CN106971964A (zh) 2014-07-23 2017-07-21 应用材料公司 可调谐温度受控的基板支撑组件
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
JP6698033B2 (ja) 2014-12-25 2020-05-27 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
TWI714074B (zh) 2015-01-16 2020-12-21 美商艾克塞利斯科技公司 離子植入系統及具有可變能量控制的方法
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
CN108701532B (zh) 2015-11-30 2022-10-28 鹰港科技有限公司 高压变压器
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
CN109075066B (zh) 2016-03-31 2023-08-04 东京毅力科创株式会社 使用无晶片干式清洗发射光谱来控制干式蚀刻过程的方法
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) * 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11430635B2 (en) * 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10438797B2 (en) 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US10268846B2 (en) 2016-12-30 2019-04-23 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
WO2018148182A1 (en) 2017-02-07 2018-08-16 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
SG11201908533PA (en) 2017-03-17 2019-10-30 Tokyo Electron Ltd Surface modification control for etch metric enhancement
CN110771041B (zh) 2017-03-31 2023-10-03 鹰港科技有限公司 高压电阻性输出级电路
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
JP7261179B2 (ja) 2017-05-30 2023-04-19 タイタン・アドバンスト・エナジー・ソリューションズ・インコーポレイテッド バッテリの寿命評価および容量の回復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
WO2019036587A1 (en) 2017-08-17 2019-02-21 Tokyo Electron Limited APPARATUS AND METHOD FOR REAL-TIME DETECTION OF PROPERTIES IN INDUSTRIAL MANUFACTURING EQUIPMENT
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2019040949A1 (en) 2017-08-25 2019-02-28 Eagle Harbor Technologies, Inc. ARBITRARY WAVEFORM GENERATION USING NANO-SECOND PULSES
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10763150B2 (en) * 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) * 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10475622B2 (en) 2017-09-26 2019-11-12 Advanced Energy Industries, Inc. System and method for plasma ignition
KR102514231B1 (ko) 2017-10-30 2023-03-24 엔지케이 인슐레이터 엘티디 정전 척 및 그 제조법
JP6894000B2 (ja) 2017-11-06 2021-06-23 日本碍子株式会社 静電チャックアセンブリ及び静電チャック
WO2019099102A1 (en) 2017-11-16 2019-05-23 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
PL3711081T3 (pl) 2017-11-17 2024-08-19 Aes Global Holdings, Pte. Ltd. Przestrzenne i czasowe sterowanie napięciem polaryzacji jonów do przetwarzania plazmowego
US10607813B2 (en) 2017-11-17 2020-03-31 Advanced Energy Industries, Inc. Synchronized pulsing of plasma processing source and substrate bias
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
WO2019173768A1 (en) 2018-03-08 2019-09-12 Eagle Harbor Technologies, Inc. Precision eddy current sensor for nondestructive evaluation of structures
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
WO2019212799A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Rf grounding configuration for pedestals
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
JP7357191B2 (ja) 2018-06-18 2023-10-06 東京エレクトロン株式会社 製造装置における特性の低干渉でのリアルタイム感知
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
CN116387129A (zh) 2018-06-22 2023-07-04 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和存储介质
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
EP3881423A4 (en) 2018-11-14 2022-08-03 AES Global Holdings, Pte. Ltd. ADDITIVE SYNTHESIS OF INTERLEAVED SWITCH MODE POWER STAGES FOR MINIMUM DELAY IN SETPOINT TRACKING
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
TWI783203B (zh) 2019-01-08 2022-11-11 美商鷹港科技股份有限公司 奈秒脈波產生器電路
WO2020145051A1 (ja) 2019-01-09 2020-07-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
WO2020243023A1 (en) 2019-05-24 2020-12-03 Eagle Harbor Technologies, Inc. Klystron driver
CN114041203A (zh) 2019-07-02 2022-02-11 鹰港科技有限公司 纳秒脉冲器射频隔离
WO2021011450A1 (en) 2019-07-12 2021-01-21 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
JP2022550053A (ja) 2019-09-25 2022-11-30 イーグル ハーバー テクノロジーズ,インク. エネルギー回収を伴う非線形伝送線路高電圧パルスシャープニング
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
EP4082036A4 (en) 2019-12-24 2023-06-07 Eagle Harbor Technologies, Inc. NANOSECOND PULSE RF ISOLATION FOR PLASMA SYSTEMS
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution

Also Published As

Publication number Publication date
EP4244884A1 (en) 2023-09-20
TW202236354A (zh) 2022-09-16
JP7461565B2 (ja) 2024-04-03
US20220157561A1 (en) 2022-05-19
KR20230024422A (ko) 2023-02-20
WO2022103544A1 (en) 2022-05-19
JP2023540835A (ja) 2023-09-27
US11901157B2 (en) 2024-02-13

Similar Documents

Publication Publication Date Title
JP6986113B2 (ja) 修正された周期的電圧関数を電気ノードに提供するための装置およびコンピュータ読み取り可能な記憶媒体
CN116250059A (zh) 用于控制离子能量分布的装置和方法
KR101761493B1 (ko) 스위칭 모드 이온 에너지 분포 시스템을 교정하기 위한 시스템 및 방법
US10388544B2 (en) Substrate processing apparatus and substrate processing method
KR101800623B1 (ko) 스위칭 모드 이온 에너지 분포 시스템의 고장, 비정상 및 다른 특성들을 모니터링하기 위한 시스템 및 방법
US9053908B2 (en) Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
US20230420229A1 (en) Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) Automatic electrostatic chuck bias compensation during plasma processing
KR101283360B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR20230031311A (ko) 전압 펄스 시간 도메인 다중화
US11791138B2 (en) Automatic electrostatic chuck bias compensation during plasma processing
TWI847069B (zh) 用於控制離子能量分佈的裝置及方法
US20240194446A1 (en) Chamber impedance management in a processing chamber
TW202309971A (zh) 電漿處理期間的自動靜電卡盤偏壓補償

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination