JP2023541096A - イオンエネルギー分布を制御する装置および方法 - Google Patents

イオンエネルギー分布を制御する装置および方法 Download PDF

Info

Publication number
JP2023541096A
JP2023541096A JP2023503136A JP2023503136A JP2023541096A JP 2023541096 A JP2023541096 A JP 2023541096A JP 2023503136 A JP2023503136 A JP 2023503136A JP 2023503136 A JP2023503136 A JP 2023503136A JP 2023541096 A JP2023541096 A JP 2023541096A
Authority
JP
Japan
Prior art keywords
voltage
substrate
coupled
edge ring
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023503136A
Other languages
English (en)
Inventor
リンイン サイ,
ジェームズ ロジャーズ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023541096A publication Critical patent/JP2023541096A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Recrystallisation Techniques (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Figure 2023541096000001
本開示の実施形態は、全体として、プラズマ処理の間のイオンエネルギー分布を制御する装置および方法に関する。一実施形態では、装置は、基板に基板電圧を印加する基板電極を有する本体を有する、基板支持体と、エッジリングにエッジリング電圧を印加する埋め込まれたエッジリング電極とを含む。装置はさらに、基板電極に結合された基板電圧制御回路と、エッジリング電極に結合されたエッジリング電圧制御回路とを含む。基板電極、エッジリング電極、または両方は、基板、エッジリング、または両方に達するイオンのエネルギー分布関数幅を能動的に制御するように構成された、パワーモジュールに結合される。基板処理の間のイオンのエネルギー分布関数幅を制御する方法も記載される。
【選択図】図5A

Description

本開示の実施形態は、全体として、基板をプラズマ処理する装置および方法に関し、具体的には、プラズマ処理の間のイオンエネルギー分布を制御する装置および方法に関する。
基板のプラズマ処理の間、イオンは、基板の表面処理、エッチング、および堆積に重要な役割を果たす。基板表面に衝突するイオンは、イオンエネルギー分布関数(IEDF)によって説明される、様々なエネルギーを有する場合がある。IEDFに対する制御は、様々な基板処理スキームの重要な因子であり得る。しかしながら、IEDFの制御にはまだ課題がある。例えば、周期的に交番する電圧がチャンバの電極に印加されると、基板の上方にプラズマシースが発達する場合がある。基板に向かって流れるイオンは、電極に印加される電圧と相関するプラズマシース電圧によって加速される。同時に、イオン電流が基板を帯電させ、基板電位を変化させる場合があり、それが次いでプラズマシース電圧に影響を及ぼして、基板表面のIEDFも影響を受け、例えば拡幅される。かかる事例およびその他においてIEDFを制御する最新技術の方法は、非効率的な反復ループに基づいている。
IEDFを制御する新しい改善された方法が必要とされている。
本開示の実施形態は、全体として、基板をプラズマ処理する装置および方法に関し、具体的には、プラズマ処理の間のイオンエネルギー分布を制御する装置および方法に関する。
一実施形態では、イオンエネルギー分布関数(IEDF)を制御する方法が提供される。方法は、IEDF幅制御モジュールに結合された主パルサを作動することによって、処理チャンバの電極に電圧を導入することと、IEDF幅制御モジュールの電流、およびIEDF幅制御モジュールの電圧または電圧微分を測定することとを含む。方法はさらに、IEDF幅制御モジュールの電流および電圧または電圧微分に基づいて、処理チャンバのイオン電流および処理チャンバの容量を計算することを含む。方法はさらに、主パルサのDC電圧に対するセットポイント、IEDF幅制御モジュールの電圧もしくは電圧微分に対するセットポイント、または両方を決定することと、主パルサのDC電圧、IEDF幅制御モジュールの電圧もしくは電圧微分、または両方を、決定したセットポイントに合わせて調節して、IEDFの幅を制御することとを含む。
別の実施形態では、イオンエネルギー分布を制御する装置が提供される。装置は、基板に基板電圧を印加する基板電極が埋め込まれた基板支持体部分を有する本体を有する、基板支持体を含む。本体はさらに、基板支持体部分に隣接して配設されたエッジリング部分であって、エッジリングにエッジリング電圧を印加するエッジリング電極が埋め込まれたエッジリング部分を含む。装置はさらに、基板電極に結合された基板電圧制御回路と、エッジリング電極に結合されたエッジリング電圧制御回路とを含む。基板電極が、基板に達するイオンのエネルギー分布関数幅を能動的に制御するように構成されたパワーモジュールに結合されるか、またはエッジリング電極が、エッジリングに達するイオンのエネルギー分布関数幅を能動的に制御するように構成されたパワーモジュールに結合されるか、またはそれらの組み合わせである。基板電圧制御回路、エッジリング電圧制御回路、または両方が、電流リターンパスに結合された主パルサを備え、電流リターンパスは、パワーモジュールおよび処理チャンバに結合され、パワーモジュールは、電圧源、電流源、またはそれらの組み合わせを備える。
別の実施形態では、イオンエネルギー分布を制御する装置が提供される。装置は、基板に基板電圧を印加する基板電極が埋め込まれた基板支持体部分を有する本体を有する、基板支持体を含む。本体はさらに、基板支持体部分に隣接して配設されたエッジリング部分であって、エッジリングにエッジリング電圧を印加するエッジリング電極が埋め込まれたエッジリング部分を含む。装置はさらに、基板電極に結合された基板電圧制御回路と、エッジリング電極に結合されたエッジリング電圧制御回路とを含む。基板電極が、基板に達するイオンのエネルギー分布関数幅を能動的に制御するように構成されたパワーモジュールに結合されるか、またはエッジリング電極が、エッジリングに達するイオンのエネルギー分布関数幅を能動的に制御するように構成されたパワーモジュールに結合されるか、またはそれらの組み合わせである。基板電圧制御回路、エッジリング電圧制御回路、または両方が、パワーモジュールに結合された主パルサを備え、パワーモジュールは処理チャンバに結合され、パワーモジュールは、電圧源、電流源、またはそれらの組み合わせを備える。
別の実施形態では、イオンエネルギー分布を制御する装置が提供される。装置は、基板に基板電圧を印加する基板電極が埋め込まれた基板支持体部分を有する本体を有する、基板支持体を含む。本体はさらに、基板支持体部分に隣接して配設されたエッジリング部分であって、エッジリングにエッジリング電圧を印加するエッジリング電極が埋め込まれたエッジリング部分を含む。装置はさらに、基板電極に結合された基板電圧制御回路と、エッジリング電極に結合されたエッジリング電圧制御回路とを含む。基板電極が、基板に達するイオンのエネルギー分布関数幅を能動的に制御するように構成されたパワーモジュールに結合されるか、またはエッジリング電極が、エッジリングに達するイオンのエネルギー分布関数幅を能動的に制御するように構成されたパワーモジュールに結合されるか、またはそれらの組み合わせである。基板電圧制御回路、エッジリング電圧制御回路、または両方が、パワーモジュールに結合された主パルサを備え、パワーモジュールは処理チャンバに結合され、パワーモジュールは、基板チャッキングおよびバイアス補償モジュールと並列であり、パワーモジュールは、電圧源、電流源、またはそれらの組み合わせを備える。
本開示の上記に列挙した特徴を詳細に理解することができるような形で、上記に概説した本開示が、実施形態を参照してさらに詳細に説明されることがあり、その一部が添付図面に例証される。しかしながら、添付図面は本開示の例示的実施形態を例証しているにすぎず、したがって、本開示は他の等しく有効な実現形態を許容することができるので、その範囲を限定するものとみなされるべきではないことに注目すべきである。
本開示の少なくとも一実施形態による、処理チャンバ例を示す概略断面図である。 本開示の少なくとも一実施形態による、処理チャンバ例の概要図である。 本開示の少なくとも一実施形態による、基板上における3つの異なるバイアス電圧波形を示す例示のグラフである。 本開示の少なくとも一実施形態による、図3Aに示される基板上における3つの異なるバイアス電圧波形に対するIEDF対イオンエネルギーの例示のプロットを示す図である。 本開示の少なくとも一実施形態による、回路例の概要図である。 本開示の少なくとも一実施形態による、回路例の概要図である。 本開示の少なくとも一実施形態による、回路例の概要図である。 本開示の少なくとも一実施形態による、回路例の概要図である。 本開示の少なくとも一実施形態による、基板支持体アセンブリの電極を駆動するIEDF幅制御回路を示す一例の概略回路図である。 本開示の少なくとも一実施形態による、図5Aに示される一例の概略回路図に対するV2電圧波形および基板電圧波形の例示のプロットを示す図である。 本開示の少なくとも一実施形態による、制御回路例を示す図である。 本開示の少なくとも一実施形態による、制御回路例を示す図である。 本開示の少なくとも一実施形態による、例示の鋸歯状電圧出力を示す図である。 本開示の少なくとも一実施形態による、基板支持体アセンブリの電極を駆動するIEDF幅制御回路を示す一例の概略回路図である。 本開示の少なくとも一実施形態による、制御回路例を示す図である。 本開示の少なくとも一実施形態による、制御回路例を示す図である。 本開示の少なくとも一実施形態による、基板支持体アセンブリの電極を駆動するIEDF幅制御回路を示す一例の概略回路図である。 本開示の少なくとも一実施形態による、基板支持体アセンブリの電極を駆動するIEDF幅制御回路を示す一例の概略回路図である。 本開示の少なくとも一実施形態による、基板支持体アセンブリの電極を駆動するIEDF幅制御回路を示す一例の概略回路図である。 本開示の少なくとも一実施形態による、基板支持体アセンブリの電極を駆動するIEDF幅制御回路を示す一例の概略回路図である。 本開示の少なくとも一実施形態による、IEDF幅を制御する方法を示すフローチャートである。
理解を容易にするため、可能な場合、図面に共通である同一の要素を指定するのに、同一の参照番号を使用している。ある実施形態の要素および特徴は、追加して記述することなく、他の実施形態で有益に利用されてもよいことが想到される。
本開示の実施形態は、全体として、基板をプラズマ処理する装置および方法に関し、具体的には、プラズマ処理の間のイオンエネルギー分布を制御する装置および方法に関する。本明細書に記載する方法および装置、例えば回路は、パルスDC電力供給装置の電圧波形の形状(例えば、狭い、または調節可能な幅)に対する制御を可能にする。本明細書に記載する実施形態はさらに、例えば、単エネルギーイオン加速を含むイオンエネルギー分布関数(IEDF)に対する制御を可能にする。
IEDFは、高アスペクト比の特徴をエッチングするためのパラメータである。一般的に、パルスDCバイアスは、以下のメカニズムによる正弦波RFバイアスと比較して、より狭いIEDFを提供することができる。イオンは、パルスDC期間内で時間変動が少ない電界によって加速されるので、シース内のイオンによって獲得されるエネルギーも、正弦波RFバイアスを変動させた場合よりも時間変動が少ない。結果として、パルスDCバイアスによって加速されるイオンは、正弦波RFバイアスよりも狭いIEDFを有する。しかしながら、バルクプラズマから基板へのイオン電流は、基板で電圧波形を歪ませ、イオンエネルギー分布を拡幅する。本明細書に記載する方法および装置は、例えば、このイオン電流を補償し、イオンエネルギー分布の幅を能動的に制御することができる。
従来の方法および装置は、イオンエネルギー分布の幅を制御するのに反復制御ループを使用する。制御アルゴリズムの収束前は、プラズマパラメータ(例えば、イオン電流、シース厚さ、およびIEDF幅)の推定は不正確である。さらに、反復を使用することによるIEDFの幅の制御は低速であり、制御アルゴリズムが収束しない場合がある。
対照的に、本明細書に記載する方法および装置は、反復しない1つのループを利用して、イオン電流および補償電流を決定して所与のIEDF幅を達成する。したがって、本明細書に記載する方法および装置は、最新技術よりも高速でIEDFの所望の状態に、例えば狭いIEDFに達する。これは、例えば、補償電流の解を決定する際に反復を使用しないことによるものである。
簡潔には、いくつかの実施形態では、基板支持体は本体を含み、本体は、基板支持体部分および/またはエッジリング部分を含む。基板電極は、基板電圧を基板に印加するため、基板支持体部分に埋め込まれる。基板電圧制御回路は基板電極に結合される。エッジリング部分は、エッジリング電圧をエッジリングに印加する、埋め込まれたエッジリング電極を含む。エッジリング電圧制御回路はエッジリング電極に結合される。少なくとも1つの整形DCパルス源が、基板電圧制御回路および/またはエッジリング電圧制御回路に結合される。基板電圧回路および/またはエッジリング電圧制御回路は、調整可能である。例えば、基板電圧制御回路および/またはエッジリング電圧制御回路の調整を介して、電圧振幅を調節することにより、イオンエネルギー分布が調節され制御される。
いくつかの実施形態では、IEDF幅の制御回路は基板支持体に結合される。IEDF幅の制御回路は、主パルスDC電力供給装置内部に統合するか、または別個のモジュールとするか、またはバイアス補償モジュールと統合されたモジュールとすることができる。
処理システム構成例
図1は、本開示の少なくとも一実施形態による、処理チャンバ100の概略断面図である。処理チャンバ100は、本明細書に記載するスキームを実践するように構成される。この実施形態では、処理チャンバは、反応性イオンエッチング(RIE)プラズマチャンバなどのプラズマ処理チャンバである。他のいくつかの実施形態では、処理チャンバは、プラズマ強化堆積チャンバ、例えば、プラズマ強化化学気相堆積(PECVD)チャンバ、プラズマ強化物理気相堆積(PEPVD)チャンバ、またはプラズマ強化原子層堆積(PEALD)チャンバである。他のいくつかの実施形態では、処理チャンバは、プラズマ処理チャンバ、またはプラズマベースのイオン埋込みチャンバ、例えばプラズマドーピング(PLAD)チャンバである。
処理チャンバ100は、ともに内容積124を画成する、チャンバ本体101とその上に配設された蓋102とを含む。チャンバ本体101は、一般的に、電気接地103に結合される。基板支持体アセンブリ104は、内容積の中に配設されて、処理中は基板105をその上で支持する。エッジリング106は、基板支持体アセンブリ104上に位置付けられ、基板105の周囲を取り囲む。処理チャンバ100はまた、処理チャンバ100内で反応種のプラズマを発生させる誘導結合型プラズマ装置107と、処理チャンバ100のシステムおよびサブシステムを制御するように適合されたコントローラ108とを含む。いくつかの実施形態では、誘導結合型プラズマ装置107は、接地されたシャワーヘッドに置き換えることができ、RF電力は、基板の下方にある電極から送達されて、容量結合プラズマを発生させる。
基板支持体アセンブリ104は内容積124に配設される。基板支持体アセンブリ104は一般に、基板支持体152を含む。基板支持体152は、処理される基板105の下に敷いて支持するように構成された基板支持体部分154と、エッジリング106を支持するように構成されたエッジリング部分156とを備える、静電チャック150を含む。基板支持体アセンブリ104はさらに、ヒータアセンブリ169を含むことができる。基板支持体アセンブリ104はまた、冷却ベース131を含むことができる。あるいは、冷却ベース131は基板支持体アセンブリ104と別個であることができる。基板支持体アセンブリ104は、支持ペデスタル125に取り外し可能に結合することができる。支持ペデスタル125はチャンバ本体101に装着される。支持ペデスタル125は任意に、設備プレート180を含むことができる。基板支持体アセンブリ104は、基板支持体アセンブリ104の1つまたは複数の構成要素を修理調整できるように、支持ペデスタル125から周期的に外されてもよい。従来知られているように基板移送を容易にするため、基板支持体アセンブリ104を通して昇降ピン146が配設される。
設備プレート180は、静電チャック150および冷却ベース131からの複数の流体接続を受け入れるように構成される。設備プレート180はまた、静電チャック150およびヒータアセンブリ169からの複数の電気接続を受け入れるように構成される。複数の電気接続は、基板支持体アセンブリ104の外部または内部を通ることができ、設備プレート180は、それぞれの終端に接続するための境界面を提供する。
基板電極109は、基板電圧を基板支持体アセンブリ104の上面160上に配設された基板105に印加するため、静電チャック150の基板支持体部分154内に埋め込まれる。エッジリング部分156には、エッジリング電圧をエッジリング106に印加するため、エッジリング電極111が埋め込まれる。エッジリングIEDF幅制御回路155はエッジリング電極111に結合される。基板IEDF幅制御回路158は基盤電極109に結合される。一実施形態では、第1の整形DCパルス電圧源159は、エッジリングIEDF幅制御回路155および基板IEDF幅制御回路158のうち一方または両方に結合される。別の実施形態では、図1に示されるように、第1の整形DC電圧源159はエッジリングIEDF幅制御回路155に結合され、第2の整形DC電圧源161は基板IEDF幅制御回路158に結合される。エッジリングIEDF幅制御回路155および基板IEDF幅制御回路158は独立して調整可能である。基板電極109はさらに、チャック電源115に結合されて、処理中に静電チャック150によって基板105を上面160にチャックするのを容易にする。
誘導結合型プラズマ装置107は、蓋102の上方に配設され、RF電力を処理チャンバ100内のガスに誘電結合して、プラズマ116を発生させるように構成される。誘導結合型プラズマ装置107は、蓋102の上方に配設された第1のコイル118および第2のコイル120を含む。各コイル118、120の相対位置、直径比、および/または各コイル118、120の巻き数はそれぞれ、形成されるプラズマ116のプロファイルまたは密度を制御するように、所望に応じて調節することができる。第1および第2のコイル118、120はそれぞれ、RF給電構造123を介して、整合ネットワーク122を通してRF電力供給装置121に結合される。RF電力供給装置121は、例示的には、50kHz~13.56MHzの範囲の調整可能周波数で約4000Wまで(ただし、約4000Wに限定されない)を生成することができるが、特定の用途のため、他の周波数および電力を所望に応じて利用することができる。
いくつかの例では、分圧キャパシタなどの電力分配器126を、RF給電構造123とRF電力供給装置121との間に設けて、第1および第2のコイル118、120それぞれに提供されるRF電力の相対量を制御することができる。他の実施形態では、容量結合型プラズマ装置(図示なし)を蓋102の上方で使用することができる。ヒータ素子128を蓋102の上に配設して、処理チャンバ100の内部を加熱するのを容易にすることができる。ヒータ素子128は、蓋102と第1および第2のコイル118、120との間に配設することができる。いくつかの例では、ヒータ素子128は、抵抗加熱素子を含み、ヒータ素子128の温度を所望の範囲内で制御するのに十分なエネルギーを提供するように構成された、AC電力供給装置などの電力供給装置130に結合される。
動作中、半導体基板、またはプラズマ処理に適した他の基板などの基板105は、基板支持体アセンブリ104上に配置される。基板昇降ピン146は、基板支持体アセンブリ104に移動可能に配設されて、基板105を基板支持体アセンブリ104上へと移送するのを支援する。基板105を位置付けた後、処理ガスが、ガスパネル132から入口ポート134を通ってチャンバ本体101の内容積124内へと供給される。処理ガスは、電力をRF電力供給装置121から第1および第2のコイル118、120に印加することによって、処理チャンバ100内で点火されてプラズマ116となる。処理チャンバ100の内容積124内の圧力は、バルブ136および真空ポンプ138を使用して制御することができる。
処理チャンバ100は、処理中の処理チャンバ100の動作を制御するコントローラ108を含む。コントローラ108は、中央処理装置(CPU)140と、メモリ142と、CPU140のための支援回路144とを備え、処理チャンバ100の構成要素の制御を容易にする。コントローラ108は、様々なチャンバおよびサブプロセッサを制御するため、産業設備で使用することができる、汎用コンピュータプロセッサの任意の形態のものであることができる。メモリ142は、本明細書に記載する方式で処理チャンバ100の動作を制御するのに実行または起動することができる、ソフトウェア(ソースもしくはオブジェクトコード)を格納する。コントローラ108は、第1の整形DC電圧源159、第2の整形DC電圧源161、エッジリングIEDF幅制御回路155、および基板IEDF幅制御回路158を制御するように構成される。
図2は、本開示の少なくとも一実施形態による、処理チャンバ200の概要図である。処理チャンバ200は、本明細書に記載するスキームを実践するように構成される。処理チャンバ100と同様に、処理チャンバ200は、上述したものなどのプラズマ処理チャンバである。
処理チャンバ200は、図1に記載したように、基板支持体アセンブリ104上に配設される基板105を含む。エッジリング106は、基板支持体アセンブリ104上に位置付けられ、基板105の周囲を取り囲む。図示されないが、容量結合型プラズマ装置が基板の上方(一般的には、チャンバ蓋の上方)に配設される。容量結合型プラズマ装置は、イオン抑制器およびシャワーヘッドを含むことができ、RF電力は、基板の下方にある電極から送達されて、容量結合プラズマを発生させる。コントローラ108は、処理チャンバのシステムおよびサブシステムを制御するように適合される。コントローラ108は、中央処理装置(CPU)140と、メモリ142と、CPU140のための支援回路144とを備え、処理チャンバ100の構成要素の制御を容易にする。コントローラ108は、様々なチャンバおよびサブプロセッサを制御するため、産業設備で使用することができる、汎用コンピュータプロセッサの任意の形態のものであることができる。メモリ142は、本明細書に記載する方式で処理チャンバ100の動作を制御するのに実行または起動することができる、ソフトウェア(ソースもしくはオブジェクトコード)を格納する。コントローラ108は、第1の整形DC電圧源159、第2の整形DC電圧源161、エッジリングIEDF幅制御回路155、および/または基板IEDF幅制御回路158を制御するように構成される。後述する図4A~図4Dは、IEDF幅制御モジュールをパルサに接続する異なる構成を示している。
基板支持体アセンブリ104、設備プレート180、基板電極109、およびエッジリング電極111は、図1において考察したものと同じであり得る。エッジリングIEDF幅制御回路155はエッジリング電極111に結合される。基板IEDF幅制御回路158は基盤電極109に結合される。一実施形態では、第1の整形DCパルス電圧源159は、エッジリングIEDF幅制御回路155および基板IEDF幅制御回路158のうち一方または両方に結合される。別の実施形態では、第1の整形DC電圧源159はエッジリングIEDF幅制御回路155に結合され、第2の整形DC電圧源161は基板IEDF幅制御回路158に結合される。エッジリングIEDF幅制御回路155および基板IEDF幅制御回路158は、独立して調整可能である。基板電極109はさらに、チャック電源115に結合されて、処理中に静電チャック150によって基板105を上面160にチャックするのを容易にする。
処理チャンバ200の動作および基板105の処理は、処理チャンバ100と同様の方式で実施することができる。いくつかの実施形態では、処理システムの構成は、基板に達するプラズマ励起種のタイプおよび量を制御する、処理チャンバ内部に位置付けられたイオン抑制器を含む。いくつかの実施形態では、イオン抑制器ユニットは、プラズマ発生ユニットの電極としても作用してもよい有孔プレートである。これらおよび他の実施形態では、イオン抑制器は、ガスおよび励起種を基板と接触している反応領域に分配する、シャワーヘッドであることができる。いくつかの実施形態では、イオン抑制は、プラズマ励起種が両方を通り抜けて反応領域に達する、有孔プレートイオン抑制器およびシャワーヘッドによって実現される。
電圧が整形DC電圧源159によって基板(またはウェハ)に印加されると、波形が発達する。図3Aは異なるバイアス電圧波形を示している。波形は、イオン電流段階およびシース崩壊段階の2つの段階を含む。イオン電流段階の始めに、ウェハ電圧の降下によって基板の上方に高電圧シースが生じ、それによって基板に向かう陽イオンが加速される。陽イオンは、基板表面上に正電荷を堆積させ、基板電圧を正方向に徐々に増加させる傾向がある。方形波が整形DC電圧源159によって供給された場合、基板に向かうイオン電流は、トレース305によって示されるように、基板電圧の正の傾斜を作る。イオン電流位相の始まりと終わりとの電圧差によって、IEDF幅が決まる。電圧差が大きいほどIEDF幅は広くなる(図3B)。単一エネルギーイオンおよびより狭いIEDF幅を達成するため、イオン電流位相の基板電圧波形(例えば、トレース310)を平坦にする動作が実施される。いくつかの実施形態では、トレース315の基板波形によって示されるような、特定のIEDF幅を達成するために、電圧を印加することができる。
イオン電流段階の終わりに、基板電圧はバルクプラズマ電圧まで上昇し、シースが崩壊することにより、電子がプラズマから基板表面まで移動し、基板表面の正電荷が中性化する。結果として、基板の表面が次のサイクルのためにリセットされる。
いくつかの実施形態では、第1および第2の整形DC電圧源159および161は正パルサである。正パルサは正電圧のパルスを発生させ、これはシース崩壊段階に対応する。各正パルスがオフになると、イオン電流段階が始まる。いくつかの実施形態では、第1および第2の整形DC電圧源159および161は負パルサである。負パルサは負電圧のパルスを発生させ、これはイオン電流段階に対応する。各負パルスがオフになると、シース崩壊段階が始まる。
回路例
図4Aは、一例の回路465の概要図である。後述するように、いくつかの実施形態では、図4Aに示される回路例は、図5Aおよび図6Aの回路図に相当する。図5Aおよび図6Aは、例えば、第2のパワーモジュールの回路によって異なる。
一例の回路465は、直列の直列インダクタ468および抵抗器469を通して第2のパワーモジュール470に結合された、パルスDC電力供給装置466を含む。第2のパワーモジュール470はイオンエネルギー分布関数(IEDF)の幅を変調する。任意の阻止キャパシタ471が、プラズマチャンバ負荷472と回路465の残りとの間に存在してもよい。ハードウェア、ソフトウェア、ファームウェア、またはそれらの組み合わせによって実現されてもよい、図示されないコントローラが、図4Aに示される様々な構成要素を制御するのに利用される。
整形DC電力供給装置466は、低電圧レベルおよび高電圧レベルの2つの電圧レベルを有する電圧波形を発生させる。低電圧レベルはイオン電流段階に相当する。高電圧レベルはシース崩壊段階に相当する。イオン電流段階では、第2のパワーモジュール470は、図3Aにトレース305、310、および315として示される、電圧対時間の傾斜を変調する。図3Bに示されるように、異なる傾斜は異なるIEDF幅をもたらす。最も平坦な傾斜(図3Aのトレース305)は、図3Bの最も狭いIEDF幅に相当する。
図4Bは、一例の回路475の概要図である。図示されるように、直列インダクタ468および抵抗器469をスイッチ479と置き換えたことによって、図4Bは図4Aと異なる。スイッチ479は、パルスDC電力供給装置476および第2のパワーモジュール478と直列で接続される。イオン電流段階の間、スイッチ479は閉じている。シース崩壊段階の間、スイッチは開くかまたは閉じるかどちらかであり得る。ハードウェア、ソフトウェア、ファームウェア、またはそれらの組み合わせによって実現されてもよい、図示されないコントローラが、図4Bに示される様々な構成要素を制御するのに利用される。
図4Cは、一例の回路485の概要図である。後述するように、いくつかの実施形態では、図4Cに示される一例の回路485は、図7Aおよび図8の回路図に相当する。一例の回路485は、接地に結合された整形DC電圧源486を含む。任意の阻止キャパシタ487が、整形DC電圧源486と第2のパワーモジュール488との間に存在してもよい。第2のパワーモジュール488はIEDFの幅を変調する。第2のパワーモジュール488はさらに、プラズマチャンバ負荷489に結合される。ハードウェア、ソフトウェア、ファームウェア、またはそれらの組み合わせによって実現されてもよい、図示されないコントローラが、図4Cに示される様々な構成要素を制御するのに利用される。
整形DC電圧源486は、低電圧レベルおよび高電圧レベルの2つの電圧レベルを有する電圧波形を発生させる。低電圧レベルはイオン電流段階に相当する。高電圧レベルはシース崩壊段階に相当する。イオン電流段階では、第2のパワーモジュール488は時間に対する電圧の傾斜を作り出す。結果として得られる基板上における電圧波形は、整形DC電圧源486および第2のパワーモジュール488の出力電圧の和であり、これを変調することができ、それによってIEDF幅が変調される。
図4Dは、本開示の少なくとも一実施形態による、一例の回路490の概要図である。後述するように、いくつかの実施形態では、一例の回路490は、図9および図10の回路図に相当する。一例の回路490は、接地に結合された整形DC電圧源491と、第2のパワーモジュール492と、基板チャッキングおよびバイアス補償モジュール493とを含む。スイッチ495は、基板チャッキングおよびバイアス補償モジュール493と直列で接続される。第2のパワーモジュール492ならびに基板チャッキングおよびバイアス補償モジュール493は、並列で接続され、一端が整形DC電圧源491に結合され、他端がプラズマチャンバ負荷494に結合される。第2のパワーモジュール492はIEDFの幅を変調する。第2のパワーモジュール492ならびに基板チャッキングおよびバイアス補償モジュール493はさらに、プラズマチャンバ負荷494に結合される。ハードウェア、ソフトウェア、ファームウェア、またはそれらの組み合わせによって実現されてもよい、図示されないコントローラが、図4Dに示される様々な構成要素を制御するのに利用される。
整形DC電圧源491は、低電圧レベルおよび高電圧レベルの2つの電圧レベルを有する電圧波形を発生させる。低電圧レベルはイオン電流段階に相当する。高電圧レベルはシース崩壊段階に相当する。イオン電流段階では、第2のパワーモジュール492は時間に対する電圧の傾斜を作り出す。結果として得られる基板上における電圧波形は、整形DC電圧源491および第2のパワーモジュール492の出力電圧の和であり、これを変調することができ、それによってIEDF幅が変調される。スイッチ495は、イオン電流段階では開いているので、チャッキングおよびバイアス補償モジュール493はプラズマチャンバ負荷の電圧を変調しない。シース崩壊段階では、スイッチ495は閉じており、チャッキングおよびバイアス補償モジュール493は基板チャッキング電圧をセットポイントにリセットする。
図5Aは、基板支持体アセンブリ104の基板電極109および/またはエッジリング電極111を駆動する、エッジリング電圧制御回路/基板電圧制御回路500の一実施形態を示す概略回路図である。回路500は、各イオン電流位相の始まりに基板電圧をリセットする(図3Aの電圧ドループに相当)主パルサ502を含む。主パルサ502は、接地501に結合された第1または第2の整形DC電圧源159、161であることができる。主パルサ502は、電流リターンパス503に結合される。電流リターンパス503は、抵抗器506と直列でIEDF幅制御モジュール508(例えば、図4Aおよび図4Bの第2のパワーモジュール)に結合されたインダクタ504を含む。IEDF幅制御モジュール508は、イオンエネルギー分布関数(IEDF)幅を変調する。
IEDF幅制御モジュール508は、スイッチ512と並列に結合されたトランジスタトランジスタロジック(TTL)信号510と、任意のダイオード514と、接地517に結合された任意の容量(キャパシタンス)516と、第3の整形DCパルス電圧源518とを備える、回路としてモデル化することができる。ダイオード514は、スイッチ512および第3の整形DCパルス電圧源518を保護するフライバックダイオードである。いくつかの実施形態では、容量520が電流リターンパス503とチャンバ容量536との間に存在する。容量536は、例えば、基板電極109と基板との間、またはエッジリング電極111とエッジリングとの間のインピーダンスであることができる。いくつかの実施形態では、容量は、基板チャッキングおよびバイアス補償モジュール522にも結合される。
基板チャッキングおよびバイアス補償モジュール522は、抵抗器526に直列に結合されたダイオード524と、DC電圧源528と、容量532および接地534に直列に結合された抵抗器530とを含む回路である。容量536はさらに、浮遊容量538、およびプラズマシース540に結合される。基板チャッキングおよびバイアス補償モジュール522はさらに、浮遊容量538に結合される。プラズマシース540は、電流源544と並列に結合されたシース容量542と、接地548に結合されたダイオード546とを備える回路として、モデル化(プラズマシースモデル)されてもよい。いくつかの実施形態では、電流リターンパスにおける直列インダクタ504および抵抗器506をスイッチ179(図4B)に置き換えることができる。スイッチ179は、イオン電流段階の間は閉じている。
使用の際、図5Aに示される構成の場合、第3の整形DCパルス電圧源518は、イオン電流段階における電圧波形の傾斜を制御する能動ノブとして作用する。スイッチ512は、図5Bのプロット550に示されるように、主パルサ502と同期されたTTL信号510によって制御される。スイッチ512は、主パルサ502の電圧が上昇してシース崩壊段階に入る前に閉じることができる。スイッチ512は、シース崩壊段階の間は閉じたままにして、電流リターンパス503を接地に接続することができる。主パルサ502の電圧が降下してイオン電流段階に入った後、イオン電流段階の間、第3の整形DCパルス電圧源518が機能してIEDFを変調するように、スイッチ512を開くことができる。任意の容量516は、第3の整形DCパルス電圧源518に対する基板電圧波形の感度を調節するのに使用することができる。容量542は、異なる処理条件では異なる、プラズマシース容量であり、電流源544は、やはり可変である、基板に向かうイオン電流である。容量536および浮遊容量538は、チャンバに関連する容量であり、一定である。容量520は、阻止キャパシタであり、やはり一定である。
図5Cおよび図5Dに示されるように、イオン電流段階の間、IEDF幅制御モジュール508(図5A)が基板またはエッジリング波形を能動的に制御しているとき、回路モデルの能動構成要素は、イオン電流544(I0)と、シース容量542(C1)と、チャンバ容量536(C2)と、浮遊容量538(C3)と、阻止容量520(C4)と、第3の整形DCパルス電圧源518(V1)と並列の任意の容量516(C5)とを含む。電流リターンパスのインダクタ504および抵抗器506は、IEDF幅変調にほとんど影響しないので、インダクタ504および抵抗器506は、図5Cの制御回路560および図5Dの制御回路570の短絡として処理される。
IEDFを拡幅する固有因子はイオン電流I0であり、基板上に正電荷を堆積させることによって、基板の電圧を徐々に増加させ、基板に衝撃するイオンエネルギーを降下させる(例えば、図3Aのトレース305)。IEDF拡幅の量は、例えば、イオン電流I0、シース容量C1、ならびに/あるいはチャンバC2、C3、およびC4と関連付けられた他の容量、ならびに制御回路560、570の電力供給モジュールV1およびC5に応じて決まる。IEDF拡幅のイオン電流効果を補償する、および/またはIEDF幅を能動的に制御するために、この制御回路(図5C)のすべての構成要素の値が決定される。チャンバおよび電力供給モジュールC2~C5と関連付けられた容量は、チャンバ部分の寸法を使用した製品仕様書または推定によって、あるいはマルチメータを使用するかまたはSパラメータもしくはZパラメータ測定値から容量値を抽出するインピーダンスの直接測定などの以前の測定によって、決定することができる。イオン電流I0およびシース容量C1は、変動するプラズマ処理条件で変動し、プラズマプロセス中のリアルタイム測定によって決定される。整形DCパルス電圧源V1は鋸歯状電圧出力を有する(図5E)。電圧出力の傾斜dV1/dtを変動させて、イオン電流I0およびシース容量C1を決定し、ならびに/あるいはIEDF幅を変調することができる。図5Aの構成では、トレース584が示すように、シース崩壊段階における整形DCパルス電圧源V1の出力電圧はゼロである。トレース582および586は、後述するような、整形DCパルス電圧源V1に対する他の可能な波形を示している。
IEDF変調の方法は、(1)イオン電流I0およびシース容量C1を決定することと、(2)標的IEDF幅を達成する整形DCパルス電圧源の傾斜dV1/dtを決定することとの2つの部分を含む。鋸歯状電圧源V1および整形DC電圧源159または161が、基板105またはエッジリング106に電力を供給するので、基板またはエッジリングにおけるIEDF幅は、イオン電流段階の始まりから終わりまでの基板またはエッジリング電圧の変化である(図3Aおよび図3B)。図5Cの制御回路560および図5Dの制御回路570では、IEDF幅は、イオン電流段階の始まりから終わりまでのシース容量C1の両端間の電圧の変化に相当し、これは、シース容量C1を通る充電または放電電流I1によって決まる。
ΔV=I1×T/C1 (1)
式中、ΔVはIEDF幅、Tはイオン電流段階の持続時間である。標的IEDF幅(ΔV)を得るためには、シース容量C1、およびシース容量を通る所望の電流I1が決定されるべきである。
シース容量C1およびイオン電流I0を決定するため、制御回路における電流と電圧の関係が分析される。図示されるように、キャパシタC1~C4を通過する電流はI1~I4と呼ばれ、回路概略図における矢印は正方向を指している。キルヒホッフの電流則に基づいて、イオン電流I0は、キャパシタC1およびC2を通る電流の和に等しい。
I0=I1+I2 (2)
キャパシタC2を通る電流は、キャパシタC3およびC4を通る電流の和に等しい。
I2=I3+I4 (3)
キルヒホッフの電圧則に基づいて、閉ループC1、C2、およびC3の電圧和はゼロである。C1、C2、およびC3の電圧和の時間微分もゼロである。キャパシタC2およびC3の交点における電圧をV3とする。キャパシタC3の両端間の電圧の時間微分は、dV3/dt=I3/C3である。同様の関係がキャパシタC1およびC2に対して存在し、キルヒホッフの電圧則によって式(4)が得られる。
I1/C1=I2/C2+I3/C3 (4)
キルヒホッフの電圧則を、キャパシタC3およびC4と電圧源V1との閉ループに適用することで、式(5)が得られる。
I3/C3=I4/C4+dV1/dt (5)
式(2)~(5)では、C2、C3、およびC4は、チャンバ部分の寸法に基づいた製品仕様書または推定によって、あるいはマルチメータを使用するかまたはSパラメータもしくはZパラメータ測定値から容量値を抽出するインピーダンスの直接測定などの以前の測定によって、事前決定される。電流I4は、電流プローブおよび/または統合電圧電流(VI)センサなどのセンサによって直接測定することができる。電圧V3は、電圧プローブおよび/または統合VIセンサなどのセンサによって直接測定することができる。電流I3は、I3=C3×dV3/dtとして計算することができる。電圧傾斜dV1/dtは、ゼロまたは1ボルト/ナノ秒(V/nsec)など、ユーザ制御であって分かっている。整形DCパルス電圧源V1を2つの異なる傾斜dV1/dtおよびdV1’/dtに設定することによって、電流I4、I4’、および電圧の時間微分dV3/dt、dV3’/dtを決定することができる。2つの傾斜dV1/dtおよびdV1’/dtにおける式(2)~(5)のセットは8つの式を形成し、それらの解を求めることで得られるのが、次式のシース容量
Figure 2023541096000002
および次式のイオン電流である。
Figure 2023541096000003
標的IEDF幅(ΔV)を得るには、シースキャパシタC1を通る合計電流は次式の通りである。
I1=C1×ΔV/T (8)
式(6)~(8)を式(2)~(5)に代入することで、IDEF幅ΔVを達成する鋸歯状電圧源V1の電圧傾斜が与えられる。
Figure 2023541096000004
最も狭いIEDF(ΔV=0)の場合、鋸歯状電圧源V1の電圧傾斜は次式の通りである。
Figure 2023541096000005
図6Aは、基板支持体アセンブリ104の基板電極109および/またはエッジリング電極111を駆動する、エッジリング電圧制御回路/基板電圧制御回路600の一実施形態を示す概略回路図である。回路600は、各イオン電流位相の始まりに基板電圧をリセットする(図3Aの電圧ドループに相当)主パルサ502を含む。主パルサ502は、接地501に結合された第1または第2の整形DC電圧源159、161であることができる。主パルサ502は、電流リターンパス503に結合される。電流リターンパス503は、抵抗器506と直列でIEDF幅制御モジュール602(例えば、図4Aおよび図4Bの第2のパワーモジュール)に結合されたインダクタ504を含む。IEDF幅制御モジュール602はIEDF幅を変調する。IEDF幅制御モジュール602は、図5Aの構成とは異なり、スイッチ512と並列に結合されたTTL信号510と、ダイオード514と、接地517に結合された任意の容量516と、抵抗器606に直列で結合されたDC電圧源604とを備える、回路としてモデル化されてもよい。ダイオード514は、スイッチ512およびDC電圧源604を保護するフライバックダイオードである。いくつかの実施形態では、阻止容量520が電流リターンパス503とチャンバ容量536との間に存在する。容量536は、例えば、基板電極109と基板との間、またはエッジリング電極111とエッジリングとの間のインピーダンスであることができる。いくつかの実施形態では、基板チャッキングおよびバイアス補償モジュール522も、阻止容量520およびチャンバ容量536に結合される。基板チャッキングおよびバイアス補償モジュール522はさらに、浮遊容量538に結合される。基板チャッキングおよびバイアス補償モジュール522は、抵抗器526に直列に結合されたダイオード524と、DC電圧源528と、容量532および接地534に直列に結合された抵抗器530とを含む回路である。
プラズマシース540は、電流源544と並列に結合されたシース容量542と、接地548に結合されたダイオード546とを備える回路として、モデル化(プラズマシースモデル)されてもよい。
使用の際、図6Aに示される構成の場合、第3の整形DCパルス電圧源518がイオン電流段階における電圧波形の傾斜を制御する能動ノブとして作用する、図5Aに示される構成とは対照的に、DC電圧源604が抵抗器606とともに、イオン電流段階における基板またはエッジリング電圧波形の傾斜を制御する能動ノブとして作用する。スイッチ512は、図5Bに示されるように、主パルサ502と同期されたTTL信号510によって制御することができる。スイッチ512は、主パルサ502の電圧が上昇してシース崩壊段階に入る前に閉じることができる。スイッチ512は、シース崩壊段階の間は閉じたままにして、電流リターンパス503を接地に接続することができる。主パルサ502の電圧が降下してイオン電流段階に入った後、イオン電流段階において、DC電圧源604が機能してIEDFを変調するように、スイッチ512を開くことができる。任意の容量516は、DC電圧源604に対する基板電圧波形の感度を調節するのに使用することができる。容量542はプラズマシース容量であり、可変である。電流源544は、基板に向かうイオン電流であり、やはり変動する。容量536は、例えば、基板電極109と基板との間、またはエッジリング電極111とエッジリングとの間の容量であることができる。容量538は、基板電極109と接地との間、またはエッジリング電極111と接地との間の容量であることができる。容量520は阻止キャパシタであり、やはり一定である。
図6Bおよび図6Cに示されるように、イオン電流段階の間、IEDF幅制御モジュール602(図6A)が基板またはエッジリング波形を能動的に制御しているとき、回路モデルの能動構成要素は、イオン電流544(I0)と、シース容量542(C1)と、チャンバ容量536(C2)と、浮遊容量538(C3)と、阻止容量520(C4)と、DC電圧源604(V0)および抵抗器606(R)と並列の任意の容量516(C5)とを含む。電流リターンパスのインダクタ504および抵抗器506は、IEDF幅変調にほとんど影響しないので、インダクタ504および抵抗器506は、図6Bの制御回路650および図6Cの制御回路660の短絡として処理される。図6Aの制御回路は図6Bに示され、後述する図7Aの制御回路が図6Cに示される。
IEDFを拡幅する固有因子はイオン電流I0であり、基板上に正電荷を堆積させることによって、基板の電圧を徐々に増加させ、基板に衝撃するイオンエネルギーを降下させる(図3Aのトレース305)。IEDF拡幅の量は、例えば、イオン電流I0、シース容量C1、およびチャンバ(C2、C3、およびC4)と関連付けられた他の容量、ならびに図6Bおよび図6Cそれぞれの制御回路650および制御回路660の電力供給モジュール(V0、R、およびC5)に応じて決まる。IEDF拡幅のイオン電流効果を補償する、およびIEDF幅を能動的に制御するために、制御回路650および制御回路660のすべての構成要素の値が決定される。チャンバおよび電力供給モジュールC2~C5と関連付けられた容量は、チャンバ部分の寸法を使用した製品仕様書または推定によって、あるいはマルチメータを使用するかまたはSパラメータもしくはZパラメータ測定値から容量値を抽出するインピーダンスの直接測定などの以前の測定によって、決定することができる。抵抗器Rも、製品仕様書によって、またはマルチメータを利用する直接測定によって事前決定される。イオン電流I0およびシース容量C1は、変動するプラズマ処理条件で変動し、プラズマプロセス中のリアルタイム測定によって決定される。DC電圧源V0は、能動制御ノブであり、イオン電流I0を決定し、シース容量C1を決定し、および/またはIEDF幅を変調するために変動させることができる。
IEDF変調の方法は、(1)イオン電流I0およびシース容量C1を決定することと、(2)標的IEDF幅を達成するDC電圧V0を決定することとの2つの部分を含む。IEDF幅は、イオン電流段階の始まりから終わりまでの基板またはエッジリング電圧の広がりである(図3Aおよび図3B)。図6Bの制御回路650および図6Cの制御回路660では、IEDF幅は、イオン電流段階の始まりから終わりまでのシース容量C1の両端間の電圧の変化に相当し、これは、シース容量C1を通る充電または放電電流I1によって決まる。
Figure 2023541096000006
式中、ΔVはIEDF幅、Tはイオン電流段階の持続時間である。標的IEDF幅(ΔV)を得るためには、シース容量C1、およびシース容量を通る所望の電流I1が決定されるべきである。
シース容量C1およびイオン電流I0を決定するため、制御回路における電流と電圧の関係が分析される。ここで、例えば、キャパシタC1~C5を通過する電流はI1~I5と呼ばれ、回路概略図における矢印は正方向を指している。キャパシタC2およびC3の交点における電圧がV3である。Vthと呼ばれるDC電圧源V0に対する閾値電圧があり、それを下回ると、ダイオードD3はDC電圧源V0および抵抗器Rの直列を迂回するので、IEDF幅制御モジュールの出力電圧はゼロである。Vthは、プラズマ条件に依存し、例えば、電流I4または電圧V3がDC電圧出力V0に影響される地点までDC電圧V0を徐々に増加させることによって、実験的に決定することができる。
V0<Vthの場合、キルヒホッフの電流則に基づいて、イオン電流I0は、キャパシタC1およびC2を通る電流の和に等しい。
I0=I1+I2 (12)
キャパシタC2を通る電流は、キャパシタC3およびC4を通る電流の和に等しい。
I2=I3+I4 (13)
キルヒホッフの電圧則に基づいて、閉ループC1、C2、およびC3の電圧和はゼロである。C1、C2、およびC3の電圧和の時間微分もゼロである。キャパシタC3の両端間の電圧の時間微分は、dV3/dt=I3/C3である。同じ関係がキャパシタC1およびC2に当てはまる。キルヒホッフの電圧則をキャパシタC1およびC2に使用することで、式(14)が得られる。
I1/C1=I2/C2+I3/C3 (14)
キルヒホッフの電圧則を、キャパシタC3およびC4、ならびにダイオード迂回IEDF幅制御モジュールの閉ループに適用することで、式(15)が得られる。
I3/C3=I4/C4 (15)
V0>Vthの場合、式(12)~(14)が依然として当てはまる。キルヒホッフの電圧則を、キャパシタC3、C4、およびC5の閉ループに適用することで、式(16)が得られる。
I3/C3=I4/C4+I5/C5 (16)
キルヒホッフの電圧則を、キャパシタC5、DC電圧源V0、および抵抗器Rの閉ループに適用することによって、式(17)が得られる。
Figure 2023541096000007
式中、(I4-I5)は、ダイオードD3が不活性のときにDC電圧源V0および抵抗器Rを通る電流である。
いくつかの実施形態では、キャパシタC5はない。かかる事例では、式(17)はなく、式(16)が次式になる。
I3/C3=I4/C4+R×dI4/dt (18)
式(12)~(18)では、C2、C3、C4、およびC5は、チャンバ部分の寸法に基づいた製品仕様書または推定によって、あるいはマルチメータを使用するかまたはSパラメータもしくはZパラメータ測定値から容量値を抽出するインピーダンスの直接測定などの以前の測定によって、事前決定される。電流I4は、電流プローブおよび/または統合VIセンサなどのセンサによって直接測定することができる。電圧V3は、電圧プローブおよび/または統合VIセンサなどのセンサによって直接測定することができる。電流I3は、I3=C3×dV3/dtとして計算することができる。DC電圧V0は、DC電圧出力V0をゼロから数kVの値に設定するなど、ユーザ制御であって分かっている。DC電圧V0を2つの異なる値V0およびV0’に設定し、それらの少なくとも一方を閾値電圧Vthよりも高くすることによって、電流I4、I4’、および電圧の時間微分dV3/dt、dV3’/dtを決定することができる。式(12)~(18)のセットの解を求めることで得られるのが、次式のシース容量C1
Figure 2023541096000008
および次式のイオン電流I0である。
I0=(C1/C2+C1/C3+1)×I3+(C1/C2+1)×I4 (20)
式(12)~(18)のセットのシース容量C1およびイオン電流I0に代入することで、任意のDC電圧V0に対して電流I1~I5を計算することができる。
I1の表現式で、分かっている容量C1~C5、抵抗R、およびDC電圧V0による式(11)に代入することで、IEDF幅(ΔV)とDC電圧V0との関係を得ることができる。したがって、標的IEDF幅(ΔV)に対して、必要なDC電圧V0が決定される。
いくつかの実施形態では、抵抗器Rは十分に大きく(例えば、約10kΩ)、DC電圧源V0を通る電流は、イオン電流段階ではほぼ時間的に一定であり、V0/Rに等しい。これらの実施形態では、式(17)は次式となる。
I4=I5+V0/R (21)
式(12)、(13)、(14)、(16)、および(21)の解を求めることで、シースキャパシタC1を通る合計電流が式(22)として得られる。
Figure 2023541096000009
式中、
k=C3C4+C4C5+C5C3
である。
定電流I1のこの近似例に対する式(8)を使用して、標的IEDF幅(ΔV)を得るのに利用されるDC電圧V0を、式(23)を使用して見出すことができる。
Figure 2023541096000010
最も狭いIEDF(ΔV=0)の場合、DC電圧V0は次式となる。
Figure 2023541096000011
図7Aは、基板支持体アセンブリ104の基板電極109および/またはエッジリング電極111を駆動する、エッジリング電圧制御回路/基板電圧制御回路700の一実施形態を示す概略回路図である。回路700は、各イオン電流位相の始まりに基板電圧をリセットする(図3Aの電圧ドループに相当)主パルサ502を含む。主パルサ502は、接地501に結合された第1または第2の整形DC電圧源159、161であることができる。主パルサ502は、直接または容量701を通して、IEDF幅制御モジュール702(例えば、図4Cの第2のパワーモジュール)に結合される。
IEDF幅制御モジュール702は、スイッチ706と並列で結合されたTTL信号704を含む回路としてモデル化されてもよい。TTL信号704は接地716と直列で結合される。スイッチ706は、ダイオード708、DC電圧源710、および任意の容量714に並列で結合される。DC電圧源710は抵抗器712に直列で結合される。IEDF幅制御モジュール702はチャンバ容量536に結合される。容量536は、例えば、基板電極109と基板との間、またはエッジリング電極111とエッジリングとの間のインピーダンスであることができる。いくつかの実施形態では、IEDF幅制御モジュール702は、上述した基板チャッキングおよびバイアス補償モジュール522にも結合される。基板チャッキングおよびバイアス補償モジュール522はさらに、浮遊容量538に結合される。基板チャッキングおよびバイアス補償モジュール522は、抵抗器526に直列に結合されたダイオード524と、DC電圧源528と、容量532および接地534に直列に結合された抵抗器530とを含む回路である。ダイオード708は、スイッチ706およびDC電圧源710を保護するフライバックダイオードである。
プラズマシース540は、電流源544と並列に結合されたシース容量542と、接地548に結合されたダイオード546とを備える回路として、モデル化(プラズマシースモデル)されてもよい。
使用の際、図7Aに示される構成の場合、DC電圧源710は抵抗器712とともに、イオン電流段階における電圧波形の傾斜を制御する能動ノブとして作用する。スイッチ706は、図5Bのプロットに示されるように、主パルサ502と同期されたTTL信号704によって制御することができる。スイッチ706は、主パルサ502の電圧が上昇してシース崩壊段階に入る前に閉じることができる。スイッチ512は、シース崩壊段階の間は閉じたままにすることができる。主パルサ502の電圧が降下してイオン電流段階に入った後、イオン電流段階において、DC電圧源710が機能してIEDFを変調するように、スイッチ706を開くことができる。任意の容量714は、DC電圧源710に対する基板電圧波形の感度を調節するのに使用することができる。図7Aの制御メカニズムは図6Aの制御メカニズムと同様である。1つの違いは、図7Aの制御回路は上述した図6Cに示され、図6Aの制御回路は図6Bに示されている点である。
図8は、基板支持体アセンブリ104の基板電極109および/またはエッジリング電極111を駆動する、エッジリング電圧制御回路/基板電圧制御回路800の一実施形態を示す概略回路図である。回路800は、各イオン電流位相の始まりに基板電圧をリセットする(図3Aの電圧ドループに相当)主パルサ502を含む。主パルサ502は、接地501に結合された第1または第2の整形DC電圧源159、161であることができる。主パルサ502は、直接または容量701を通して、IEDF幅制御モジュール802(例えば、図4Cの第2のパワーモジュール)に結合される。
IEDF幅制御モジュール802は、スイッチ706と並列で結合されたTTL信号704を備える回路としてモデル化されてもよい。TTL信号704は接地716とも直列で結合される。スイッチ706は、ダイオード708、第3の整形DCパルス電圧源804、および任意の容量714に並列で結合される。IEDF幅制御モジュール802はチャンバ容量536に結合される。容量536は、例えば、基板電極109と基板との間、またはエッジリング電極111とエッジリングとの間のインピーダンスであることができる。いくつかの実施形態では、IEDF幅制御モジュール802は、上述した基板チャッキングおよびバイアス補償モジュール522にも結合される。基板チャッキングおよびバイアス補償モジュール522は、抵抗器526に直列に結合されたダイオード524と、DC電圧源528と、容量532および接地534に直列に結合された抵抗器530とを含む回路である。ダイオード708は、スイッチおよび第3の整形DCパルス電圧源804を保護するフライバックダイオードである。
基板チャッキングおよびバイアス補償モジュール522はさらに、チャンバ容量536に結合される。プラズマシース540は、電流源544と並列に結合されたシース容量542と、接地548に結合されたダイオード546とを備える回路として、モデル化(プラズマシースモデル)されてもよい。
使用の際、図8に示される構成の場合、DC電圧源710が抵抗器712とともに、イオン電流段階における電圧波形の傾斜を制御する能動ノブとして作用する、図7Aに示される構成とは対照的に、第3の整形DCパルス電圧源804がイオン電流段階における電圧波形の傾斜を制御する能動ノブとして作用する。スイッチ706は、図5Bのプロットに示されるように、主パルサ502と同期されたTTL信号704によって制御することができる。スイッチ706は、主パルサ502の電圧が上昇してシース崩壊段階に入る前に閉じることができる。スイッチ706は、シース崩壊段階の間は閉じたままである。主パルサ502の電圧が降下してイオン電流段階に入った後、イオン電流段階において、第3の整形DCパルス電圧源804が機能してIEDFを変調するように、スイッチ706を開くことができる。任意の容量714は、第3の整形DCパルス電圧源804に対する基板電圧波形の感度を調節するのに使用することができる。図8の制御メカニズムは図5Aと同様である。1つの違いは、図8の制御回路は上述した図5Dに示され、図5Aの制御回路は図5Cに示されている点である。
図5A、図6A、図7、および図8に示される構成の場合、本明細書に記載する実施形態の範囲から逸脱することなく、任意の好適な手法で基板チャッキングおよびバイアス補償モジュールを回路に接続できることが想到される。また、本明細書に記載する実施形態の範囲から逸脱することなく、基板チャッキングおよびバイアス補償モジュールが追加のまたは異なる構成要素を含み得ることが想到される。
図9は、基板支持体アセンブリ104の基板電極109および/またはエッジリング電極111を駆動する、エッジリング電圧制御回路/基板電圧制御回路900の一実施形態を示す概略回路図である。回路900は、各イオン電流位相の始まりに基板電圧をリセットする(図3Aの電圧ドループに相当)主パルサ502を含む。主パルサ502は、接地501に結合された第1または第2の整形DCパルス電圧源159、161であることができる。主パルサ502は、IEDF幅制御モジュール902(例えば、図4Dの第2のパワーモジュール)に結合される。
IEDF幅制御モジュール902は、スイッチ906と並列で結合されたTTL信号904を含む回路としてモデル化されてもよい。TTL信号904は接地916にも直列で結合される。スイッチ906は並列でダイオード908に結合される。TTL信号904、スイッチ906、およびダイオード908の組み合わせは、基板チャッキングおよびバイアス補償モジュール920が回路の別の部分に接続されるかどうかを制御する。基板チャッキングおよびバイアス補償モジュール920は、抵抗器922およびDC電圧源924に並列で結合された容量926を含む回路である。基板チャッキングおよびバイアス補償モジュール920は、TTL信号904、スイッチ906、およびダイオード908のアセンブリと直列で結合される。基板チャッキングおよびバイアス補償モジュール920ならびにスイッチ906は全体として、抵抗器912と直列のDC電圧源910に並列で結合され、また任意のキャパシタ914に並列で接続される。ダイオード908は、スイッチ906とDC電圧源910および924とを保護するフライバックダイオードである。
容量536は、浮遊容量538とプラズマシース540との間に存在してもよく、それが例えば、基板電極109と基板との間、またはエッジリング電極111とエッジリングとの間のインピーダンスであることができる。IEDF幅制御モジュール902ならびに基板チャッキングおよびバイアス補償モジュール920は両方とも、基板電極109および/またはエッジリング電極111のどちらかに結合される。IEDF幅制御モジュール902は浮遊容量538にも結合される。プラズマシース540は、電流源544と並列に結合されたシース容量542と、接地548に結合されたダイオード546とを備える回路として、モデル化(プラズマシースモデル)されてもよい。
使用の際、図9に示される構成の場合、DC電圧源910は抵抗器912とともに、イオン電流段階における電圧波形の傾斜を制御する能動ノブとして作用する。スイッチ906は、図5Bに示されるように、主パルサ502と同期されたTTL信号904によって制御することができる。スイッチ906は、主パルサ502の電圧が上昇してシース崩壊段階に入る前に閉じることができる。スイッチ512は、シース崩壊段階の間は閉じたままにすることができ、それにより、基板チャッキングおよびバイアス補償モジュール920が回路の別の部分に接続され、基板チャッキング電圧をセットポイントにリセットする。主パルサ502の電圧が降下してイオン電流段階に入った後、イオン電流段階において、DC電圧源910が機能してIEDFを変調するように、スイッチ906を開くことができる。任意のキャパシタ914は、DC電圧源910に対する基板電圧波形の感度を調節するのに使用することができる。図9の制御メカニズムは上述した図6Bと同様である。1つの違いはキャパシタC4が取り除かれていることである。
図10は、基板支持体アセンブリ104の電極109、111を駆動する、エッジリング電圧制御回路/基板電圧制御回路1000の一実施形態を示す概略回路図である。回路1000は、各イオン電流位相の始まりに基板電圧をリセットする(図3Aの電圧ドループに相当)主パルサ502を含む。主パルサ502は、接地501に結合された第1または第2の整形DCパルス電圧源159、161であることができる。主パルサ502は、IEDF幅制御モジュール1002(例えば、図4Dの第2のパワーモジュール)に結合される。
IEDF幅制御モジュール1002は、スイッチ906と並列で結合されたTTL信号904を含む回路としてモデル化されてもよい。TTL信号904は接地916にも直列で結合される。スイッチ906は並列でダイオード908に結合される。TTL信号904、スイッチ906、およびダイオード908の組み合わせは、基板チャッキングおよびバイアス補償モジュールが回路の別の部分に接続されるかどうかを制御する。基板チャッキングおよびバイアス補償モジュール920は、抵抗器922およびDC電圧源924に並列で結合された容量926を含む回路である。ダイオード908は、スイッチ、DC電圧源910、およびDC電圧源924を保護するフライバックダイオードである。基板チャッキングおよびバイアス補償モジュール920は、TTL信号904、スイッチ906、およびダイオード908のアセンブリと直列で結合される。基板チャッキングおよびバイアス補償モジュール920ならびにスイッチ906は全体として、DCパルス電圧源1004に並列で、また任意のキャパシタ914に並列で結合される。
容量536は、浮遊容量538とプラズマシース540との間に存在してもよく、それが例えば、基板電極109と基板との間、またはエッジリング電極111とエッジリングとの間のインピーダンスであることができる。IEDF幅制御モジュール1002ならびに基板チャッキングおよびバイアス補償モジュール920は両方とも、基板電極109および/またはエッジリング電極111のどちらかに結合される。IEDF幅制御モジュール1002は浮遊容量538にも結合される。プラズマシース540は、電流源544と並列に結合されたシース容量542と、接地548に結合されたダイオード546とを備える回路として、モデル化(プラズマシースモデル)されてもよい。
使用の際、図10に示される構成の場合、DC電圧源910が抵抗器912とともに、イオン電流段階における電圧波形の傾斜を制御する能動ノブとして作用する、図9に示される構成とは対照的に、整形DCパルス電圧源1004がイオン電流段階における電圧波形の傾斜を制御する能動ノブとして作用する。スイッチ906は、図5Bのプロットに示されるように、主パルサ502と同期されたTTL信号904によって制御することができる。スイッチ906は、主パルサ502の電圧が上昇してシース崩壊段階に入る前に閉じることができる。スイッチ512は、シース崩壊段階の間は閉じたままにすることができ、それにより、基板チャッキングおよびバイアス補償モジュールが回路の別の部分に接続され、基板チャッキング電圧をセットポイントにリセットする。主パルサ502の電圧が降下してイオン電流段階に入った後、イオン電流段階において、DC電圧源910が機能してIEDFを変調するように、スイッチ906を開くことができる。任意のキャパシタ914は、整形DCパルス電圧源1004に対する基板電圧波形の感度を調節するのに使用することができる。
図10の制御メカニズムは図5Aと同様である。1つの違いはキャパシタC4が取り除かれていることである。別の違いは、シース崩壊段階における整形DCパルス電圧源1004の出力電圧が、図5Eのトレース582(正のチャッキング電圧)およびトレース586(負のチャッキング電圧)のように、ゼロではなく基板チャッキングおよびバイアス補償モジュール920の出力電圧で保たれている点である。
方法例
図11は、本開示の少なくとも一実施形態による、エッジリングIEDF幅制御回路155および/または基板IEDF幅制御回路158を使用してIEDF幅を制御する方法1100のフローチャートである。方法1100は、図5~図10に示される回路構成のうち1つまたは複数を使用して実現することができる。方法1100はまた、処理チャンバ100または処理チャンバ200を操作する方法を提供する。
方法1100は、パワーモジュール(例えば、IEDF幅制御モジュール)に結合された主パルサ(例えば、主パルサ502)を作動する、即ち電源を入れることによって、好適な処理チャンバに電圧を印加するかまたは別の方法で導入することで始まる。ここで、電圧は、基板電極、例えば基板電極109、および/またはエッジリング電極、例えばエッジリング電極111に導入される。基板電極および/またはエッジリング電極に対するバイアス電圧は、イオン電流段階において発達し、例えば、シース電圧にイオンの電荷を掛けた積のエネルギーでイオンを加速させる。無衝突シースモデルでは、イオンのほとんどが、基板電極および/またはエッジリング電極に衝撃するときにこの最大エネルギーに達することができる。しかしながら、例えば、イオン電流が正電荷を基板電極および/またはエッジリング電極上に堆積させることにより、基板電極および/またはエッジリング電極の電圧が時間とともに増加して、シース電圧を低減させ、イオンエネルギーを拡散させる。
動作1110で、パワーモジュール(例えば、IEDF幅制御モジュール)の電流、および/またはIEDF幅制御モジュールの電圧もしくは電圧微分を、2つ以上の条件下で測定して、シース容量C1および/またはイオン電流I0が決定される。ここで、測定される電流は、図5A、図6A、図7、および図8のキャパシタC4を通る電流である、電流I4であることができる。加えてまたは代わりに、測定される電流は、図9および図10の主パルサの出力電流であることができる。電圧微分はdV3/dtであることができる。測定はイオン電流段階で実施することができる。2つ以上の条件は、IEDF幅制御モジュールの能動ノブ(例えば、DC電圧源V0および/または整形DCパルス電圧源dV1/dt)を、2つの異なる値に設定することによって達成することができる。
一例として、図5、図8、および図10の構成の場合、整形DCパルス電圧源は、イオン電流段階における任意の2つの異なる傾斜dV1/dtに設定することができる。別の例として、図6、図7、および図9の構成の場合、I4がDC電圧V0に影響を受ける場合、I4をある地点までモニタリングしながらDC電圧V0を徐々に増加させることができる。このDC電圧は閾値電圧Vthである。DC電圧源V0に対する2つのセットポイントのうち少なくとも一方はVthよりも大きい。つまり、IEDF幅制御モジュールの電流、IEDF幅制御モジュールの電圧もしくは電圧微分、または両方を測定することは、DC電圧源、整形DCパルス電圧源、または両方を第1の値に設定することと、DC電圧源、整形DCパルス電圧源、または両方を第2の値に設定することとを含む。
動作1115で、図5、図8、および図10の構成の場合は式(6)および式(7)、または図6、図7、および図9の構成の場合は式(19)および式(20)に基づいて、イオン電流I0およびシース容量C1が計算される。計算に対する入力値は次の通りである。I3=C3×dV3/dt;I3’=C3×dV3’/dt;およびI4、I4’。C3およびC3’の値は分かっており、dV3/dt、dV3’/dt、I4、およびI4’の値は動作1110で測定される。そのため、I3およびI3’を計算することができる。
動作1120で、主パルサのDC電圧(V0)に対する所望のセットポイント、IEDF幅制御モジュールの電圧(V1)もしくは電圧微分(dV1/dt)に対する所望のセットポイント、または両方が、標的IEDF幅(ΔV)を達成するように決定される。この決定は、例えば、ユーザ指定のイオンエネルギー分布幅(ΔV)を達成するようなIEDF幅制御モジュールの所望の設定を決定することに基づく。主パルサのDC電圧(V0)および整形DCパルス電圧(V1)の傾斜(dV1/dt)はそれぞれ、式(23)および式(9)から決定することができる。動作1125で、IEDF幅制御モジュールのDC電圧(V0)および/または電圧(V1)または電圧微分(dV1/dt)が、決定されたセットポイントに合わせて調節される。
IEDFを制御する従来のプロセスとは対照的に、本明細書に記載する方法は、IEDF幅制御モジュールの所望のセットポイントを決定するのにルーピングを含まない。しかしながら、いくつかの実施形態では、ルーピングを使用して所望のセットポイントを決定することができる。かかる実施形態では、コントローラは、イオン電流段階でI4およびV3をモニタリングして、プラズマ条件のあらゆる変化を検出し、IEDF幅制御モジュールのセットポイントを適宜調節することができる。
本明細書に記載する方法および装置、例えば回路は、パルスDC基板電圧の波形の形状(例えば、狭い、または調節可能な幅)に対する制御を可能にする。本明細書に記載する実施形態はさらに、例えば、単エネルギーイオン加速を含むイオンエネルギー分布に対する制御を可能にする。
上述の全体説明および特定の実施形態から明白であるように、本開示の形態について例証し記載してきたが、本開示の趣旨および範囲から逸脱することなく、様々な修正を行うことができる。したがって、本開示はそれによる限定を意図しない。同様に、「備える」という用語は「含む」という用語と同義とみなされる。同様に、構成、要素、または要素群の後に移行句「備える」が続く場合は常に、同じ構成または要素群が、構成、要素、または複数の要素を列挙する前あるいは後に、「本質的に~から成る」、「~から成る」、「~から成る群から選択される」、または「である」という移行句を伴う場合も想到していることが理解される。
上記は本開示の実施例を対象とするが、本開示の基本的範囲から逸脱することなく、本開示の他の実施例およびさらなる実施例が考案されてもよく、その範囲は後続の特許請求の範囲によって決定される。

Claims (20)

  1. イオンエネルギー分布関数(IEDF)の幅を制御する方法であって、
    IEDF幅制御モジュールに結合された主パルサを作動することによって、処理チャンバの電極に電圧を導入することと、
    前記IEDF幅制御モジュールの電流、および前記IEDF幅制御モジュールの電圧または電圧微分を測定することと、
    前記IEDF幅制御モジュールの前記電流および前記電圧または前記電圧微分に基づいて、前記処理チャンバのイオン電流および前記処理チャンバの容量を計算することと、
    前記主パルサのDC電圧に対するセットポイント、前記IEDF幅制御モジュールの電圧もしくは電圧微分に対するセットポイント、または両方を決定することと、
    前記主パルサの前記DC電圧、前記IEDF幅制御モジュールの前記電圧もしくは前記電圧微分、または両方を、決定した前記セットポイントに合わせて調節して、前記IEDFの前記幅を制御することとを含む、方法。
  2. 前記電極が基板電極である、請求項1に記載の方法。
  3. 前記電極がエッジリング電極である、請求項1に記載の方法。
  4. 前記IEDF幅制御モジュールの電流および前記IEDF幅制御モジュールの電圧微分を測定することが、
    前記IEDF幅制御モジュールのDC電圧を2つの異なる値に設定することと、
    前記IEDF幅制御モジュールの電圧または電圧微分を2つの異なる値に設定することとを含む、請求項1に記載の方法。
  5. 基板電圧を基板に印加する基板電極と、
    エッジリング電圧をエッジリングに印加するエッジリング電極と、
    前記基板電極に結合された基板電圧制御回路と、
    前記エッジリング電極に結合されたエッジリング電圧制御回路とを備え、
    前記基板電極が、前記基板に達するイオンのエネルギー分布関数幅を能動的に制御するように構成された、パワーモジュールに結合されるか、
    前記エッジリング電極が、前記エッジリングに達するイオンのエネルギー分布関数幅を能動的に制御するように構成された、パワーモジュールに結合されるか、あるいは、
    それらの組み合わせであり、
    前記基板電圧制御回路、前記エッジリング電圧制御回路、または両方が、電流リターンパスに結合された主パルサを備え、前記電流リターンパスが、前記パワーモジュールおよび処理チャンバに結合され、前記パワーモジュールが、電圧源、電流源、またはそれらの組み合わせを備える、基板支持体。
  6. 前記基板電極のみが前記パワーモジュールに結合される、請求項5に記載の基板支持体。
  7. 前記エッジリング電極のみが前記パワーモジュールに結合される、請求項5に記載の基板支持体。
  8. 前記パワーモジュールが、スイッチ、任意のダイオード、および整形DCパルス電圧源と並列で結合されたトランジスタトランジスタロジック信号を備える、請求項5に記載の基板支持体。
  9. 前記整形DCパルス電圧源が、前記基板電圧の電圧波形の傾斜、前記エッジリング電圧の電圧波形の傾斜、またはそれらの組み合わせを制御する、請求項8に記載の基板支持体。
  10. 前記パワーモジュールが、スイッチと並列で結合されたトランジスタトランジスタロジック信号と、ダイオードと、抵抗器と直列で結合されたDC電圧源とを備える、請求項5に記載の基板支持体。
  11. 前記抵抗器と直列で結合された前記DC電圧源が、前記基板電圧の電圧波形の傾斜、前記エッジリング電圧の電圧波形の傾斜、またはそれらの組み合わせを制御する、請求項10に記載の基板支持体。
  12. 基板電圧を基板に印加する基板電極と、
    エッジリング電圧をエッジリングに印加するエッジリング電極と、
    前記基板電極に結合された基板電圧制御回路と、
    前記エッジリング電極に結合されたエッジリング電圧制御回路と、を備え、
    前記基板電極が、前記基板に達するイオンのエネルギー分布関数幅を能動的に制御するように構成された、パワーモジュールに結合されるか、
    前記エッジリング電極が、前記エッジリングに達するイオンのエネルギー分布関数幅を能動的に制御するように構成された、パワーモジュールに結合されるか、
    それらの組み合わせであり、
    前記基板電圧制御回路、前記エッジリング電圧制御回路、または両方が、
    前記パワーモジュールに結合された主パルサであって、前記パワーモジュールが処理チャンバに結合され、前記パワーモジュールが、電圧源、電流源、またはそれらの組み合わせを備える、主パルサか、あるいは、
    前記パワーモジュールに結合された主パルサであって、前記パワーモジュールが処理チャンバに結合され、前記パワーモジュールが、基板チャッキングおよびバイアス補償モジュールと並列であり、前記パワーモジュールが、電圧源、電流源、またはそれらの組み合わせを備える、主パルサを備える、基板支持体。
  13. 阻止容量が前記主パルサおよび前記パワーモジュールの両方に結合される、請求項12に記載の基板支持体。
  14. 前記基板電極のみが前記パワーモジュールに結合される、請求項12に記載の基板支持体。
  15. 前記エッジリング電極のみが前記パワーモジュールに結合される、請求項12に記載の基板支持体。
  16. 前記パワーモジュールが、スイッチと並列で結合されたトランジスタトランジスタロジック信号を備え、前記スイッチがダイオード及びDC電圧源と並列で結合され、前記DC電圧源が抵抗器と直列で結合された、請求項12に記載の基板支持体。
  17. 前記抵抗器と直列で結合された前記DC電圧源が、前記基板電圧の電圧波形の傾斜、前記エッジリング電圧の電圧波形の傾斜、またはそれらの組み合わせを制御する、請求項16に記載の基板支持体。
  18. 前記パワーモジュールが、スイッチと並列で結合されたトランジスタトランジスタロジック信号を備え、前記スイッチがダイオード及び整形DCパルス電圧源と並列で結合された、請求項12に記載の基板支持体。
  19. 前記整形DCパルス電圧源が、前記基板電圧の電圧波形の傾斜を制御する、請求項18に記載の基板支持体。
  20. 前記整形DCパルス電圧源が、前記エッジリング電圧の電圧波形の傾斜を制御する、請求項18に記載の基板支持体。
JP2023503136A 2020-11-16 2021-10-13 イオンエネルギー分布を制御する装置および方法 Pending JP2023541096A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/099,342 US11798790B2 (en) 2020-11-16 2020-11-16 Apparatus and methods for controlling ion energy distribution
US17/099,342 2020-11-16
PCT/US2021/054806 WO2022103543A1 (en) 2020-11-16 2021-10-13 Apparatus and methods for controlling ion energy distribution

Publications (1)

Publication Number Publication Date
JP2023541096A true JP2023541096A (ja) 2023-09-28

Family

ID=81587877

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023503136A Pending JP2023541096A (ja) 2020-11-16 2021-10-13 イオンエネルギー分布を制御する装置および方法

Country Status (7)

Country Link
US (2) US11798790B2 (ja)
EP (1) EP4244883A1 (ja)
JP (1) JP2023541096A (ja)
KR (1) KR20230021755A (ja)
CN (1) CN116250058A (ja)
TW (1) TW202236353A (ja)
WO (1) WO2022103543A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution

Family Cites Families (581)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3140292A (en) 1961-04-07 1964-07-07 Universal Oil Prod Co Preparation of metal phthalocyanines
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ja) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
CN1103655C (zh) 1997-10-15 2003-03-26 东京电子株式会社 应用等离子体密度梯度来产生粒子流的装置和方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
EP1119033A4 (en) 1998-09-18 2004-11-17 Tokyo Electron Ltd PLASMA PROCESSING
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
CN1241316C (zh) 1999-07-13 2006-02-08 东京电子株式会社 产生感性耦合的等离子的射频电源
KR20020046276A (ko) 1999-08-02 2002-06-20 로버트 엠. 포터 이온 소스를 이용하는 박막 퇴적 시스템의 개선된 전자방출 표면
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
ATE420454T1 (de) 1999-08-17 2009-01-15 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP4718093B2 (ja) 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
KR100842947B1 (ko) 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DK1253216T3 (da) 2001-04-27 2004-03-22 Europ Economic Community Fremgangsmåde og apparat til sekventiel plasmabehandling
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
ATE557418T1 (de) 2001-10-31 2012-05-15 Tokyo Electron Ltd Verfahren zum ätzen von merkmalen mit hohem streckungsverhältnis
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
JP4644128B2 (ja) 2003-11-28 2011-03-02 株式会社アドバンテスト デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN102263026B (zh) 2004-06-21 2016-01-20 东京毅力科创株式会社 等离子体处理装置和方法
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
CN101278385B (zh) 2004-11-04 2011-10-12 株式会社爱发科 静电吸盘装置
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
PL1701376T3 (pl) 2005-03-10 2007-04-30 Huettinger Elektronik Gmbh Co Kg Próżniowy generator plazmowy
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
ATE500604T1 (de) 2005-03-30 2011-03-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
CN101053283A (zh) 2005-05-13 2007-10-10 松下电器产业株式会社 电介质阻挡放电灯点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
EP1982400A4 (en) 2006-01-23 2014-08-13 Audera Internat Sales Inc POWER SUPPLY FOR LIMITED POWER SOURCES AND AUDIOVER AMPLIFIERS WITH A POWER SUPPLY
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
EP1926122B1 (de) 2006-11-23 2009-11-11 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US8435389B2 (en) 2006-12-12 2013-05-07 Oc Oerlikon Balzers Ag RF substrate bias with high power impulse magnetron sputtering (HIPIMS)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
DE112007003667A5 (de) 2007-07-23 2010-07-01 Hüttinger Elektronik GmbH & Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
KR20100095560A (ko) 2007-11-26 2010-08-31 도쿄엘렉트론가부시키가이샤 미소 구조체 검사 장치 및 미소 구조체 검사 방법
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
CN101960556B (zh) 2008-03-06 2013-09-18 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4932942B2 (ja) 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US9313872B2 (en) 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
EP2544616B1 (en) 2010-03-11 2017-09-06 Medtronic Advanced Energy LLC Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
KR101783077B1 (ko) 2010-06-11 2017-09-28 도쿄엘렉트론가부시키가이샤 화학 증착 제어용 장치 및 방법
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP4226935A3 (en) 2010-08-31 2023-09-06 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US20130344702A1 (en) 2011-03-04 2013-12-26 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
JP5741461B2 (ja) 2012-01-23 2015-07-01 セイコーエプソン株式会社 印刷装置及び印刷方法
WO2013114882A1 (ja) 2012-02-01 2013-08-08 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
US9922802B2 (en) 2012-02-20 2018-03-20 Tokyo Electron Limited Power supply system, plasma etching apparatus, and plasma etching method
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
WO2013146920A1 (ja) 2012-03-30 2013-10-03 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
EP2885248B1 (en) 2012-08-15 2018-08-22 Lockheed Martin Energy, LLC High solubility iron hexacyanides
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR101860182B1 (ko) 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
WO2014069559A1 (ja) 2012-11-01 2014-05-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
EP3005220B1 (en) 2013-06-04 2019-09-04 Eagle Harbor Technologies Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9711335B2 (en) 2013-07-17 2017-07-18 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (DMS) processes
JP6441927B2 (ja) 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
JP2017507477A (ja) 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスカーボンフィルムの中へのイオン注入による高エッチング選択性ハードマスク材料の開発
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
WO2015148490A1 (en) 2014-03-24 2015-10-01 Advanced Energy Industries, Inc. System and method for control of high efficiency generator source impedance
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
TWI714074B (zh) 2015-01-16 2020-12-21 美商艾克塞利斯科技公司 離子植入系統及具有可變能量控制的方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
WO2016131061A1 (en) 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
EP3975207B1 (en) 2015-11-30 2023-12-20 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
JP2019504481A (ja) 2015-12-07 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャックを使用した基板の固定と開放のための方法及び装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10438797B2 (en) 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) * 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
CN108236602B (zh) 2016-12-26 2021-04-23 深圳翰宇药业股份有限公司 一种利伐沙班自乳化制剂及其制备方法
EP3563646A4 (en) 2016-12-30 2020-01-22 Eagle Harbor Technologies, Inc. INDUCTIVE HIGH VOLTAGE ADDER
US20180190501A1 (en) 2017-01-05 2018-07-05 Tokyo Electron Limited Plasma processing apparatus
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US20180218905A1 (en) 2017-02-02 2018-08-02 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
EP4266579A3 (en) 2017-02-07 2023-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
KR20190121864A (ko) 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
EP3586441B1 (en) 2017-03-31 2020-10-21 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
CN110945709B (zh) 2017-05-30 2023-08-15 泰坦先进能源解决方案公司 电池寿命估计和容量恢复
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
TWI806772B (zh) 2017-08-17 2023-06-21 日商東京威力科創股份有限公司 工業製造設備中特性的即時感測裝置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
KR102361417B1 (ko) 2017-09-26 2022-02-09 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 점화를 위한 시스템 및 방법
WO2019087977A1 (ja) 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
KR102387008B1 (ko) 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
KR20200074961A (ko) 2017-11-16 2020-06-25 도쿄엘렉트론가부시키가이샤 동기화된 신호 변조를 통한 플라즈마 공정 시스템
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
CN117612918A (zh) 2018-05-03 2024-02-27 应用材料公司 用于基座的rf接地配置
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) * 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
CN112088303A (zh) 2018-06-18 2020-12-15 东京毅力科创株式会社 对制造设备中的特性的降低干扰的实时感测
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
WO2019244734A1 (ja) 2018-06-22 2019-12-26 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
WO2020051064A1 (en) 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
WO2020101734A1 (en) 2018-11-14 2020-05-22 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
JP7297795B2 (ja) 2019-01-09 2023-06-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
CN113169026B (zh) * 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) * 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
WO2021003319A1 (en) 2019-07-02 2021-01-07 Eagle Harbor Technologies. Inc Nanosecond pulser rf isolation
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
WO2021062223A1 (en) 2019-09-25 2021-04-01 Eagle Harbor Technologies, Inc. Nonlinear transmission line high voltage pulse sharpening with energy recovery
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
JP7285377B2 (ja) 2019-12-24 2023-06-01 イーグル ハーバー テクノロジーズ,インク. プラズマシステム用ナノ秒パルサrf絶縁
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11848176B2 (en) * 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11694876B2 (en) * 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Also Published As

Publication number Publication date
US20220157577A1 (en) 2022-05-19
TW202236353A (zh) 2022-09-16
KR20230021755A (ko) 2023-02-14
US11798790B2 (en) 2023-10-24
EP4244883A1 (en) 2023-09-20
CN116250058A (zh) 2023-06-09
US20230420229A1 (en) 2023-12-28
WO2022103543A1 (en) 2022-05-19

Similar Documents

Publication Publication Date Title
JP7461565B2 (ja) イオンエネルギー分布を制御する装置および方法
JP6986113B2 (ja) 修正された周期的電圧関数を電気ノードに提供するための装置およびコンピュータ読み取り可能な記憶媒体
US10388544B2 (en) Substrate processing apparatus and substrate processing method
KR101761493B1 (ko) 스위칭 모드 이온 에너지 분포 시스템을 교정하기 위한 시스템 및 방법
US20230420229A1 (en) Apparatus and methods for controlling ion energy distribution
KR101800623B1 (ko) 스위칭 모드 이온 에너지 분포 시스템의 고장, 비정상 및 다른 특성들을 모니터링하기 위한 시스템 및 방법
KR20210060455A (ko) 플라즈마 공정을 위한 제어 시스템 및 방법
CN102474972A (zh) 用于等离子体电弧检测、隔离和预防的系统和方法
KR101283360B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
US11948780B2 (en) Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) Automatic electrostatic chuck bias compensation during plasma processing
US10378109B2 (en) Diagnostic and control systems and methods for substrate processing systems using DC self-bias voltage
US20230317414A1 (en) Systems and Methods for Use of Low Frequency Harmonics in Bias Radiofrequency Supply to Control Uniformity of Plasma Process Results Across Substrate
US20230132339A1 (en) Plasma processing chambers configured for tunable substrate and edge sheath control
TW202309971A (zh) 電漿處理期間的自動靜電卡盤偏壓補償

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230313

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240409