KR20230021755A - 이온 에너지 분포를 제어하기 위한 장치 및 방법들 - Google Patents

이온 에너지 분포를 제어하기 위한 장치 및 방법들 Download PDF

Info

Publication number
KR20230021755A
KR20230021755A KR1020237001810A KR20237001810A KR20230021755A KR 20230021755 A KR20230021755 A KR 20230021755A KR 1020237001810 A KR1020237001810 A KR 1020237001810A KR 20237001810 A KR20237001810 A KR 20237001810A KR 20230021755 A KR20230021755 A KR 20230021755A
Authority
KR
South Korea
Prior art keywords
voltage
substrate
coupled
edge ring
iedf
Prior art date
Application number
KR1020237001810A
Other languages
English (en)
Inventor
린잉 추이
제임스 로저스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230021755A publication Critical patent/KR20230021755A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Recrystallisation Techniques (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 개시내용의 실시예들은 일반적으로 플라즈마 프로세싱(plasma processing) 동안 이온 에너지 분포를 제어하기 위한 장치 및 방법들에 관한 것이다. 실시예에서, 이 장치는 기판에 기판 전압을 인가하기 위한 기판 전극 및 에지 링(edge ring)에 에지 링 전압을 인가하기 위해 매립된 에지 링 전극을 갖는 본체를 갖는 기판 지지체를 포함한다. 이 장치는 기판 전극에 결합된 기판 전압 제어 회로, 및 에지 링 전극에 결합된 에지 링 전압 제어 회로를 더 포함한다. 기판 전극, 에지 링 전극, 또는 둘 모두는 기판, 에지 링, 또는 둘 모두에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈(module)에 결합된다. 기판 프로세싱 동안 이온들의 에너지 분포 함수 폭을 제어하기 위한 방법들이 또한 설명된다.

Description

이온 에너지 분포를 제어하기 위한 장치 및 방법들
[0001] 본 개시내용의 실시예들은 일반적으로 기판의 플라즈마 프로세싱(plasma processing)을 위한 장치 및 방법들에 관한 것이고, 구체적으로 플라즈마 프로세싱 동안 이온 에너지 분포를 제어하기 위한 장치 및 방법들에 관한 것이다.
[0002] 기판을 플라즈마 프로세싱하는 동안, 이온들은 기판 표면 처리, 에칭, 및 증착에 중요한 역할을 한다. 기판 표면에 충돌하는 이온들은 이온 에너지 분포 함수(IEDF:ion energy distribution function)로 설명되는 다양한 에너지들을 가질 수 있다. IEDF에 대한 제어는 다양한 기판 프로세싱 방식들에 대한 중요한 인자일 수 있다. 그러나, IEDF를 제어하는 것은 여전히 도전으로 남아있다. 예를 들어, 주기적인 교류 전압이 챔버의 전극(들)에 인가될 때, 플라즈마 시스(sheath)가 기판 위에 발생될 수 있다. 기판을 향해 흐르는 이온들은 전극에 인가된 전압과 상관관계가 있는 플라즈마 시스 전압에 의해 가속된다. 동시에, 이온 전류는 기판을 충전하고 기판 전위를 변경할 수 있으며, 이는 차례로 기판 표면의 IEDF가 또한 영향을 받도록, 예를 들어 확장되도록 플라즈마 시스 전압에 영향을 미친다. 이러한 경우들 및 다른 경우들에서 IEDF를 제어하는 최신 방법들은 비효율적인 반복 루프(loop)들을 기반으로 한다.
[0003] IEDF를 제어하기 위한 새롭고 개선된 방법들에 대한 필요성이 존재한다.
[0004] 본 개시내용의 실시예들은 일반적으로 기판의 플라즈마 프로세싱을 위한 장치 및 방법들에 관한 것이고, 구체적으로 플라즈마 프로세싱 동안 이온 에너지 분포를 제어하기 위한 장치 및 방법들에 관한 것이다.
[0005] 실시예에서, 이온 에너지 분포 함수(IEDF)를 제어하는 방법이 제공된다. 이 방법은 메인 펄서(main pulser)를 활성화함으로써 프로세싱 챔버의 전극에 전압을 도입하는 단계 ― 메인 펄서는 IEDF 폭 제어 모듈(module)에 결합됨 ― , 및 IEDF 폭 제어 모듈의 전류 및 IEDF 폭 제어 모듈의 전압 또는 전압 미분(voltage derivative)을 측정하는 단계를 포함한다. 이 방법은 IEDF 폭 제어 모듈의 전류 및 전압 또는 전압 미분에 기초하여 프로세싱 챔버의 이온 전류 및 프로세싱 챔버의 커패시턴스(capacitance)를 계산하는 단계를 더 포함한다. 이 방법은 메인 펄서의 DC 전압에 대한 설정점, IEDF 폭 제어 모듈의 전압 또는 전압 미분에 대한 설정점, 또는 둘 모두를 결정하는 단계, 및 IEDF의 폭을 제어하기 위해, 메인 펄서의 DC 전압, IEDF 폭 제어 모듈의 전압 또는 전압 미분, 또는 둘 모두를 결정된 설정점들로 조정하는 단계를 더 포함한다.
[0006] 다른 실시예에서, 이온 에너지 분포를 제어하기 위한 장치가 제공된다. 이 장치는 기판 전압을 기판에 인가하기 위해 내부에 매립된 기판 전극을 갖는 기판 지지 부분을 갖는 본체를 갖는 기판 지지체를 포함한다. 본체는 기판 지지 부분에 인접하게 배치된 에지 링 부분을 더 포함하고, 에지 링 부분은 에지 링에 에지 링 전압을 인가하기 위해 내부에 매립된 에지 링 전극을 갖는다. 이 장치는 기판 전극에 결합된 기판 전압 제어 회로 및 에지 링 전극에 결합된 에지 링 전압 제어 회로를 더 포함한다. 기판 전극은 기판에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈에 결합되고, 또는 에지 링 전극은 에지 링에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈에 결합되고, 또는 이들의 조합이 이루어진다. 기판 전압 제어 회로, 에지 링 전압 제어 회로, 또는 둘 모두는 전류 귀환 경로에 결합된 메인 펄서를 포함하고, 전류 귀환 경로는 전력 모듈 및 프로세싱 챔버에 결합되고, 여기서 전력 모듈은 전압 소스, 전류 소스, 또는 이들의 조합을 포함한다.
[0007] 다른 실시예에서, 이온 에너지 분포를 제어하기 위한 장치가 제공된다. 이 장치는 기판 전압을 기판에 인가하기 위해 내부에 매립된 기판 전극을 갖는 기판 지지 부분을 갖는 본체를 갖는 기판 지지체를 포함한다. 본체는 기판 지지 부분에 인접하게 배치된 에지 링 부분을 더 포함하고, 에지 링 부분은 에지 링에 에지 링 전압을 인가하기 위해 내부에 매립된 에지 링 전극을 갖는다. 이 장치는 기판 전극에 결합된 기판 전압 제어 회로 및 에지 링 전극에 결합된 에지 링 전압 제어 회로를 더 포함한다. 기판 전극은 기판에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈에 결합되고, 또는 에지 링 전극은 에지 링에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈에 결합되고, 또는 이들의 조합이 이루어진다. 기판 전압 제어 회로, 에지 링 전압 제어 회로, 또는 둘 모두는 전력 모듈에 결합된 메인 펄서를 포함하고, 전력 모듈은 프로세싱 챔버에 결합되고, 전력 모듈은 전압 소스, 전류 소스, 또는 이들의 조합을 포함한다.
[0008] 다른 실시예에서, 이온 에너지 분포를 제어하기 위한 장치가 제공된다. 이 장치는 기판 전압을 기판에 인가하기 위해 내부에 매립된 기판 전극을 갖는 기판 지지 부분을 갖는 본체를 갖는 기판 지지체를 포함한다. 본체는 기판 지지 부분에 인접하게 배치된 에지 링 부분을 더 포함하고, 에지 링 부분은 에지 링에 에지 링 전압을 인가하기 위해 내부에 매립된 에지 링 전극을 갖는다. 이 장치는 기판 전극에 결합된 기판 전압 제어 회로 및 에지 링 전극에 결합된 에지 링 전압 제어 회로를 더 포함한다. 기판 전극은 기판에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈에 결합되고, 또는 에지 링 전극은 에지 링에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈에 결합되고, 또는 이들의 조합이 이루어진다. 기판 전압 제어 회로, 에지 링 전압 제어 회로, 또는 둘 모두는 전력 모듈에 결합된 메인 펄서를 포함하고, 전력 모듈은 프로세싱 챔버에 결합되고, 여기서 전력 모듈은 기판 척킹(chucking) 및 바이어스(bias) 보상 모듈과 병렬이고, 여기서 전력 모듈은 전압 소스, 전류 소스, 또는 이들의 조합을 포함한다.
[0009] 본 개시내용의 위에 인용된 특징들이 상세히 이해될 수 있도록, 위에서 간략하게 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 그 범위를 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이라는 점에 유의해야 한다.
[0010] 도 1은 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 프로세싱 챔버의 개략적인 단면도이다.
[0011] 도 2는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 프로세싱 챔버의 개략도이다.
[0012] 도 3a는 본 개시내용의 적어도 하나의 실시예에 따라 기판 상의 3 개의 상이한 바이어스 전압 파형들을 도시하는 예시적인 그래프이다.
[0013] 도 3b는 본 개시내용의 적어도 하나의 실시예에 따른 도 3a에 도시된 기판 상의 3 개의 상이한 바이어스 전압 파형들에 대한 IEDF 대 이온 에너지의 예시적인 플롯이다.
[0014] 도 4a는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 회로의 개략도이다.
[0015] 도 4b는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 회로의 개략도이다.
[0016] 도 4c는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 회로의 개략도이다.
[0017] 도 4d는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 회로의 개략도이다.
[0018] 도 5a는 본 개시내용의 적어도 하나의 실시예에 따라 기판 지지 조립체의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략 회로도이다.
[0019] 도 5b는 본 개시내용의 적어도 하나의 실시예에 따른 도 5a에 도시된 예시적인 개략 회로도에 대한 V2 전압 파형 및 기판 전압 파형의 예시적인 플롯이다.
[0020] 도 5c는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 제어 회로이다.
[0021] 도 5d는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 제어 회로이다.
[0022] 도 5e는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 톱니 형상의 전압 출력들을 도시한다.
[0023] 도 6a는 본 개시내용의 적어도 하나의 실시예에 따라 기판 지지 조립체의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략 회로도이다.
[0024] 도 6b는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 제어 회로이다.
[0025] 도 6c는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 제어 회로이다.
[0026] 도 7은 본 개시내용의 적어도 하나의 실시예에 따라 기판 지지 조립체의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략 회로도이다.
[0027] 도 8은 본 개시내용의 적어도 하나의 실시예에 따라 기판 지지 조립체의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략 회로도이다.
[0028] 도 9는 본 개시내용의 적어도 하나의 실시예에 따라 기판 지지 조립체의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략 회로도이다.
[0029] 도 10은 본 개시내용의 적어도 하나의 실시예에 따라 기판 지지 조립체의 전극들을 구동하기 위한 IEDF 폭 제어 회로를 예시하는 예시적인 개략 회로도이다.
[0030] 도 11은 본 개시내용의 적어도 하나의 실시예에 따른 IEDF 폭을 제어하는 방법의 흐름도이다.
[0031] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들은 추가의 언급없이 다른 실시예들에 유익하게 통합될 수 있음이 고려된다.
[0032] 본 개시내용의 실시예들은 일반적으로 기판의 플라즈마 프로세싱을 위한 장치 및 방법에 관한 것이고, 구체적으로 플라즈마 프로세싱 동안 이온 에너지 분포를 제어하기 위한 장치 및 방법에 관한 것이다. 본 명세서에 설명된 방법들 및 장치, 예를 들어, 회로들은 펄스 DC 전력 공급기의 전압 파형의 형상(예를 들어, 좁은, 또는 조정 가능한 폭)에 대한 제어를 가능하게 한다. 본 명세서에 설명된 실시예들은 예를 들어 단일 에너지 이온 가속(monoenergetic ion acceleration)을 포함하는 이온 에너지 분포 함수(IEDF)에 대한 제어를 추가로 가능하게 한다.
[0033] IEDF는 종횡비가 높은 피처를 에칭하기 위한 파라미터(parameter)이다. 전형적으로, 펄스 DC 바이어스들은 다음 메커니즘(mechanism)에 따라 사인파 RF 바이어스들과 비교할 때 더 좁은 IEDF를 제공할 수 있다. 이온들은 펄스 DC 기간 내에서 덜 시변하는 전기장에 의해 가속되기 때문에, 시스 내에서 이온들에 의해 얻어지는 에너지는 또한 사인파 RF 바이어스를 변화시킬 때보다 더 낮은 시간 변동을 나타낸다. 결과적으로, 펄스 DC 바이어스에 의해 가속된 이온들은 사인파 RF 바이어스보다 더 좁은 IEDF를 갖는다. 그러나, 벌크(bulk) 플라즈마로부터 기판으로의 이온 전류는 기판에서 전압 파형을 왜곡하고, 이온 에너지 분포를 확장시킨다. 여기에 설명된 방법들 및 장치는 예를 들어 이러한 이온 전류를 보상하고, 이온 에너지 분포의 폭을 능동적으로 제어할 수 있다.
[0034] 종래의 방법들 및 장치는 반복 제어 루프를 사용하여 이온 에너지 분포의 폭을 제어한다. 제어 알고리즘의 수렴 전에는, 플라즈마 파라미터들(예를 들어, 이온 전류, 시스 두께, 및 IEDF 폭)의 추정이 부정확하다. 또한, 반복들을 사용하여 IEDF의 폭을 제어하는 것은 느리고, 제어 알고리즘의 비-수렴을 초래할 수 있다.
[0035] 대조적으로, 본 명세서에 설명된 방법들 및 장치는 주어진 IEDF 폭을 달성하기 위해 이온 전류 및 보상 전류를 결정하기 위해, 반복 없이, 하나의 루프를 이용한다. 따라서, 본 명세서에 설명된 방법들 및 장치는 최신 기술보다 빠르게 IEDF의 원하는 상태, 예를 들어 좁은 IEDF에 도달한다. 이는 예를 들어 보상 전류의 솔루션을 결정할 때 반복을 사용하지 않기 때문이다.
[0036] 간략하게, 일부 실시예들에서, 기판 지지체는 본체를 포함하고, 본체는 기판 지지 부분 및/또는 에지 링 부분을 포함한다. 기판 전극은 기판에 기판 전압을 인가하기 위해 기판 지지 부분에 매립된다. 기판 전압 제어 회로는 기판 전극에 결합된다. 에지 링 부분은 에지 링에 에지 링 전압을 인가하기 위해 내부에 매립된 에지 링 전극을 포함한다. 에지 링 전압 제어 회로는 에지 링 전극에 결합된다. 적어도 하나의 성형 DC 펄스(shaped DC pulse) 소스가 기판 전압 제어 회로 및/또는 에지 링 전압 제어 회로에 결합된다. 기판 전압 회로 및/또는 에지 링 전압 제어 회로는 튜닝 가능하다(tunable). 예를 들어, 기판 전압 제어 회로 및/또는 에지 링 전압 제어 회로의 튜닝을 통한 전압 진폭의 조정은 이온 에너지 분포의 조정 및 제어를 발생시킨다.
[0037] 일부 실시예들에서, IEDF 폭의 제어 회로가 기판 지지체에 결합된다. IEDF 폭의 제어 회로는 메인 펄스 DC 전력 공급기 내부에 통합되거나, 또는 별도의 모듈로서, 또는 바이어스 보상 모듈을 갖는 통합 모듈로서 통합될 수 있다.
예시적인 프로세싱 시스템 구성들
[0038] 도 1은 본 개시내용의 적어도 하나의 실시예에 따른 프로세싱 챔버(100)의 개략적인 단면도이다. 프로세싱 챔버(100)는 본 명세서에 설명된 방식들을 실시하도록 구성된다. 이 실시예에서, 프로세싱 챔버는 RIE(reactive ion etch) 플라즈마 챔버와 같은 플라즈마 프로세싱 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버는 플라즈마 강화 증착 챔버, 예를 들어 플라즈마 강화 화학 기상 증착(PECVD) 챔버, 플라즈마 강화 물리 기상 증착(PEPVD) 챔버, 또는 플라즈마 강화 원자층 증착(PEALD) 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버는 플라즈마 처리 챔버, 또는 플라즈마 기반 이온 주입 챔버, 예를 들어 플라즈마 도핑(PLAD) 챔버이다.
[0039] 프로세싱 챔버(100)는 챔버 본체(101) 및 그 위에 배치된 리드(lid)(102)를 포함하고, 이들은 함께 내부 체적(124)을 정의한다. 챔버 본체(101)는 전형적으로 전기 접지(103)에 결합된다. 기판 지지 조립체(104)는 프로세싱 동안 그 위에 기판(105)을 지지하기 위해 내부 체적 내에 배치된다. 에지 링(106)은 기판 지지 조립체(104) 상에 포지셔닝(position)되고, 기판(105)의 주변을 둘러싼다. 프로세싱 챔버(100)는 또한 프로세싱 챔버(100) 내에서 반응 종의 플라즈마를 생성하기 위한 유도 결합 플라즈마 장치(107), 및 프로세싱 챔버(100)의 시스템들 및 서브시스템(subsystem)들을 제어하도록 적응된 제어기(108)를 포함한다. 일부 실시예들에서, 유도 결합 플라즈마 장치(107)는 접지된 샤워 헤드(shower head)로 교체될 수 있고, RF 전력이 기판 아래의 전극으로부터 전달되어 용량 결합 플라즈마를 생성한다.
[0040] 기판 지지 조립체(104)는 내부 체적(124)에 배치된다. 기판 지지 조립체(104)는 일반적으로 기판 지지체(152)를 포함한다. 기판 지지체(152)는 프로세싱될 기판(105)을 언더레이(underlay)하여 지지하도록 구성된 기판 지지 부분(154) 및 에지 링(106)을 지지하도록 구성된 에지 링 부분(156)을 포함하는 정전 척(chuck)(150)을 포함한다. 기판 지지 조립체(104)는 히터(heater) 조립체(169)를 추가적으로 포함할 수 있다. 기판 지지 조립체(104)는 또한 냉각 베이스(base)(131)를 포함할 수 있다. 냉각 베이스(131)는 대안적으로 기판 지지 조립체(104)로부터 분리될 수 있다. 기판 지지 조립체(104)는 지지 페데스탈(pedestal)(125)에 제거 가능하게 결합될 수 있다. 지지 페데스탈(125)은 챔버 본체(101)에 장착된다. 지지 페데스탈(125)은 선택적으로 설비 플레이트(plate)(180)를 포함할 수 있다. 기판 지지 조립체(104)는 기판 지지 조립체(104)의 하나 이상의 컴포넌트(component)들의 보수를 허용하기 위해 지지 페데스탈(125)로부터 주기적으로 제거될 수 있다. 리프팅 핀(lifting pin)들(146)은 기판 이송을 용이하게 하기 위해 종래에 알려진 바와 같이 기판 지지 조립체(104)를 통해 배치된다.
[0041] 설비 플레이트(180)는 정전 척(150) 및 냉각 베이스(131)로부터의 복수의 유체 연결부들을 수용하도록 구성된다. 설비 플레이트(180)는 또한 정전 척(150) 및 히터 조립체(169)로부터의 복수의 전기 연결부들을 수용하도록 구성된다. 복수의 전기 연결부들은 기판 지지 조립체(104)의 외부 또는 내부에서 연장될 수 있으며, 설비 플레이트(180)는 개개의 종단(terminus)에 대한 연결들을 위한 인터페이스(interface)를 제공한다.
[0042] 기판 전극(109)은 기판 지지 조립체(104)의 상부 표면(160) 상에 배치된 기판(105)에 기판 전압을 인가하기 위해 정전 척(150)의 기판 지지 부분(154) 내에 매립된다. 에지 링 부분(156)은 에지 링(106)에 에지 링 전압을 인가하기 위해 내부에 매립된 에지 링 전극(111)을 갖는다. 에지 링 IEDF 폭 제어 회로(155)가 에지 링 전극(111)에 결합된다. 기판 IEDF 폭 제어 회로(158)가 기판 전극(109)에 결합된다. 일 실시예에서, 제1 성형 DC 펄스 전압 소스(159)가 에지 링 IEDF 폭 제어 회로(155) 및 기판 IEDF 폭 제어 회로(158) 중 하나 또는 둘 모두에 결합된다. 다른 실시예에서, 도 1에 도시된 바와 같이, 제1 성형 DC 전압 소스(159)는 에지 링 IEDF 폭 제어 회로(155)에 결합되고, 제2 성형 DC 전압 소스(161)는 기판 IEDF 폭 제어 회로(158)에 결합된다. 에지 링 IEDF 폭 제어 회로(155) 및 기판 IEDF 폭 제어 회로(158)는 독립적으로 튜닝 가능하다. 기판 전극(109)은 프로세싱 동안 정전 척(150)으로 기판(105)을 상부 표면(160)에 척킹하는 것을 용이하게 하기 위해 척킹 전력 소스(115)에 추가로 결합된다.
[0043] 유도 결합 플라즈마 장치(107)는 리드(102) 위에 배치되고, RF 전력을 프로세싱 챔버(100) 내의 가스들에 유도 결합하여 플라즈마(116)를 생성하도록 구성된다. 유도 결합 플라즈마 장치(107)는 리드(102) 위에 배치된 제1 코일(coil)(118) 및 제2 코일(120)을 포함한다. 각각의 코일(118, 120)의 상대 포지션(position), 직경들의 비, 및/또는 각각의 코일(118, 120)의 턴(turn)들의 개수는 형성되는 플라즈마(116)의 프로파일(profile) 또는 밀도를 제어하기 위해 각각 원하는 대로 조정될 수 있다. 제1 및 제2 코일들(118, 120) 각각은 RF 피드(feed) 구조(123)를 통해 정합 네트워크(network)(122)를 통해 RF 전력 공급기(121)에 결합된다. RF 전력 공급기(121)는 예시적으로 50 kHz 내지 13.56 MHz 범위의 튜닝 가능한 주파수에서 최대 약 4000 W(그러나 약 4000 W로 제한되지 않음)를 생성할 수 있지만, 다른 주파수들 및 전력들이 특정 애플리케이션(application)들에 대해 원하는 대로 사용될 수 있다.
[0044] 일부 예들에서, 분할 커패시터와 같은 전력 분할기(126)는 개개의 제1 및 제2 코일들(118, 120)에 제공되는 RF 전력의 상대적인 양을 제어하기 위해 RF 피드 구조(123)와 RF 전력 공급기(121) 사이에 제공될 수 있다. 다른 실시예들에서, 용량 결합 플라즈마 장치(도시되지 않음)가 리드(102) 위에서 사용될 수 있다. 프로세싱 챔버(100)의 내부를 가열하는 것을 용이하게 하기 위해 히터 요소(128)가 리드(102) 상에 배치될 수 있다. 히터 요소(128)는 리드(102)와 제1 및 제2 코일들(118, 120) 사이에 배치될 수 있다. 일부 예들에서, 히터 요소(128)는 저항 가열 요소를 포함하고, 원하는 범위 내에서 히터 요소(128)의 온도를 제어하기에 충분한 에너지를 제공하도록 구성된 AC 전력 공급기과 같은 전력 공급기(130)에 결합된다.
[0045] 작동 중에, 반도체 기판 또는 플라즈마 프로세싱에 적합한 다른 기판과 같은 기판(105)은 기판 지지 조립체(104) 상에 배치된다. 기판 리프트 핀들(146)은 기판 지지 조립체(104) 상에 기판(105)의 이송을 돕기 위해 기판 지지 조립체(104)에 이동 가능하게 배치된다. 기판(105)의 포지셔닝 후에, 프로세스 가스들이 가스 패널(panel)(132)로부터 유입 포트(port)들(134)을 통해 챔버 본체(101)의 내부 체적(124) 내로 공급된다. 프로세스 가스들은 RF 전력 공급기(121)로부터의 전력을 제1 및 제2 코일들(118, 120)에 인가함으로써 프로세싱 챔버(100)에서 플라즈마(116)로 점화된다. 프로세싱 챔버(100)의 내부 체적(124) 내의 압력은 밸브(valve)(136) 및 진공 펌프(138)를 사용하여 제어될 수 있다.
[0046] 프로세싱 챔버(100)는 프로세싱 동안 프로세싱 챔버(100)의 동작을 제어하기 위해 제어기(108)를 포함한다. 제어기(108)는 중앙 프로세싱 유닛(CPU)(140), 메모리(142), 및 CPU(140)를 위한 지원 회로들(144)을 포함하고, 프로세싱 챔버(100)의 컴포넌트들의 제어를 용이하게 한다. 제어기(108)는 다양한 챔버들 및 서브 프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 메모리(142)는 본 명세서에 설명된 방식으로 프로세싱 챔버(100)의 동작을 제어하기 위해 실행되거나 또는 호출될 수 있는 소프트웨어(소스 또는 목적 코드(object code))를 저장한다. 제어기(108)는 제1 성형 DC 전압 소스(159), 제2 성형 DC 전압 소스(161), 에지 링 IEDF 폭 제어 회로(155), 및 기판 IEDF 폭 제어 회로(158)를 제어하도록 구성된다.
[0047] 도 2는 본 개시내용의 적어도 하나의 실시예에 따른 프로세싱 챔버(200)의 개략도이다. 프로세싱 챔버(200)는 본 명세서에 설명된 방식들을 실시하도록 구성된다. 프로세싱 챔버(100)와 마찬가지로, 프로세싱 챔버(200)는 위에서 설명된 것들과 같은 플라즈마 프로세싱 챔버이다.
[0048] 프로세싱 챔버(200)는 도 1에 설명된 바와 같이 기판 지지 조립체(104) 상에 배치된 기판(105)을 포함한다. 에지 링(106)은 기판 지지 조립체(104) 상에 포지셔닝되고, 기판(105)의 주변을 둘러싼다. 도시되지는 않았지만, 용량 결합 플라즈마 장치가 기판 위에(전형적으로 챔버 리드 위에) 배치된다. 용량 결합 플라즈마 장치는 용량 결합 플라즈마를 생성하기 위해 기판 아래의 전극으로부터 RF 전력이 전달되는 샤워헤드 및 이온 억제기를 포함할 수 있다. 제어기(108)는 프로세싱 챔버의 시스템들 및 서브시스템들을 제어하도록 적응된다. 제어기(108)는 중앙 프로세싱 유닛(CPU)(140), 메모리(142), 및 CPU(140)를 위한 지원 회로들(144)을 포함하고, 프로세싱 챔버(100)의 컴포넌트들의 제어를 용이하게 한다. 제어기(108)는 다양한 챔버들 및 서브 프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 메모리(142)는 본 명세서에 설명된 방식으로 프로세싱 챔버(100)의 동작을 제어하기 위해 실행되거나 또는 호출될 수 있는 소프트웨어(소스 또는 목적 코드)를 저장한다. 제어기(108)는 제1 성형 DC 전압 소스(159), 제2 성형 DC 전압 소스(161), 에지 링 IEDF 폭 제어 회로(155), 및/또는 기판 IEDF 폭 제어 회로(158)를 제어하도록 구성된다. 아래에서 논의되는 도 4a 내지 도 4d는 IEDF 폭 제어 모듈을 펄서들에 연결하는 상이한 구성들을 도시한다.
[0049] 기판 지지 조립체(104), 설비 플레이트(180), 기판 전극(109), 및 에지 링 전극(111)은 도 1에서 논의된 것과 동일할 수 있다. 에지 링 IEDF 폭 제어 회로(155)는 에지 링 전극(111)에 결합된다. 기판 IEDF 폭 제어 회로(158)는 기판 전극(109)에 결합된다. 일 실시예에서, 제1 성형 DC 펄스 전압 소스(159)는 에지 링 IEDF 폭 제어 회로(155) 및 기판 IEDF 폭 제어 회로(158) 중 하나 또는 둘 모두에 결합된다. 다른 실시예에서, 제1 성형 DC 전압 소스(159)는 에지 링 IEDF 폭 제어 회로(155)에 결합되고, 제2 성형 DC 전압 소스(161)는 기판 IEDF 폭 제어 회로(158)에 결합된다. 에지 링 IEDF 폭 제어 회로(155) 및 기판 IEDF 폭 제어 회로(158)는 독립적으로 튜닝 가능하다. 기판 전극(109)은 프로세싱 동안 정전 척(150)으로 기판(105)을 상부 표면(160)에 척킹하는 것을 용이하게 하기 위해 척킹 전력 소스(115)에 추가로 결합된다.
[0050] 프로세싱 챔버(200)의 작동 및 기판(105)의 프로세싱은 프로세싱 챔버(100)의 방식과 유사한 방식으로 수행될 수 있다. 일부 실시예들에서, 프로세싱 시스템 구성은 기판에 도달하는 플라즈마 여기 종의 유형 및 양을 제어하기 위해 프로세싱 챔버 내부에 포지셔닝된 이온 억제기를 포함한다. 일부 실시예들에서, 이온 억제기 유닛은 플라즈마 생성 유닛의 전극으로도 작용할 수 있는 천공된 플레이트이다. 이들 및 다른 실시예들에서, 이온 억제기는 기판과 접촉하는 반응 영역에 가스들 및 여기된 종을 분배하는 샤워헤드일 수 있다. 일부 실시예들에서, 이온 억제는 천공된 플레이트 이온 억제기 및 샤워헤드에 의해 구현되며, 이들 모두는 플라즈마 여기 종이 반응 영역에 도달하기 위해 통과한다.
[0051] 성형 DC 전압 소스(159)에 의해 기판(또는 웨이퍼(wafer))에 전압이 인가되면, 파형이 발생한다. 도 3a는 상이한 바이어스 전압 파형들을 도시한다. 파형은 2 개의 스테이지(stage)들: 이온 전류 스테이지 및 시스 붕괴 스테이지를 포함한다. 이온 전류 스테이지의 시작에서, 웨이퍼 전압의 강하는 기판에 양 이온들을 가속시키는 기판 위의 고전압 시스를 생성한다. 양 이온들은 기판 표면 상에 양 전하를 증착하고, 점진적으로 기판 전압을 양으로 증가시키는 경향이 있다. 성형 DC 전압 소스(159)에 의해 구형파가 공급되면, 기판을 향한 이온 전류는 트레이스(trace)(305)에 의해 도시된 바와 같이 기판 전압의 양의 기울기를 생성한다. 이온 전류 페이즈(phase)의 시작과 종료 사이의 전압 차이가 IEDF 폭을 결정한다. 전압 차이가 클수록, IEDF 폭이 넓어진다(도 3b). 단일 에너지 이온들 및 더 좁은 IEDF 폭을 달성하기 위해, 이온 전류 페이즈에서 기판 전압 파형(예를 들어, 트레이스(310))을 평평하게 하는 동작들이 수행된다. 일부 실시예들에서, 트레이스(315)의 기판 파형에 의해 도시된 바와 같이, 특정 IEDF 폭을 달성하기 위해 전압이 인가될 수 있다.
[0052] 이온 전류 스테이지의 종료에서, 기판 전압이 벌크 플라즈마 전압으로 상승하고 시스는 붕괴되어, 전자들이 플라즈마로부터 기판 표면으로 이동하고 기판 표면의 양 전하들을 중화시킨다. 결과적으로, 기판의 표면은 다음 사이클을 위해 재설정된다.
[0053] 일부 실시예들에서, 제1 및 제2 성형 DC 전압 소스들(159 및 161)은 포지티브(positive) 펄서들이다. 포지티브 펄서들은 시스 붕괴 스테이지에 해당하는 양 전압의 펄스들을 생성한다. 각각의 포지티브 펄스가 꺼지면, 이온 전류 스테이지가 시작된다. 일부 실시예들에서, 제1 및 제2 성형 DC 전압 소스들(159 및 161)은 네거티브(negative) 펄서들이다. 네거티브 펄서들은 이온 전류 스테이지에 해당하는 음 전압의 펄스들을 생성한다. 각각의 네거티브 펄스가 꺼지면, 시스 붕괴 스테이지가 시작된다.
예시적인 회로들
[0054] 도 4a는 예시적인 회로(465)의 개략도이다. 아래에 설명되는 바와 같이, 일부 실시예들에서, 도 4a에 예시된 예시적인 회로는 도 5a 및 도 6a의 회로도에 대응한다. 도 5a 및 도 6a는 예를 들어 제2 전력 모듈의 회로부에 의해 상이하다.
[0055] 예시적인 회로(465)는 직렬 인덕터(inductor)(468) 및 저항기(469)를 통해 제2 전력 모듈(470)에 직렬로 결합된 펄스 DC 전력 공급기(466)를 포함한다. 제2 전력 모듈(470)은 이온 에너지 분포 함수(IEDF)의 폭을 변조한다. 선택적 차단 커패시터(471)가 플라즈마 챔버 부하(472)와 회로(465)의 나머지 부분 사이에 존재할 수 있다. 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 조합에 의해 구현될 수 있는 도시되지 않은 제어기는 도 4a에 나타낸 다양한 컴포넌트들을 제어하기 위해 이용된다.
[0056] 성형 DC 전력 공급기(466)는 2 개의 전압 레벨(level)들 ― 저전압 레벨 및 고전압 레벨을 갖는 전압 파형을 생성한다. 저전압 레벨은 이온 전류 스테이지에 해당한다. 고전압 레벨은 시스 붕괴 스테이지에 해당한다. 이온 전류 스테이지에서, 제2 전력 모듈(470)은, 도 3a에서 트레이스들(305, 310 및 315)로서 도시된, 전압 대 시간의 기울기를 변조한다. 상이한 기울기들은 도 3b에 도시된 바와 같이 상이한 IEDF 폭들을 발생시킨다. 가장 평평한 기울기(트레이스(305), 도 3a)는 도 3b에서 가장 좁은 IEDF 폭에 해당한다.
[0057] 도 4b는 예시적인 회로(475)의 개략도이다. 도시된 바와 같이, 도 4b는 직렬 인덕터(468) 및 저항기(469)를 스위치(479)로 대체하는 것에 의해 도 4a와 상이하다. 스위치(479)는 펄스 DC 전력 공급기(476) 및 제2 전력 모듈(478)과 직렬로 연결된다. 이온 전류 스테이지 동안, 스위치(479)는 폐쇄된다. 시스 붕괴 스테이지 동안, 스위치는 개방되거나 또는 폐쇄될 수 있다. 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 조합에 의해 구현될 수 있는 도시되지 않은 제어기가 도 4b에 나타낸 다양한 컴포넌트들을 제어하기 위해 이용된다.
[0058] 도 4c는 예시적인 회로(485)의 개략도이다. 아래에 설명되는 바와 같이, 일부 실시예들에서, 도 4c에 예시된 예시적인 회로(485)는 도 7 및 도 8의 회로도들에 대응한다. 예시적인 회로(485)는 접지에 결합된 성형 DC 전압 소스(486)를 포함한다. 선택적 차단 커패시터(487)가 성형 DC 전압 소스(486)와 제2 전력 모듈(488) 사이에 존재할 수 있다. 제2 전력 모듈(488)은 IEDF의 폭을 변조한다. 제2 전력 모듈(488)은 플라즈마 챔버 부하(489)에 추가로 결합된다. 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 조합에 의해 구현될 수 있는 도시되지 않은 제어기가 도 4c에 나타낸 다양한 컴포넌트들을 제어하기 위해 이용된다.
[0059] 성형 DC 전압 소스(486)는 2 개의 전압 레벨들 ― 저전압 레벨 및 고전압 레벨을 갖는 전압 파형을 생성한다. 저전압 레벨은 이온 전류 스테이지에 해당한다. 고전압 레벨은 시스 붕괴 스테이지에 해당한다. 이온 전류 스테이지에서, 제2 전력 모듈(488)은 시간에 대한 전압 기울기를 생성한다. 기판 상의 결과적인 전압 파형은 성형 DC 전압 소스(486)와 변조될 수 있는 제2 전력 모듈(488)의 출력 전압의 합이며, 이에 따라 IEDF 폭은 변조된다.
[0060] 도 4d는 본 개시내용의 적어도 하나의 실시예에 따른 예시적인 회로(490)의 개략도이다. 아래에 설명되는 바와 같이, 일부 실시예들에서, 예시적인 회로(490)는 도 9 및 도 10의 회로도들에 대응한다. 예시적인 회로(490)는 접지에 결합된 성형 DC 전압 소스(491), 제2 전력 모듈(492), 및 기판 척킹 및 바이어스 보상 모듈(493)을 포함한다. 스위치(495)가 기판 척킹 및 바이어스 보상 모듈(493)과 직렬로 연결된다. 제2 전력 모듈(492) 및 기판 척킹 및 바이어스 보상 모듈(493)은 병렬로 연결되며, 일 단부는 성형 DC 전압 소스(491)에 결합되고 다른 단부는 플라즈마 챔버 부하(494)에 결합된다. 제2 전력 모듈(492)은 IEDF의 폭을 변조한다. 제2 전력 모듈(492) 및 기판 척킹 및 바이어스 보상 모듈(493)은 플라즈마 챔버 부하(494)에 추가로 결합된다. 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 조합에 의해 구현될 수 있는 도시되지 않은 제어기는 도 4d에 나타낸 다양한 컴포넌트들을 제어하기 위해 이용된다.
[0061] 성형 DC 전압 소스(491)는 2 개의 전압 레벨들 ― 저전압 레벨 및 고전압 레벨을 갖는 전압 파형을 생성한다. 저전압 레벨은 이온 전류 스테이지에 해당한다. 고전압 레벨은 시스 붕괴 스테이지에 해당한다. 이온 전류 스테이지에서, 제2 전력 모듈(492)은 시간에 대한 전압 기울기를 생성한다. 기판 상의 결과적인 전압 파형은 성형 DC 전압 소스(491)와 변조될 수 있는 제2 전력 모듈(492)의 출력 전압의 합이고, 이에 따라 IEDF 폭이 변조된다. 스위치(495)는 척킹 및 바이어스 보상 모듈(493)이 플라즈마 챔버 부하의 전압을 변조하지 않도록 이온 전류 스테이지에서 개방된다. 시스 붕괴 스테이지에서, 스위치(495)는 폐쇄되고, 척킹 및 바이어스 보상 모듈(493)은 기판 척킹 전압을 설정점으로 재설정한다.
[0062] 도 5a는 기판 지지 조립체(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(500)의 실시예를 예시하는 개략적인 회로도이다. 회로(500)는 각각의 이온 전류 페이즈의 시작에서 기판 전압(도 3a의 전압 드룹(droop)에 대응함)을 재설정하기 위한 메인 펄서(502)를 포함한다. 메인 펄서(502)는 접지(501)에 결합된 제1 또는 제2 성형 DC 전압 소스(159, 161)일 수 있다. 메인 펄서(502)는 전류 귀환 경로(503)에 결합된다. 전류 귀환 경로(503)는 저항기(506)와 직렬로 IEDF 폭 제어 모듈(508)(예를 들어, 도 4a 및 도 4b의 제2 전력 모듈)에 결합된 인덕터(504)를 포함한다. IEDF 폭 제어 모듈(508)은 이온 에너지 분포 함수(IEDF) 폭을 변조한다.
[0063] IEDF 폭 제어 모듈(508)은 스위치(512)와 병렬로 결합된 트랜지스터-트랜지스터 로직(TTL) 신호(510), 선택적 다이오드(514), 접지(517)에 결합된 선택적 커패시턴스(516), 및 제3 성형 DC 펄스 전압 소스(518)를 포함하는 회로로서 모델링될 수 있다. 다이오드(514)는 스위치(512) 및 제3 성형 DC 펄스 전압 소스(518)를 보호하기 위한 플라이백(flyback) 다이오드이다. 일부 실시예들에서, 커패시턴스(520)는 전류 귀환 경로(503)와 챔버 커패시턴스(536) 사이에 존재한다. 커패시턴스(536)는 예를 들어 기판 전극(109)과 기판 사이, 또는 에지 링 전극(111)과 에지 링 사이의 임피던스(impedance)일 수 있다. 일부 실시예들에서, 커패시턴스는 또한 기판 척킹 및 바이어스 보상 모듈(522)에 결합된다.
[0064] 기판 척킹 및 바이어스 보상 모듈(522)은 저항기(526)에 직렬로 결합된 다이오드(524), 및 DC 전압 소스(528), 및 커패시턴스(532) 및 접지(534)에 직렬로 결합된 저항기(530)을 포함하는 회로이다. 커패시턴스(536)는 부유 커패시턴스(538) 및 플라즈마 시스(540)에 추가로 결합된다. 기판 척킹 및 바이어스 보상 모듈(522)은 부유 커패시턴스(538)에 추가로 결합된다. 플라즈마 시스(540)는 전류 소스(544)와 병렬로 결합된 시스 커패시턴스(542) 및 접지(548)에 결합된 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 시스 모델). 일부 실시예들에서, 전류 귀환 경로의 직렬 인덕터(504) 및 저항기(506)는 스위치(179)(도 4b)로 대체될 수 있다. 스위치(179)는 이온 전류 스테이지 동안 폐쇄된다.
[0065] 사용 시에, 도 5a에 예시된 구성에 대해, 제3 성형 DC 펄스 전압 소스(518)는 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브(knob)로서 작용한다. 스위치(512)는, 도 5b의 플롯(550)에 도시된 바와 같이, 메인 펄서(502)와 동기화된 TTL 신호(510)에 의해 제어된다. 스위치(512)는 메인 펄서(502)의 전압이 상승하여 시스 붕괴 스테이지에 들어가기 전에 폐쇄될 수 있다. 스위치(512)는 전류 귀환 경로(503)를 접지에 연결하기 위해 시스 붕괴 스테이지 동안 폐쇄된 상태로 유지될 수 있다. 메인 펄서(502)의 전압이 하강하여 이온 전류 스테이지에 들어간 후, 스위치(512)는 제3 성형 DC 펄스 전압 소스(518)가 이온 전류 스테이지 동안 IEDF를 변조하게 기능하도록 개방될 수 있다. 선택적인 커패시턴스(516)는 제3 성형 DC 펄스 전압 소스(518)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 커패시턴스(542)는 상이한 프로세스 조건들에서 상이한 플라즈마 시스 커패시턴스이고, 전류 소스(544)는 역시 가변적인 기판을 향한 이온 전류이다. 커패시턴스(536) 및 부유 커패시턴스(538)는 챔버와 관련된 커패시턴스들이며, 일정하다. 커패시턴스(520)는 차단 커패시터이며, 또한 일정하다.
[0066] 도 5c 및 도 5d에 도시된 바와 같이, 이온 전류 스테이지 동안 그리고 IEDF 폭 제어 모듈(508)(도 5a)이 기판 또는 에지 링 파형을 능동적으로 제어하고 있을 때, 회로 모델의 활성 컴포넌트들은 이온 전류(544)(I0), 시스 커패시턴스(542)(C1), 챔버 커패시턴스(536)(C2), 부유 커패시턴스(538)(C3), 차단 커패시턴스(520)(C4), 및 제3 성형 DC 펄스 전압 소스(518)(V1)와 병렬인 선택적 커패시턴스(516)(C5)를 포함한다. 전류 귀환 경로의 인덕터(504) 및 저항기(506)는 IEDF 폭 변조에 거의 영향을 미치지 않기 때문에, 인덕터(504) 및 저항기(506)는 도 5c의 제어 회로(560) 및 도 5d의 제어 회로(570)에서 단락으로 취급된다.
[0067] IEDF를 확장시키기 위한 고유 인자는, 기판의 전압이 점진적으로 증가하고 기판에 충돌하는 이온 에너지가 감소하도록(예를 들어, 도 3a의 트레이스(305)) 기판 상에 양 전하들을 증착하는 이온 전류(I0)이다. IEDF 확장의 양은, 예를 들어, 제어 회로들(560, 570)에서의 이온 전류(I0), 시스 커패시턴스(C1), 및/또는 챔버와 연관된 다른 커패시턴스들(C2, C3 및 C4) 및 전력 공급 모듈(V1 및 C5)에 따라 달라진다. IEDF 확장의 이온 전류 효과를 보상하고 그리고/또는 IEDF 폭의 능동 제어를 갖기 위해, 이 제어 회로(도 5c)의 모든 컴포넌트들의 값들이 결정된다. 챔버 및 전력 공급 모듈과 연관된 커패시턴스들(C2 내지 C5)은 제품 사양 시트 또는 챔버 부품 치수들을 사용한 추정에 의해, 또는 멀티미터(multimeter)를 사용하여 임피던스를 직접 측정하거나, 또는 S-파라미터 또는 Z-파라미터 측정들로부터 커패시턴스 값을 추출하는 것과 같은 사전 측정에 의해 결정될 수 있다. 이온 전류(I0) 및 시스 커패시턴스(C1)는 변하는 플라즈마 프로세스 조건들에서 변하며, 플라즈마 프로세스 동안 실시간 측정을 통해 결정된다. 성형 DC 펄스 전압 소스(V1)는 톱니 형상의 전압 출력을 갖는다(도 5e). 전압 출력의 기울기(dV1/dt)는 이온 전류(I0) 및 시스 커패시턴스(C1)를 결정하고, 및/또는 IEDF 폭을 변조하기 위해 변경될 수 있다. 도 5a의 구성에서, 트레이스(584)가 도시하는 바와 같이, 시스 붕괴 스테이지에서 성형 DC 펄스 전압 소스(V1)의 출력 전압은 0 이다. 트레이스들(582 및 586)은 아래에서 논의되는 바와 같이 성형 DC 펄스 전압 소스(V1)에 대한 다른 가능한 파형을 도시한다.
[0068] IEDF 변조 방법은 2 개의 부분들: (1) 이온 전류(I0) 및 시스 커패시턴스(C1)를 결정하는 단계, 및 (2) 목표 IEDF 폭을 달성하기 위해 성형 DC 펄스 전압 소스의 기울기(dV1/dt)를 결정하는 단계를 포함한다. 기판(105) 또는 에지 링(106)에 전력을 공급하는 성형 DC 전압 소스(159 또는 161) 및 톱니형의(saw-like) 전압 소스(V1)를 사용하면, 기판 또는 에지 링에서의 IEDF 폭은 이온 전류 스테이지의 시작부터 종료까지 기판 또는 에지 링 전압의 변화이다(도 3a 및 도 3b). 도 5c의 제어 회로(560) 및 도 5d의 제어 회로(570)에서, IEDF 폭은 이온 전류 스테이지의 시작부터 종료까지의 시스 커패시턴스(C1)에 걸리는 전압의 변화에 해당하며, 이는 시스 커패시턴스(C1)를 통하는 충전 또는 방전 전류(I1)에 의해 결정된다:
ΔV = I1*T/C1 (1)
여기서 ΔV는 IEDF 폭이고, T는 이온 전류 스테이지의 지속 시간(time duration)이다. 목표 IEDF 폭(ΔV)을 얻기 위해, 시스 커패시턴스(C1) 및 시스 커패시턴스를 통한 원하는 전류(I1)가 결정되어야 한다.
[0069] 시스 커패시턴스(C1) 및 이온 전류(I0)를 결정하기 위해, 제어 회로에서의 전류들과 전압들의 관계들이 분석된다. 도시된 바와 같이, 커패시터들(C1 내지 C4)을 통과하는 전류들은 I1 내지 I4로 지칭되며, 회로도의 화살표들은 양의 방향을 가리킨다. 키르히호프(Kirchhoff)의 전류 법칙에 기초하여, 이온 전류(I0)는 커패시터들(C1 및 C2)을 통한 전류들의 합과 같다:
I0 = I1 + I2 (2)
[0070] 커패시터(C2)를 통한 전류는 커패시터들(C3 및 C4)을 통한 전류들의 합과 같다:
I2 = I3 + I4 (3)
[0071] 키르히호프의 전압 법칙에 기초하여, C1, C2 및 C3의 폐쇄 루프의 전압 합은 0 이다. C1, C2 및 C3의 전압 합의 시간 미분도 또한 0 이다. 커패시터들(C2 및 C3)의 교차점에서 전압을 V3으로 표시한다. 커패시터(C3)에 걸리는 전압의 시간 미분은 dV3/dt = I3/C3이다. 커패시터들(C1 및 C2)에 대해서도 유사한 관계들이 존재하며, 키르히호프의 전압 법칙은 방정식 (4)를 제공한다:
I1/C1 = I2/C2 + I3/C3 (4)
[0072] 커패시터들(C3 및 C4) 및 전압 소스(V1)의 폐쇄 루프에 키르히호프의 전압 법칙을 적용하면 방정식 (5)가 제공된다:
I3/C3 = I4/C4 + dV1/dt (5)
[0073] 방정식들 (2) 내지 (5)에서, C2, C3 및 C4는 제품 사양 시트 또는 챔버 부품 치수들을 기초로 한 추정에 의해, 또는 멀티미터를 사용하여 임피던스를 직접 측정하거나, 또는 S-파라미터 또는 Z-파라미터 측정들로부터 커패시턴스 값을 추출하는 것과 같은 사전 측정에 의해 사전에 결정된다. 전류(I4)는 전류 프로브(probe)들 및/또는 통합 전압-전류(VI) 센서들과 같은 센서들에 의해 직접 측정될 수 있다. 전압(V3)은 전압 프로브들 및/또는 통합 VI 센서들과 같은 센서들에 의해 직접 측정될 수 있다. 전류(I3)는 I3 = C3*dV3/dt로 계산될 수 있다. 전압 기울기(dV1/dt)는 0 또는 1 볼트/나노초(V/nsec)와 같이 사용자 제어될 수 있고 알려져 있다. 성형 DC 펄스 전압 소스(V1)를 2 개의 상이한 기울기들(dV1/dt 및 dV1'/dt)로 설정함으로써, 전류들(I4, I4') 및 전압의 시간 미분들(dV3/dt, dV3'/dt)이 결정될 수 있다. 2 개의 기울기들(dV1/dt 및 dV1'/dt)에서 방정식들 (2) 내지 (5)의 세트는 8 개의 방정식들을 형성하고, 이 방정식들을 풀어 시스 커패시턴스를 제공할 수 있고:
Figure pct00001
(6)
그리고 이온 전류를 제공할 수 있다:
Figure pct00002
(7)
[0074] 목표 IEDF 폭(ΔV)을 얻기 위해, 시스 커패시터(C1)를 통한 총 전류는 다음과 같다:
I1 = C1*ΔV/T (8)
[0075] 방정식들 (6) 내지 (8)을 방정식들 (2) 내지 (5)에 대입하면 IEDF 폭(ΔV)을 달성하기 위한 톱니형의 전압 소스(V1)의 전압 기울기가 제공된다:
Figure pct00003
(9)
[0076] 가장 좁은 IEDF(ΔV=0)의 경우, 톱니형의 전압 소스(V1)의 전압 기울기는 다음과 같다:
Figure pct00004
(10)
[0077] 도 6a는 기판 지지 조립체(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(600)의 실시예를 예시하는 개략적인 회로도이다. 회로(600)는 각각의 이온 전류 페이즈의 시작에서 기판 전압(도 3a의 전압 드룹에 대응함)을 재설정하기 위한 메인 펄서(502)를 포함한다. 메인 펄서(502)는 접지(501)에 결합된 제1 또는 제2 성형 DC 전압 소스(159, 161)일 수 있다. 메인 펄서(502)는 전류 귀환 경로(503)에 결합된다. 전류 귀환 경로(503)는 저항기(506)와 IEDF 폭 제어 모듈(602)(예를 들어, 도 4a 및 도 4b의 제2 전력 모듈)에 직렬로 결합된 인덕터(504)를 포함한다. IEDF 폭 제어 모듈(602)은 IEDF 폭을 변조한다. 도 5a의 구성과 상이한 IEDF 폭 제어 모듈(602)은 스위치(512)와 병렬로 결합된 TTL 신호(510), 다이오드(514), 접지(517)에 연결된 선택적 커패시턴스(516), 및 저항기(606)에 직렬로 결합된 DC 전압 소스(604)를 포함하는 회로로서 모델링될 수 있다. 다이오드(514)는 스위치(512) 및 DC 전압 소스(604)를 보호하기 위한 플라이백 다이오드이다. 일부 실시예들에서, 차단 커패시턴스(520)는 전류 귀환 경로(503)와 챔버 커패시턴스(536) 사이에 존재한다. 커패시턴스(536)는 예를 들어 기판 전극(109)과 기판 사이, 또는 에지 링 전극(111)과 에지 링 사이의 임피던스일 수 있다. 일부 실시예들에서, 기판 척킹 및 바이어스 보상 모듈(522)이 또한 차단 커패시턴스(520) 및 챔버 커패시턴스(536)에 결합된다. 기판 척킹 및 바이어스 보상 모듈(522)은 부유 커패시턴스(538)에 추가로 결합된다. 기판 척킹 및 바이어스 보상 모듈(522)은, 저항기(526)에 직렬로 결합된 다이오드(524), 및 DC 전압 소스(528), 및 커패시턴스(532) 및 접지(534)에 직렬로 결합된 저항기(530)을 포함하는 회로이다.
[0078] 플라즈마 시스(540)는 전류 소스(544)와 병렬로 결합된 시스 커패시턴스(542) 및 접지(548)에 결합된 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 시스 모델).
[0079] 사용 시, 도 6a에 예시된 구성의 경우, 제3 성형 DC 펄스 전압 소스(518)가 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용하는 도 5a의 구성과 다르게, DC 전압 소스(604)는 저항기(606)와 함께 이온 전류 스테이지에서 기판 또는 에지 링 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(512)는 도 5b에 도시된 바와 같이 메인 펄서(502)와 동기화된 TTL 신호(510)에 의해 제어될 수 있다. 스위치(512)는 메인 펄서(502)의 전압이 상승하여 시스 붕괴 스테이지에 들어가기 전에 폐쇄될 수 있다. 스위치(512)는 전류 귀환 경로(503)를 접지에 연결하기 위해 시스 붕괴 스테이지 동안 폐쇄된 상태로 유지될 수 있다. 메인 펄서(502)의 전압이 하강하여 이온 전류 스테이지에 들어간 후, 스위치(512)가 개방되어, DC 전압 소스(604)는 이온 전류 스테이지에서 IEDF를 변조하도록 기능한다. 선택적 커패시턴스(516)는 DC 전압 소스(604)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 커패시턴스(542)는 플라즈마 시스 커패시턴스이고 가변적이다. 전류 소스(544)는 기판을 향한 이온 전류이며 또한 변한다. 커패시턴스(536)는 예를 들어 기판 전극(109)과 기판 사이, 또는 에지 링 전극(111)과 에지 링 사이의 커패시턴스일 수 있다. 커패시턴스(538)는 기판 전극(109)과 접지 사이, 또는 에지 링 전극(111)과 접지 사이의 커패시턴스일 수 있다. 커패시턴스(520)는 차단 커패시터이고 또한 일정하다.
[0080] 도 6b 및 도 6c에 도시된 바와 같이, 이온 전류 스테이지 동안 그리고 IEDF 폭 제어 모듈(602)(도 6a)이 기판 또는 에지 링 파형을 능동적으로 제어하고 있을 때, 회로 모델의 활성 컴포넌트들은 이온 전류(544)(I0), 시스 커패시턴스(542)(C1), 챔버 커패시턴스(536)(C2), 부유 커패시턴스(538)(C3), 차단 커패시턴스(520)(C4), 및 DC 전압 소스(604)(V0) 및 저항기(606)(R)와 병렬인 선택적 커패시턴스(516)(C5)를 포함한다. 전류 귀환 경로의 인덕터(504) 및 저항기(506)는 IEDF 폭 변조에 거의 영향을 미치지 않기 때문에, 인덕터(504) 및 저항기(506)는 도 6b의 제어 회로(650) 및 도 6c의 제어 회로(660)에서 단락으로 취급된다. 도 6a의 제어 회로는 도 6b에 도시되어 있는 한편 아래에서 설명되는 도 7의 제어 회로는 도 6c에 도시되어 있다.
[0081] IEDF를 확장시키기 위한 고유 인자는, 기판의 전압이 점진적으로 증가하고 기판에 충돌하는 이온 에너지가 감소하도록(도 3a의 트레이스(305)) 기판 상에 양 전하들을 증착하는 이온 전류(I0)이다. IEDF 확장의 양은, 예를 들어, 각각, 도 6b 및 도 6c의 제어 회로(650) 및 제어 회로(660)에서의 이온 전류(I0), 시스 커패시턴스(C1) 및 챔버와 연관된 다른 커패시턴스(C2, C3 및 C4), 및 전력 공급 모듈(V0, R 및 C5)에 따라 달라진다. IEDF 확장의 이온 전류 효과를 보상하고 IEDF 폭의 능동 제어를 갖기 위해, 제어 회로(650) 및 제어 회로(660)의 모든 컴포넌트들의 값들이 결정된다. 챔버 및 전력 공급 모듈과 연관된 커패시턴스들(C2 내지 C5)은 제품 사양 시트 또는 챔버 부품 치수들을 사용한 추정에 의해, 또는 멀티미터를 사용하여 임피던스를 직접 측정하거나, 또는 S-파라미터 또는 Z-파라미터 측정들로부터 커패시턴스 값을 추출하는 것과 같은 사전 측정에 의해 결정될 수 있다. 저항기(R)는 또한 제품 사양 시트 또는 멀티미터를 사용한 직접 측정에 의해 사전에 결정된다. 이온 전류(I0) 및 시스 커패시턴스(C1)는 변하는 플라즈마 프로세스 조건들에서 변하며, 플라즈마 프로세스 동안 실시간 측정에 의해 결정된다. DC 전압 소스(V0)는 능동 제어 노브이며, 이온 전류(I0)를 결정하고, 시스 커패시턴스(C1)를 결정하고, 및/또는 IEDF 폭을 변조하기 위해 변경될 수 있다.
[0082] IEDF 변조 방법은 2 개의 부분들: (1) 이온 전류(I0) 및 시스 커패시턴스(C1)를 결정하는 단계, 및 (2) 목표 IEDF 폭을 달성하기 위한 DC 전압(V0)을 결정하는 단계를 포함한다. IEDF 폭은 이온 전류 스테이지의 시작부터 종료까지의 기판 또는 에지 링 전압의 확산이다(도 3a 및 도 3b). 도 6b의 제어 회로(650) 및 도 6c의 제어 회로(660)에서, IEDF 폭은 이온 전류 스테이지의 시작부터 종료까지 시스 커패시턴스(C1)에 걸리는 전압의 변화에 해당하며, 이는 시스 커패시턴스(C1)를 통하는 충전 또는 방전 전류(I1)에 의해 결정된다:
Figure pct00005
(11)
여기서 ΔV는 IEDF 폭이고, T는 이온 전류 스테이지의 지속 시간이다. 목표 IEDF 폭(ΔV)을 얻기 위해, 시스 커패시턴스(C1) 및 시스 커패시턴스를 통한 원하는 전류(I1)가 결정되어야 한다.
[0083] 시스 커패시턴스(C1) 및 이온 전류(I0)를 결정하기 위해, 제어 회로의 전류들과 전압들의 관계들이 분석된다. 여기서, 예를 들어, 커패시터들(C1 내지 C5)을 통과하는 전류들은 I1 내지 I5라고 지칭되며, 회로도의 화살표들은 양의 방향을 가리킨다. 커패시터들(C2 및 C3)의 교차점에서의 전압은 V3이다. Vth로 표시되는 DC 전압 소스(V0)에 대한 임계 전압이 존재하고, 이 임계 전압 미만에서 다이오드(D3)는 IEDF 폭 제어 모듈의 출력 전압이 0이 되도록 일련의 DC 전압 소스(V0) 및 저항기(R)를 바이패스(bypass)한다. Vth는 플라즈마 조건에 따라 달라지며, 예를 들어 전류(I4) 또는 전압(V3)이 DC 전압 출력(V0)에 의해 영향을 받는 지점까지 DC 전압(V0)을 점진적으로 증가시킴으로써 실험적으로 결정될 수 있다.
[0084] V0 ≤ Vth의 경우, 키르히호프의 전류 법칙에 기초하여, 이온 전류(I0)는 커패시터들(C1 및 C2)을 통한 전류들의 합과 같다:
I0 = I1 + I2 (12)
[0085] 커패시터(C2)를 통한 전류는 커패시터들(C3 및 C4)을 통한 전류들의 합과 같다:
I2 = I3 + I4 (13)
[0086] 키르히호프의 전압 법칙에 기초하여, C1, C2 및 C3의 폐쇄 루프의 전압 합은 0 이다. C1, C2 및 C3의 전압 합의 시간 미분도 또한 0 이다. 커패시터(C3)에 걸리는 전압의 시간 미분은 dV3/dt = I3/C3 이다. 커패시터들(C1 및 C2)에 대해서도 동일한 관계들이 성립된다. 커패시터들(C1 및 C2)에 대한 키르히호프의 전압 법칙을 사용하면 방정식 (14)가 제공된다:
I1/C1 = I2/C2 + I3/C3 (14)
[0087] 키르히호프의 전압 법칙을 커패시터들(C3 및 C4)의 폐쇄 루프, 그리고 다이오드 바이패스 IEDF 폭 제어 모듈에 적용하면, 방정식 (15)가 제공된다:
I3/C3 = I4/C4 (15)
[0088] V0 > Vth의 경우, 방정식들 (12) 내지 (14)는 여전히 성립된다. 커패시터들(C3, C4 및 C5)의 폐쇄 루프에 키르히호프의 전압 법칙을 적용하면 방정식 (16)이 제공된다:
I3/C3 = I4/C4 + I5/C5 (16)
[0089] 커패시터(C5), DC 전압 소스(V0), 및 저항기(R)의 폐쇄 루프에 키르히호프의 전압 법칙을 적용하면 방정식 (17)이 제공된다:
Figure pct00006
(17)
여기서 (I4-I5)는 다이오드(D3)가 비활성 상태일 때 DC 전압 소스(V0) 및 저항기(R)를 통한 전류이다.
[0090] 일부 실시예들에서는, 커패시터(C5)가 없다. 이러한 경우들에서, 방정식 (17)은 없고 방정식 (16)은 아래가 된다:
I3/C3 = I4/C4 + R*dI4/dt (18)
[0091] 방정식들 (12) 내지 (18)에서, C2, C3, C4, C5는 제품 사양 시트 또는 챔버 부품 치수들에 기초한 추정에 의해, 또는 멀티미터를 사용하여 임피던스를 직접 측정하거나, 또는 S-파라미터 또는 Z-파라미터 측정들로부터 커패시턴스 값을 추출하는 것과 같은 사전 측정에 의해 사전에 결정된다. 전류(I4)는 전류 프로브들 및/또는 통합 VI 센서들과 같은 센서들에 의해 직접 측정될 수 있다. 전압(V3)은 전압 프로브들 및/또는 통합 VI 센서들과 같은 센서들에 의해 직접 측정될 수 있다. 전류(I3)는 I3 = C3*dV3/dt로 계산될 수 있다. DC 전압(V0)은 DC 전압 출력(V0)을 0 내지 수 kV의 값으로 설정하는 것과 같이 사용자 제어되고 알려져 있다. DC 전압(V0)을 2 개의 상이한 값들(V0 및 V0')로 설정하고, 그 중 적어도 하나는 임계 전압(Vth) 초과로 설정함으로써, 전류들(I4, I4') 및 전압의 시간 미분들(dV3/dt, dV3'/dt)이 결정될 수 있다. 방정식들 (12) 내지 (18) 세트를 풀면 시스 커패시턴스(C1)가 제공되고:
Figure pct00007
(19)
그리고 이온 전류(I0)가 제공된다:
I0 = (C1/C2 + C1/C3 + 1)*I3 + (C1/C2 + 1)*I4 (20)
[0092] 방정식들 (12) 내지 (18) 세트에 시스 커패시턴스(C1) 및 이온 전류(I0)를 대입하면, 임의의 DC 전압(V0)에 대해 전류들(I1 내지 I5)이 계산될 수 있다.
[0093] 알려진 커패시턴스들(C1 내지 C5), 저항기(R), 및 DC 전압(V0)에 의해 방정식 (11)에 I1의 표현을 대입하면, IEDF 폭(ΔV)과 DC 전압(V0) 사이의 관계를 얻을 수 있다. 따라서, 목표 IEDF 폭(ΔV)에 대해, 요구되는 DC 전압(V0)이 결정된다.
[0094] 일부 실시예들에서, 저항기(R)는 충분히 크고(예를 들어, 약 10 kΩ), DC 전압 소스(V0)를 통한 전류는 이온 전류 스테이지에서 대략 시간 상수이고 V0/R과 같다. 이들 실시예들에서, 방정식 (17)은 아래가 된다:
I4 = I5 + V0/R (21)
[0095] 방정식들 (12), (13), (14), (16) 및 (21)을 풀면 시스 커패시터(C1)를 통한 총 전류가 방정식 (22)로 제공된다:
Figure pct00008
(22)
여기서,
Figure pct00009
[0096] 이 대략적인 정전류(I1)의 경우에 대한 방정식 (8)을 사용하여, 목표 IEDF 폭(ΔV)을 얻기 위해 사용되는 DC 전압(V0)은 방정식 (23)을 사용하여 찾을 수 있다:
Figure pct00010
(23)
[0097] 가장 좁은 IEDF(ΔV=0)의 경우, DC 전압(V0)은 다음과 같다:
Figure pct00011
(24)
[0098] 도 7은 기판 지지 조립체(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(700)의 실시예를 예시하는 개략적인 회로도이다. 회로(700)는 각각의 이온 전류 페이즈의 시작에서 기판 전압(도 3a의 전압 드룹에 대응함)을 재설정하기 위한 메인 펄서(502)를 포함한다. 메인 펄서(502)는 접지(501)에 결합된 제1 또는 제2 성형 DC 전압 소스(159, 161)일 수 있다. 메인 펄서(502)는 커패시턴스(701)를 통해 또는 직접 IEDF 폭 제어 모듈(702)(예를 들어, 도 4c의 제2 전력 모듈)에 결합된다.
[0099] IEDF 폭 제어 모듈(702)은 스위치(706)와 병렬로 결합된 TTL 신호(704)를 포함하는 회로로 모델링될 수 있다. TTL 신호(704)는 접지(716)와 직렬로 결합된다. 스위치(706)는 다이오드(708), DC 전압 소스(710), 및 선택적 커패시턴스(714)에 병렬로 결합된다. DC 전압 소스(710)는 저항기(712)에 직렬로 결합된다. IEDF 폭 제어 모듈(702)은 챔버 커패시턴스(536)에 결합된다. 커패시턴스(536)는 예를 들어 기판 전극(109)과 기판 사이, 또는 에지 링 전극(111)과 에지 링 사이의 임피던스일 수 있다. 일부 실시예들에서, IEDF 폭 제어 모듈(702)은 또한 위에서 논의된 기판 척킹 및 바이어스 보상 모듈(522)에 결합된다. 기판 척킹 및 바이어스 보상 모듈(522)은 부유 커패시턴스(538)에 추가로 결합된다. 기판 척킹 및 바이어스 보상 모듈(522)은 저항기(526)에 직렬로 결합된 다이오드(524), 및 DC 전압 소스(528), 및 커패시턴스(532) 및 접지(534)에 직렬로 결합된 저항기(530)을 포함하는 회로이다. 다이오드(708)는 스위치(706) 및 DC 전압 소스(710)를 보호하기 위한 플라이백 다이오드이다.
[0100] 플라즈마 시스(540)는 전류 소스(544)와 병렬로 결합된 시스 커패시턴스(542) 및 접지(548)에 결합된 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 시스 모델).
[0101] 사용 시, 도 7에 도시된 구성의 경우, DC 전압 소스(710)는 저항기(712)와 함께 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(706)는 도 5b의 플롯에 도시된 바와 같이, 메인 펄서(502)와 동기화된 TTL 신호(704)에 의해 제어될 수 있다. 스위치(706)는 메인 펄서(502)의 전압이 상승하여 시스 붕괴 스테이지에 들어가기 전에 폐쇄될 수 있다. 스위치(512)는 시스 붕괴 스테이지 동안 폐쇄된 상태로 유지될 수 있다. 메인 펄서(502)의 전압이 하강하여 이온 전류 스테이지에 들어간 후, 스위치(706)는 DC 전압 소스(710)가 이온 전류 스테이지에서 IEDF를 변조하게 기능하도록 개방될 수 있다. 선택적 커패시턴스(714)는 DC 전압 소스(710)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 도 7의 제어 메커니즘은 도 6a의 제어 메커니즘과 유사하다. 한 가지 차이점은 도 7의 제어 회로가 위에서 설명된 도 6c에 도시되어 있고, 도 6a의 제어 회로는 도 6b에 도시되어 있다는 점이다.
[0102] 도 8은 기판 지지 조립체(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(800)의 실시예를 예시하는 개략적인 회로도이다. 회로(800)는 각각의 이온 전류 페이즈의 시작에서 기판 전압(도 3a의 전압 드룹에 대응함)을 재설정하기 위한 메인 펄서(502)를 포함한다. 메인 펄서(502)는 접지(501)에 결합된 제1 또는 제2 성형 DC 전압 소스(159, 161)일 수 있다. 메인 펄서(502)는 직접적으로 또는 커패시턴스(701)를 통해 IEDF 폭 제어 모듈(802)(예를 들어, 도 4c의 제2 전력 모듈)에 결합된다.
[0103] IEDF 폭 제어 모듈(802)은 스위치(706)와 병렬로 결합된 TTL 신호(704)를 포함하는 회로로 모델링될 수 있다. TTL 신호(704)는 또한 접지(716)와 직렬로 결합된다. 스위치(706)는 다이오드(708), 제3 성형 DC 펄스 전압 소스(804), 및 선택적 커패시턴스(714)에 병렬로 결합된다. IEDF 폭 제어 모듈(802)은 챔버 커패시턴스(536)에 결합된다. 커패시턴스(536)는 예를 들어 기판 전극(109)과 기판 사이, 또는 에지 링 전극(111)과 에지 링 사이의 임피던스일 수 있다. 일부 실시예들에서, IEDF 폭 제어 모듈(802)은 또한 위에서 논의된 기판 척킹 및 바이어스 보상 모듈(522)에 결합된다. 기판 척킹 및 바이어스 보상 모듈(522)은 저항기(526)에 직렬로 결합된 다이오드(524), DC 전압 소스(528), 및 커패시턴스(532) 및 접지(534)에 직렬로 결합된 저항기(530)를 포함하는 회로이다. 다이오드(708)는 스위치 및 제3 성형 DC 펄스 전압 소스(804)를 보호하기 위한 플라이백 다이오드이다.
[0104] 기판 척킹 및 바이어스 보상 모듈(522)은 챔버 커패시턴스(536)에 추가로 결합된다. 플라즈마 시스(540)는 전류 소스(544)와 병렬로 결합된 시스 커패시턴스(542) 및 접지(548)에 결합된 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 시스 모델).
[0105] 사용 시, 도 8에 도시된 구성의 경우, DC 전압 소스(710)가 저항기(712)와 함께 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용하는 도 7의 구성과 다르게, 제3 성형 DC 펄스 전압 소스(804)는, 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(706)는 도 5b의 플롯에 도시된 바와 같이 메인 펄서(502)와 동기화된 TTL 신호(704)에 의해 제어될 수 있다. 스위치(706)는 메인 펄서(502)의 전압이 상승하여 시스 붕괴 스테이지에 들어가기 전에 폐쇄될 수 있다. 스위치(706)는 시스 붕괴 스테이지 동안 폐쇄된 상태로 유지된다. 메인 펄서(502)의 전압이 하강하여 이온 전류 스테이지에 들어간 후, 스위치(706)는 제3 성형 DC 펄스 전압 소스(804)가 이온 전류 스테이지에서 IEDF를 변조하게 기능하도록 개방될 수 있다. 선택적인 커패시턴스(714)는 제3 성형 DC 펄스 전압 소스(804)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 도 8의 제어 메커니즘은 도 5a의 제어 메커니즘과 유사하다. 한 가지 차이점은, 도 8의 제어 회로가 위에서 설명된 도 5d에 도시되어 있고, 도 5a의 제어 회로는 도 5c에 도시되어 있다는 점이다.
[0106] 도 5a, 도 6a, 도 7, 및 도 8에 도시된 구성들의 경우, 기판 척킹 및 바이어스 보상 모듈은 본 명세서에 설명된 실시예들의 범위를 벗어나지 않고 임의의 적절한 방식으로 회로에 연결될 수 있음이 고려된다. 또한, 기판 척킹 및 바이어스 보상 모듈은 본 명세서에 설명된 실시예들의 범위를 벗어나지 않고 추가적인 또는 상이한 컴포넌트들을 포함할 수 있음이 고려된다.
[0107] 도 9는 기판 지지 조립체(104)의 기판 전극(109) 및/또는 에지 링 전극(111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(900)의 실시예를 예시하는 개략적인 회로도이다. 회로(900)는 각각의 이온 전류 페이즈의 시작에서 기판 전압(도 3a의 전압 드룹에 대응함)을 재설정하기 위한 메인 펄서(502)를 포함한다. 메인 펄서(502)는 접지(501)에 결합된 제1 또는 제2 성형 DC 펄스 전압 소스(159, 161)일 수 있다. 메인 펄서(502)는 IEDF 폭 제어 모듈(902)(예를 들어, 도 4d의 제2 전력 모듈)에 결합된다.
[0108] IEDF 폭 제어 모듈(902)은 스위치(906)와 병렬로 결합된 TTL 신호(904)를 포함하는 회로로 모델링될 수 있다. TTL 신호(904)는 또한 접지(916)와 직렬로 결합된다. 스위치(906)는 다이오드(908)에 병렬로 결합된다. TTL 신호(904), 스위치(906), 및 다이오드(908)의 조합은 기판 척킹 및 바이어스 보상 모듈(920)이 회로의 다른 부분에 연결되는지 여부를 제어한다. 기판 척킹 및 바이어스 보상 모듈(920)은 저항기(922) 및 DC 전압 소스(924)에 병렬로 결합된 커패시턴스(926)를 포함하는 회로이다. 기판 척킹 및 바이어스 보상 모듈(920)은 TTL 신호(904), 스위치(906), 및 다이오드(908)의 조립체와 직렬로 결합된다. 전체적으로 기판 척킹 및 바이어스 보상 모듈(920) 및 스위치(906)는 저항기(912)와 직렬로 DC 전압 소스(910)에 병렬로 결합되고, 또한 선택적인 커패시터(914)에도 병렬로 결합된다. 다이오드(908)는 스위치(906) 및 DC 전압 소스들(910 및 924)을 보호하기 위한 플라이백 다이오드이다.
[0109] 커패시턴스(536)는 부유 커패시턴스(538)와 플라즈마 시스(540) 사이에 존재할 수 있으며, 이는 예를 들어 기판 전극(109)과 기판 사이, 또는 에지 링 전극(111)과 에지 링 사이의 임피던스일 수 있다. IEDF 폭 제어 모듈(902) 및 기판 척킹 및 바이어스 보상 모듈(920) 둘 모두는 기판 전극(109) 및/또는 에지 링 전극(111)에 결합된다. IEDF 폭 제어 모듈(902)은 부유 커패시턴스(538)에도 또한 결합된다. 플라즈마 시스(540)는 전류 소스(544)와 병렬로 결합된 시스 커패시턴스(542) 및 접지(548)에 결합된 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 시스 모델).
[0110] 사용 시, 도 9에 예시된 구성의 경우, DC 전압 소스(910)는 저항기(912)와 함께 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(906)는 도 5b에 도시된 바와 같이 메인 펄서(502)와 동기화된 TTL 신호(904)에 의해 제어될 수 있다. 스위치(906)는 메인 펄서(502)의 전압이 상승하여 시스 붕괴 스테이지에 들어가기 전에 폐쇄될 수 있다. 스위치(512)는 기판 척킹 및 바이어스 보상 모듈(920)이 회로의 다른 부분에 연결되고 기판 척킹 전압을 설정점으로 재설정하도록 시스 붕괴 스테이지 동안 폐쇄된 상태로 유지될 수 있다. 메인 펄서(502)의 전압이 하강하여 이온 전류 스테이지에 들어간 후, 스위치(906)는 DC 전압 소스(910)가 이온 전류 스테이지에서 IEDF를 변조하게 기능하도록 개방될 수 있다. 선택적 커패시터(914)는 DC 전압 소스(910)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다. 도 9의 제어 메커니즘은 위에서 설명된 도 6b에 도시된 제어 메커니즘과 유사하다. 한 가지 차이점은 커패시터(C4)가 제거되어 있다는 점이다.
[0111] 도 10은 기판 지지 조립체(104)의 전극들(109, 111)을 구동하기 위한 에지 링 전압 제어 회로/기판 전압 제어 회로(1000)의 실시예를 예시하는 개략적인 회로도이다. 회로(1000)는 각각의 이온 전류 페이즈의 시작에서 기판 전압(도 3a의 전압 드룹에 대응함)을 재설정하기 위한 메인 펄서(502)를 포함한다. 메인 펄서(502)는 접지(501)에 결합된 제1 또는 제2 성형 DC 펄스 전압 소스들(159, 161)일 수 있다. 메인 펄서(502)는 IEDF 폭 제어 모듈(1002)(예를 들어, 도 4d의 제2 전력 모듈)에 결합된다.
[0112] IEDF 폭 제어 모듈(1002)은 스위치(906)와 병렬로 결합된 TTL 신호(904)를 포함하는 회로로서 모델링될 수 있다. TTL 신호(904)는 또한 접지(916)와 직렬로 결합된다. 스위치(906)는 다이오드(908)에 병렬로 결합된다. TTL 신호(904), 스위치(906), 및 다이오드(908)의 조합은 기판 척킹 및 바이어스 보상 모듈이 회로의 다른 부분에 연결되는지 여부를 제어한다. 기판 척킹 및 바이어스 보상 모듈(920)은 저항기(922) 및 DC 전압 소스(924)에 병렬로 결합된 커패시턴스(926)를 포함하는 회로이다. 다이오드(908)는 스위치, DC 전압 소스(910), 및 DC 전압 소스(924)를 보호하기 위한 플라이백 다이오드이다. 기판 척킹 및 바이어스 보상 모듈(920)은 TTL 신호(904), 스위치(906), 및 다이오드(908)의 조립체와 직렬로 결합된다. 기판 척킹 및 바이어스 보상 모듈(920) 및 스위치(906)는 전체적으로 성형 DC 펄스 전압 소스(1004)에 병렬로 결합되고, 선택적인 커패시터(914)에도 병렬로 결합된다.
[0113] 커패시턴스(536)는 부유 커패시턴스(538)와 플라즈마 시스(540) 사이에 존재할 수 있으며, 이는 예를 들어 기판 전극(109)과 기판 사이, 또는 에지 링 전극(111)과 에지 링 사이의 임피던스일 수 있다. IEDF 폭 제어 모듈(1002) 및 기판 척킹 및 바이어스 보상 모듈(920) 둘 모두는 기판 전극(109) 및/또는 에지 링 전극(111)에 결합된다. IEDF 폭 제어 모듈(1002)은 부유 커패시턴스(538)에도 또한 결합된다. 플라즈마 시스(540)는 전류 소스(544)와 병렬로 결합된 시스 커패시턴스(542) 및 접지(548)에 결합된 다이오드(546)를 포함하는 회로로서 모델링될 수 있다(플라즈마 시스 모델).
[0114] 사용 시, 도 10에 예시된 구성의 경우, DC 전압 소스(910)가 저항기(912)와 함께 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용하는 도 9의 구성과 다르게, 성형 DC 펄스 전압 소스(1004)는, 이온 전류 스테이지에서 전압 파형의 기울기를 제어하기 위한 능동 노브로서 작용한다. 스위치(906)는 도 5b의 플롯에 도시된 바와 같이, 메인 펄서(502)와 동기화된 TTL 신호(904)에 의해 제어될 수 있다. 스위치(906)는 메인 펄서(502)의 전압이 상승하여 시스 붕괴 스테이지에 들어가기 전에 폐쇄될 수 있다. 스위치(512)는 기판 척킹 및 바이어스 보상 모듈이 회로의 다른 부분에 연결되고 기판 척킹 전압을 설정점으로 재설정하도록 시스 붕괴 스테이지 동안 폐쇄된 상태로 유지될 수 있다. 메인 펄서(502)의 전압이 하강하여 이온 전류 스테이지에 들어간 후, 스위치(906)는 DC 전압 소스(910)가 이온 전류 스테이지에서 IEDF를 변조하게 기능하도록 개방될 수 있다. 선택적 커패시터(914)는 성형 DC 펄스 전압 소스(1004)에 대한 기판 전압 파형의 감도를 조정하기 위해 사용될 수 있다.
[0115] 도 10의 제어 메커니즘은 도 5a의 제어 메커니즘과 유사하다. 한 가지 차이점은 커패시터(C4)가 제거되어 있다는 점이다. 다른 차이점은, 시스 붕괴 스테이지에서 성형 DC 펄스 전압 소스(1004)의 출력 전압이 도 5e에서 트레이스(582)(양의 척킹 전압) 및 트레이스(586)(음의 척킹 전압)와 같이, 0 대신에 기판 척킹 및 바이어스 보상 모듈(920)의 출력 전압에 유지된다는 점이다.
예시적인 방법
[0116] 도 11은 본 개시내용의 적어도 하나의 실시예에 따라 에지 링 IEDF 폭 제어 회로(155) 및/또는 기판 IEDF 폭 제어 회로(158)를 사용하여 IEDF 폭을 제어하는 방법(1100)의 흐름도이다. 방법(1100)은 도 5 내지 도 10에 예시된 회로 구성들 중 하나 이상을 사용하여 구현될 수 있다. 방법(1100)은 또한 프로세싱 챔버(100) 또는 프로세싱 챔버(200)를 작동시키는 방법을 제공한다.
[0117] 방법(1100)은 전력 모듈(예를 들어, IEDF 폭 제어 모듈)에 결합된 메인 펄서(예를 들어, 메인 펄서(502))를 활성화하거나, 또는 턴-온(turn on)함으로써 적절한 프로세싱 챔버에 전압을 인가하거나, 또는 다른 방식으로 도입하는 것으로 시작한다. 여기서, 기판 전극, 예를 들어 기판 전극(109), 및/또는 에지 링 전극, 예를 들어 에지 링 전극(111)에 전압이 도입된다. 기판 전극 및/또는 에지 링 전극 상의 바이어스 전압은 이온 전류 스테이지에서 발생하고, 예를 들어 시스 전압에 이온들의 전하를 곱한 곱의 에너지에서 이온들을 가속시킨다. 무-충돌 시스 모델에서, 이온들의 대부분은 기판 전극 및/또는 에지 링 전극에 충격을 가할 때 이 최대 에너지에 도달할 수 있다. 그러나, 예를 들어 기판 전극 및/또는 에지 링 전극 상에 양 전하를 증착하는 이온 전류로 인해, 기판 전극 및/또는 에지 링 전극의 전압은 시간이 지남에 따라 증가하여, 시스 전압을 감소시키고 이온 에너지의 확산을 발생시킨다.
[0118] 동작(1110)에서, 전력 모듈(예를 들어, IEDF 폭 제어 모듈)의 전류, 및/또는 IEDF 폭 제어 모듈의 전압 또는 전압 미분은 시스 커패시턴스(C1) 및/또는 이온 전류(I0)를 결정하기 위해 2 개 이상의 조건들 하에서 측정된다. 여기서 측정된 전류는 전류(I4)일 수 있으며, 이 전류는 도 5a, 도 6a, 도 7 및 도 8의 커패시터(C4)를 통한 전류이다. 추가적으로 또는 대안적으로, 측정된 전류는 도 9 및 도 10의 메인 펄서의 출력 전류일 수 있다. 전압 미분은 dV3/dt일 수 있다. 측정들은 이온 전류 스테이지에서 수행될 수 있다. 2 개 이상의 조건들은 IEDF 폭 제어 모듈의 능동 노브(예를 들어, DC 전압 소스(V0) 및/또는 성형 DC 펄스 전압 소스(dV1/dt))를 2 개의 상이한 값들로 설정함으로써 달성될 수 있다.
[0119] 예로서, 도 5, 도 8 및 도 10의 구성들의 경우, 성형 DC 펄스 전압 소스는 이온 전류 스테이지에서 임의의 2 개의 상이한 기울기들(dV1/dt)로 설정할 수 있다. 다른 예로서, 도 6, 도 7 및 도 9의 구성들의 경우, I4가 DC 전압(V0)에 의해 영향을 받는 시점까지 I4를 모니터링하면서 DC 전압(V0)은 점진적으로 증가될 수 있다. 이러한 DC 전압은 임계 전압(Vth)이다. DC 전압 소스(V0)에 대한 2 개의 설정점들 중 적어도 하나는 Vth보다 크다. 즉, IEDF 폭 제어 모듈의 전류, IEDF 폭 제어 모듈의 전압 또는 전압 미분, 또는 둘 모두를 측정하는 것은, DC 전압 소스, 성형 DC 펄스 전압 소스, 또는 둘 모두를 제1 값으로 설정하는 단계; 및 DC 전압 소스, 성형 DC 펄스 전압 소스, 또는 둘 모두를 제2 값으로 설정하는 단계를 포함한다.
[0120] 동작(1115)에서, 이온 전류(I0) 및 시스 커패시턴스(C1)는 도 5, 도 8 및 도 10의 구성들에 대한 방정식들 (6) 및 (7), 또는 도 6, 도 7 및 도 9의 구성들에 대한 방정식들 (19) 및 (20)에 기초하여 계산된다. 계산들을 위한 입력 값들은 다음과 같다: I3 = C3*dV3/dt; I3' = C3*dV3'/dt; 및 I4, I4'. C3 및 C3'의 값들은 알려져 있고, dV3/dt, dV3'/dt, I4, 및 I4'의 값들은 동작(1110)에서 측정된다. 이와 같이, I3 및 I3'는 계산될 수 있다.
[0121] 동작(1120)에서, 목표 IEDF 폭(ΔV)을 달성하기 위해 메인 펄서의 DC 전압(V0)에 대한 원하는 설정점, IEDF 폭 제어 모듈의 전압(V1) 또는 전압 미분(dV1/dt)에 대한 원하는 설정점, 또는 둘 모두가 결정된다. 이 결정은 예를 들어 사용자 지정 이온 에너지 분포 폭(ΔV)을 달성하기 위해 IEDF 폭 제어 모듈의 원하는 설정을 결정하는 단계를 기초로 한다. 메인 펄서의 DC 전압(V0) 및 성형 DC 펄스 전압(V1)의 기울기(dV1/dt)는 각각 방정식들 (23) 및 식 (9)로부터 결정될 수 있다. 동작(1125)에서, IEDF 폭 제어 모듈의 DC 전압(V0) 및/또는 전압(V1) 또는 전압 미분(dV1/dt)은 결정된 설정점들로 조정된다.
[0122] IEDF를 제어하기 위한 종래의 프로세스들과 대조적으로, 본 명세서에 설명된 방법은 IEDF 폭 제어 모듈의 원하는 설정점을 결정하기 위한 루핑(looping)이 없다. 그러나, 일부 실시예들에서, 원하는 설정점을 결정하기 위해 루핑이 사용될 수 있다. 이러한 실시예에서, 제어기는 이온 전류 스테이지에서 I4 및 V3를 모니터링하여, 플라즈마 조건들의 임의의 변화들을 검출하고 이에 따라 IEDF 폭 제어 모듈의 설정점을 조정할 수 있다.
[0123] 본 명세서에 설명된 방법들 및 장치, 예를 들어 회로들은 펄스 DC 기판 전압의 파형의 형상(예를 들어, 좁은, 또는 조정 가능한 폭)에 대한 제어를 가능하게 한다. 본 명세서에 설명된 실시예들은 예를 들어 단일 에너지 이온 가속을 포함하여, 이온 에너지 분포에 대한 제어를 추가로 가능하게 한다.
[0124] 이상의 일반적인 설명 및 구체적인 실시예들로부터 알 수 있는 바와 같이, 본 개시내용의 형태들이 예시되고 설명되었지만, 본 개시내용의 사상 및 범위를 벗어나지 않고 다양한 수정들이 행해질 수 있다. 따라서, 본 개시내용이 이에 의해 제한되는 것으로 의도되지 않는다. 마찬가지로, "포함하는(comprising)"이라는 용어는 "포함하는(including)"이라는 용어와 동의어로 간주된다. 마찬가지로, 구성, 요소 또는 요소들의 그룹 앞에 "포함하는"이라는 전이 문구가 붙을 때마다, 우리는 또한 구성, 요소, 또는 요소들의 언급 앞에 "필수적 요소로 하여 구성되는(consisting essentially of)", "구성되는", "구성되는 그룹에서 선택되는" 또는 "이다"라는 전이 문구들을 사용하여 동일한 구성 또는 요소들의 그룹을 고려하고 그 반대도 마찬가지인 것으로 이해된다.
[0125] 전술한 바가 본 개시내용의 예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 안출될 수 있고, 본 개시내용의 범위는 다음 청구항들에 의해 결정된다.

Claims (20)

  1. 이온 에너지 분포 함수(IEDF: ion energy distribution function)의 폭을 제어하는 방법으로서,
    메인 펄서(main pulser)를 활성화함으로써 프로세싱 챔버(processing chamber)의 전극에 전압을 도입하는 단계 ― 상기 메인 펄서는 IEDF 폭 제어 모듈(module)에 결합됨 ― ;
    상기 IEDF 폭 제어 모듈의 전류 및 상기 IEDF 폭 제어 모듈의 전압 또는 전압 미분을 측정하는 단계;
    상기 IEDF 폭 제어 모듈의 상기 전류 및 상기 전압 또는 전압 미분에 기초하여 상기 프로세싱 챔버의 이온 전류 및 상기 프로세싱 챔버의 커패시턴스(capacitance)를 계산하는 단계;
    상기 메인 펄서의 DC 전압에 대한 설정점, 상기 IEDF 폭 제어 모듈의 전압 또는 전압 미분에 대한 설정점, 또는 둘 모두를 결정하는 단계; 및
    상기 IEDF의 상기 폭을 제어하기 위해, 상기 메인 펄서의 상기 DC 전압, 상기 IEDF 폭 제어 모듈의 상기 전압 또는 전압 미분, 또는 둘 모두를 상기 결정된 설정점들로 조정하는 단계를 포함하는,
    이온 에너지 분포 함수(IEDF)의 폭을 제어하는 방법.
  2. 제1 항에 있어서,
    상기 전극은 기판 전극인,
    이온 에너지 분포 함수(IEDF)의 폭을 제어하는 방법.
  3. 제1 항에 있어서,
    상기 전극은 에지 링 전극(edge ring electrode)인,
    이온 에너지 분포 함수(IEDF)의 폭을 제어하는 방법.
  4. 제1 항에 있어서,
    상기 IEDF 폭 제어 모듈의 전류 및 상기 IEDF 폭 제어 모듈의 전압 미분을 측정하는 단계는,
    상기 IEDF 폭 제어 모듈의 DC 전압을 2 개의 상이한 값들로 설정하는 단계; 및
    상기 IEDF 폭 제어 모듈의 전압 또는 전압 미분을 2 개의 상이한 값들로 설정하는 단계를 포함하는,
    이온 에너지 분포 함수(IEDF)의 폭을 제어하는 방법.
  5. 기판 지지체로서,
    기판에 기판 전압을 인가하기 위한 기판 전극;
    에지 링에 에지 링 전압을 인가하기 위한 에지 링 전극;
    상기 기판 전극에 결합된 기판 전압 제어 회로; 및
    상기 에지 링 전극에 결합된 에지 링 전압 제어 회로를 포함하고,
    상기 기판 전극은 상기 기판에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈에 결합되거나;
    상기 에지 링 전극은 상기 에지 링에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈에 결합되거나; 또는
    이들의 조합이 이루어지고,
    상기 기판 전압 제어 회로, 상기 에지 링 전압 제어 회로, 또는 둘 모두는 전류 귀환 경로에 결합된 메인 펄서를 포함하고, 상기 전류 귀환 경로는 상기 전력 모듈 및 프로세싱 챔버에 결합되고, 상기 전력 모듈은 전압 소스, 전류 소스, 또는 이들의 조합을 포함하는,
    기판 지지체.
  6. 제5 항에 있어서,
    상기 기판 전극만이 상기 전력 모듈에 결합되는,
    기판 지지체.
  7. 제5 항에 있어서,
    상기 에지 링 전극만이 상기 전력 모듈에 결합되는,
    기판 지지체.
  8. 제5 항에 있어서,
    상기 전력 모듈은 스위치, 선택적인 다이오드, 및 성형 DC 펄스(shaped DC pulse) 전압 소스와 병렬로 결합된 트랜지스터-트랜지스터 로직 신호를 포함하는,
    기판 지지체.
  9. 제8항에 있어서,
    상기 성형 DC 펄스 전압 소스는 상기 기판 전압의 전압 파형의 기울기, 상기 에지 링 전압의 전압 파형의 기울기, 또는 이들의 조합을 제어하는,
    기판 지지체.
  10. 제5 항에 있어서,
    상기 전력 모듈은 스위치, 다이오드, 및 DC 전압 소스와 병렬로 결합된 트랜지스터-트랜지스터 로직 신호를 포함하고, 상기 DC 전압 소스는 저항기에 직렬로 결합되는,
    기판 지지체.
  11. 제10 항에 있어서,
    상기 저항기에 직렬로 결합된 상기 DC 전압 소스는 상기 기판 전압의 전압 파형의 기울기, 상기 에지 링 전압의 전압 파형의 기울기, 또는 이들의 조합을 제어하는,
    기판 지지체.
  12. 기판 지지체로서,
    기판에 기판 전압을 인가하기 위한 기판 전극;
    에지 링에 에지 링 전압을 인가하기 위한 에지 링 전극;
    상기 기판 전극에 결합된 기판 전압 제어 회로; 및
    상기 에지 링 전극에 결합된 에지 링 전압 제어 회로를 포함하고,
    상기 기판 전극은 상기 기판에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈에 결합되거나;
    상기 에지 링 전극은 상기 에지 링에 도달하는 이온들의 에너지 분포 함수 폭을 능동적으로 제어하도록 구성된 전력 모듈에 결합되거나; 또는
    이들의 조합이 이루어지고,
    상기 기판 전압 제어 회로, 상기 에지 링 전압 제어 회로, 또는 둘 모두는,
    상기 전력 모듈에 결합된 메인 펄서 ― 상기 전력 모듈은 프로세싱 챔버에 결합되고, 상기 전력 모듈은 전압 소스, 전류 소스, 또는 이들의 조합을 포함함 ― ; 또는
    상기 전력 모듈에 결합된 메인 펄서 ― 상기 전력 모듈은 프로세싱 챔버에 결합되고, 상기 전력 모듈은 기판 척킹(chucking) 및 바이어스(bias) 보상 모듈과 병렬이고, 상기 전력 모듈은 전압 소스, 전류 소스, 또는 이들의 조합을 포함함 ― 를 포함하는,
    기판 지지체.
  13. 제12 항에 있어서,
    차단 커패시턴스가 상기 메인 펄서 및 상기 전력 모듈 모두에 결합되는,
    기판 지지체.
  14. 제12 항에 있어서,
    상기 기판 전극만이 상기 전력 모듈에 결합되는,
    기판 지지체.
  15. 제12 항에 있어서,
    상기 에지 링 전극만이 상기 전력 모듈에 결합되는,
    기판 지지체.
  16. 제12 항에 있어서,
    상기 전력 모듈은 스위치와 병렬로 결합된 트랜지스터-트랜지스터 로직 신호를 포함하고, 상기 스위치는 다이오드 및 DC 전압 소스에 병렬로 결합되고, 상기 DC 전압 소스는 저항기에 직렬로 결합되는,
    기판 지지체.
  17. 제16 항에 있어서,
    상기 저항기에 직렬로 결합된 상기 DC 전압 소스는 상기 기판 전압의 전압 파형의 기울기, 상기 에지 링 전압의 전압 파형의 기울기, 또는 이들의 조합을 제어하는,
    기판 지지체.
  18. 제12 항에 있어서,
    상기 전력 모듈은 스위치와 병렬로 결합된 트랜지스터-트랜지스터 로직 신호를 포함하고, 상기 스위치는 다이오드 및 성형 DC 펄스 전압 소스에 병렬로 결합되는,
    기판 지지체.
  19. 제18 항에 있어서,
    상기 성형 DC 펄스 전압 소스는 상기 기판 전압의 전압 파형의 기울기를 제어하는,
    기판 지지체.
  20. 제18 항에 있어서,
    상기 성형 DC 펄스 전압 소스는 상기 에지 링 전압의 전압 파형의 기울기를 제어하는,
    기판 지지체.
KR1020237001810A 2020-11-16 2021-10-13 이온 에너지 분포를 제어하기 위한 장치 및 방법들 KR20230021755A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/099,342 US11798790B2 (en) 2020-11-16 2020-11-16 Apparatus and methods for controlling ion energy distribution
US17/099,342 2020-11-16
PCT/US2021/054806 WO2022103543A1 (en) 2020-11-16 2021-10-13 Apparatus and methods for controlling ion energy distribution

Publications (1)

Publication Number Publication Date
KR20230021755A true KR20230021755A (ko) 2023-02-14

Family

ID=81587877

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237001810A KR20230021755A (ko) 2020-11-16 2021-10-13 이온 에너지 분포를 제어하기 위한 장치 및 방법들

Country Status (7)

Country Link
US (2) US11798790B2 (ko)
EP (1) EP4244883A1 (ko)
JP (1) JP2023541096A (ko)
KR (1) KR20230021755A (ko)
CN (1) CN116250058A (ko)
TW (1) TW202236353A (ko)
WO (1) WO2022103543A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution

Family Cites Families (582)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3140292A (en) 1961-04-07 1964-07-07 Universal Oil Prod Co Preparation of metal phthalocyanines
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
JP2775345B2 (ja) 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ko) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
KR100560886B1 (ko) 1997-09-17 2006-03-13 동경 엘렉트론 주식회사 가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템및 방법
JP2001520433A (ja) 1997-10-15 2001-10-30 東京エレクトロン株式会社 加速された粒子を発生させる装置並びに方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
EP1119033A4 (en) 1998-09-18 2004-11-17 Tokyo Electron Ltd PLASMA PROCESSING
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
JP2003506826A (ja) 1999-08-02 2003-02-18 アドバンスド エナジー インダストリーズ, インコーポレイテッド イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
JP5165825B2 (ja) 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
KR100842947B1 (ko) 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DK1253216T3 (da) 2001-04-27 2004-03-22 Europ Economic Community Fremgangsmåde og apparat til sekventiel plasmabehandling
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
EP1439900B1 (en) 2001-10-31 2012-05-09 Tokyo Electron Limited Method of etching high aspect ratio features
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
DE112004002262T5 (de) 2003-11-28 2006-10-26 Advantest Corp. Digitale QP Detektionsvorrichtung, Spektrumanalysator aufweisend dieselbe und ein Verfahren zur digitalen QP Detektierung
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
KR100952521B1 (ko) 2004-06-21 2010-04-12 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
WO2006049085A1 (ja) 2004-11-04 2006-05-11 Ulvac, Inc. 静電チャック装置
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
ATE500604T1 (de) 2005-03-30 2011-03-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP3910210B2 (ja) 2005-05-13 2007-04-25 松下電器産業株式会社 誘電体バリア放電ランプ点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CA2635629A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
EP1926122B1 (de) 2006-11-23 2009-11-11 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
EP2102889B1 (en) 2006-12-12 2020-10-07 Evatec AG Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
ATE493749T1 (de) 2007-03-08 2011-01-15 Huettinger Elektronik Gmbh Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
JP5606312B2 (ja) 2007-07-23 2014-10-15 トゥルンプフ ヒュッティンガー ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト プラズマ給電装置
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
WO2009069670A1 (ja) 2007-11-26 2009-06-04 Tokyo Electron Limited 微小構造体検査装置および微小構造体検査方法
KR101555725B1 (ko) 2007-11-29 2015-09-25 램 리써치 코포레이션 마이크로로딩을 제어하기 위한 펄스화된 바이어스 플라즈마 프로세스
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
DE112009000518T5 (de) 2008-03-06 2011-05-05 Tokyo Electron Ltd. Verfahren zum Aushärten eines porösen dielektrischen Films mit niedriger Dielektrizitätskonstante
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
US20110298376A1 (en) 2009-01-13 2011-12-08 River Bell Co. Apparatus And Method For Producing Plasma
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US10707055B2 (en) 2017-11-17 2020-07-07 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
PL2416629T3 (pl) 2009-08-07 2021-11-02 Kyosan Electric Mfg. Co., Ltd. Sposób sterowania impulsowego mocą o wysokiej częstotliwości oraz urządzenie w postaci zasilacza impulsowego o wysokiej częstotliwości
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
US9592090B2 (en) 2010-03-11 2017-03-14 Medtronic Advanced Energy Llc Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
JP2013534970A (ja) 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP2926830B1 (en) 2010-08-31 2017-08-02 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
TWI478234B (zh) 2011-03-04 2015-03-21 Tokyo Electron Ltd 氮化矽膜之蝕刻方法
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US20130003501A1 (en) * 2011-06-30 2013-01-03 Henry Palomino Marquez Methods and apparatus for locating hidden or buried non-conductive pipes and leaks therefrom
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TW201325326A (zh) 2011-10-05 2013-06-16 Applied Materials Inc 電漿處理設備及其基板支撐組件
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
JP5741461B2 (ja) 2012-01-23 2015-07-01 セイコーエプソン株式会社 印刷装置及び印刷方法
JP6027551B2 (ja) 2012-02-01 2016-11-16 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
KR102038649B1 (ko) 2012-02-20 2019-10-30 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
EP2837687B1 (en) 2012-03-30 2017-02-22 Toray Industries, Inc. Method for producing chemical by means of continuous fermentation and continuous fermentation device
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP6342397B2 (ja) 2012-08-15 2018-06-13 ロッキード マーティン エナジー, エルエルシーLockheed Martin Energy, Llc 高溶解度の鉄ヘキサシアニド
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
JP6329542B2 (ja) 2012-08-28 2018-05-23 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. プラズマ処理システム、プラズマシース電圧確立方法、および当該方法を実行可能な命令を読み取り可能な記憶媒体
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
WO2014069559A1 (ja) 2012-11-01 2014-05-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
US20160004475A1 (en) 2013-02-28 2016-01-07 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20140262755A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Uv-assisted reactive ion etch for copper
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
EP3005220B1 (en) 2013-06-04 2019-09-04 Eagle Harbor Technologies Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
KR102282261B1 (ko) 2013-07-17 2021-07-26 에이이에스 글로벌 홀딩스 피티이 리미티드 펄스 듀얼 마그네트론 스퍼터링(dms) 프로세스에 있어서 타깃 소모의 균형을 이루기 위한 시스템 및 방법
JP6441927B2 (ja) 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
CN105793955B (zh) 2013-11-06 2019-09-13 应用材料公司 通过dc偏压调制的颗粒产生抑制器
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US11171568B2 (en) 2017-02-07 2021-11-09 Eagle Harbor Technologies, Inc. Transformer resonant converter
EP3069445B1 (en) 2013-11-14 2023-04-05 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10460910B2 (en) 2017-03-31 2019-10-29 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR101907375B1 (ko) 2014-03-24 2018-10-12 어드밴스드 에너지 인더스트리즈 인코포레이티드 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
CN106971964A (zh) 2014-07-23 2017-07-21 应用材料公司 可调谐温度受控的基板支撑组件
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
TWI714074B (zh) 2015-01-16 2020-12-21 美商艾克塞利斯科技公司 離子植入系統及具有可變能量控制的方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
WO2016131061A1 (en) 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
CN108701532B (zh) 2015-11-30 2022-10-28 鹰港科技有限公司 高压变压器
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
CN109075066B (zh) 2016-03-31 2023-08-04 东京毅力科创株式会社 使用无晶片干式清洗发射光谱来控制干式蚀刻过程的方法
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
KR102489215B1 (ko) 2016-09-06 2023-01-16 도쿄엘렉트론가부시키가이샤 유사 원자층 에칭 방법
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) * 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
CN108236602B (zh) 2016-12-26 2021-04-23 深圳翰宇药业股份有限公司 一种利伐沙班自乳化制剂及其制备方法
WO2018126223A1 (en) 2016-12-30 2018-07-05 Eagle Harbor Technologies, Inc. High voltage inductive adder
US20180190501A1 (en) 2017-01-05 2018-07-05 Tokyo Electron Limited Plasma processing apparatus
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US20180218905A1 (en) 2017-02-02 2018-08-02 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
KR20190121864A (ko) 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
EP3631886A1 (en) 2017-05-30 2020-04-08 Titan Advanced Energy Solutions, Inc. Battery life assessment and capacity restoration
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
JP7233803B2 (ja) 2017-08-17 2023-03-07 東京エレクトロン株式会社 工業用製造機器における特性をリアルタイム感知するための装置及び方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102466195B1 (ko) 2017-08-25 2022-11-11 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10475622B2 (en) 2017-09-26 2019-11-12 Advanced Energy Industries, Inc. System and method for plasma ignition
WO2019087977A1 (ja) 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
CN111226309B (zh) 2017-11-06 2023-09-19 日本碍子株式会社 静电卡盘组件、静电卡盘及聚焦环
WO2019099102A1 (en) 2017-11-16 2019-05-23 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
TWI726258B (zh) 2017-11-17 2021-05-01 新加坡商Aes全球公司 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體
US10811227B2 (en) 2017-11-17 2020-10-20 Advanced Energy Industries, Inc. Application of modulating supplies in a plasma processing system
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
US11569072B2 (en) 2018-05-03 2023-01-31 Applied Materials, Inc. RF grounding configuration for pedestals
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
KR20210011388A (ko) 2018-06-18 2021-02-01 도쿄엘렉트론가부시키가이샤 제작 장비의 특성에 대한 간섭이 완화된 실시간 감지
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
CN113345788B (zh) 2018-06-22 2024-06-21 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和存储介质
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR102499709B1 (ko) 2018-08-10 2023-02-16 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
CN113273074A (zh) 2018-11-14 2021-08-17 先进工程解决方案全球控股私人有限公司 用于设定点跟踪中的最小延迟的交错开关模式功率级的加性合成
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
CN113906677A (zh) 2019-01-08 2022-01-07 鹰港科技有限公司 纳秒脉冲发生器电路中的高效能量恢复
JP7297795B2 (ja) 2019-01-09 2023-06-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
WO2020154310A1 (en) * 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) * 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
WO2020243023A1 (en) 2019-05-24 2020-12-03 Eagle Harbor Technologies, Inc. Klystron driver
JP7405875B2 (ja) 2019-07-02 2023-12-26 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサのrf絶縁
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
KR20220070269A (ko) 2019-09-25 2022-05-30 이글 하버 테크놀로지스, 인코포레이티드 에너지 회수에 의한 비선형 전송 선로 고 전압 펄스 샤프닝
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20230150396A (ko) 2019-12-24 2023-10-30 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11462388B2 (en) * 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11694876B2 (en) * 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Also Published As

Publication number Publication date
WO2022103543A1 (en) 2022-05-19
JP2023541096A (ja) 2023-09-28
TW202236353A (zh) 2022-09-16
CN116250058A (zh) 2023-06-09
US20220157577A1 (en) 2022-05-19
US20230420229A1 (en) 2023-12-28
US11798790B2 (en) 2023-10-24
EP4244883A1 (en) 2023-09-20

Similar Documents

Publication Publication Date Title
JP7461565B2 (ja) イオンエネルギー分布を制御する装置および方法
JP6986113B2 (ja) 修正された周期的電圧関数を電気ノードに提供するための装置およびコンピュータ読み取り可能な記憶媒体
US10388544B2 (en) Substrate processing apparatus and substrate processing method
KR101761493B1 (ko) 스위칭 모드 이온 에너지 분포 시스템을 교정하기 위한 시스템 및 방법
KR102085496B1 (ko) 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
JP2024012553A (ja) プラズマ処理装置、プロセッサ及び制御方法
US20230420229A1 (en) Apparatus and methods for controlling ion energy distribution
CN111886935A (zh) 控制方法和等离子体处理装置
KR101124770B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법 및 컴퓨터 판독이 가능한 기억 매체
KR100838750B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
KR101283360B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR20240008318A (ko) 플라즈마 공정 성능을 제어하기 위한 실시간 펄스 측정 및 펄스 타이밍 조정을 위한 시스템 및 방법
US11791138B2 (en) Automatic electrostatic chuck bias compensation during plasma processing
TWI847069B (zh) 用於控制離子能量分佈的裝置及方法
US9404183B2 (en) Diagnostic and control systems and methods for substrate processing systems using DC self-bias voltage
US20240194446A1 (en) Chamber impedance management in a processing chamber
TW202309971A (zh) 電漿處理期間的自動靜電卡盤偏壓補償