CN116250058A - 用于控制离子能量分布的设备和方法 - Google Patents

用于控制离子能量分布的设备和方法 Download PDF

Info

Publication number
CN116250058A
CN116250058A CN202180059939.3A CN202180059939A CN116250058A CN 116250058 A CN116250058 A CN 116250058A CN 202180059939 A CN202180059939 A CN 202180059939A CN 116250058 A CN116250058 A CN 116250058A
Authority
CN
China
Prior art keywords
voltage
substrate
coupled
edge ring
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180059939.3A
Other languages
English (en)
Inventor
崔琳锳
J·罗杰斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116250058A publication Critical patent/CN116250058A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Recrystallisation Techniques (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本公开的实施例总体涉及用于在等离子体处理期间控制离子能量分布的设备和方法。在实施例中,所述设备包括基板支撑件,所述基板支撑件具有主体,所述主体具有用于向基板施加基板电压的基板电极以及用于向边缘环施加边缘环电压的嵌入的边缘环电极。所述设备进一步包括耦接至基板电极的基板电压控制电路以及耦接至边缘环电极的边缘环电压控制电路。基板电极、边缘环电极或上述两者耦接至功率模块,所述功率模块被配置为主动控制到达基板、边缘环或上述两者的离子的能量分布函数宽度。本文还描述了用于在基板处理期间控制离子的能量分布函数宽度的方法。

Description

用于控制离子能量分布的设备和方法
背景
领域
本公开的实施例总体涉及用于基板的等离子体处理的设备和方法,并且更具体地涉及用于在等离子体处理期间控制离子能量分布的设备和方法。
背景技术
在基板的等离子体处理期间,离子在基板表面处理、蚀刻和沉积中起着关键作用。撞击基板表面的离子可具有由离子能量分布函数(IEDF)描述的各种能量。对IEDF的控制可能是各种基板处理方案的重要因素。然而,控制IEDF仍然是一个挑战。例如,当周期性交流电压施加到腔室的(多个)电极时,等离子体壳层可在基板上方形成。流向基板的离子被等离子体壳层电压加速,所述等离子体壳层电压与施加到电极的电压相关。同时,离子电流可为基板充电并改变基板电势,这进而影响等离子体壳层电压,使得基板表面的IEDF也受到影响,例如变宽。在这种情况下和其他情况下,控制IEDF的现有方法是基于低效的迭代循环。
需要新的和改进的方法来控制IEDF。
发明内容
本公开的实施例总体涉及用于基板的等离子体处理的设备和方法,并且具体地涉及用于在等离子体处理期间控制离子能量分布的设备和方法。
在一个实施例中,提供了一种控制离子能量分布函数(IEDF)的方法。所述方法包括通过启动主脉冲产生器将电压引入处理腔室的电极,所述主脉冲产生器耦接至IEDF宽度控制模块,并测量IEDF宽度控制模块的电流和IEDF宽度控制模块的电压或电压导数。所述方法进一步包括基于IEDF宽度控制模块的电流和电压或电压导数来计算处理腔室的离子电流和处理腔室的电容。所述方法进一步包括确定主脉冲产生器的直流电压的设定点、IEDF宽度控制模块的电压或电压导数的设定点、或上述两者,并将主脉冲产生器的直流电压、IEDF宽度控制模块的电压或电压导数、或上述两者调整至确定的设定点,以控制IEDF的宽度。
在另一个实施例中,提供了一种用于控制离子能量分布的设备。所述设备包括基板支撑件,所述基板支撑件具有主体,所述主体具有基板支撑部分,所述基板支撑部分具有嵌入其中的基板电极,以用于向基板施加基板电压。主体进一步包括邻近基板支撑部分设置的边缘环部分,所述边缘环部分具有嵌入其中的边缘环电极,以用于向边缘环施加边缘环电压。所述设备进一步包括耦接至基板电极的基板电压控制电路和耦接至边缘环电极的边缘环电压控制电路。基板电极耦接至功率模块,所述功率模块被配置为主动控制到达基板的离子的能量分布函数宽度,或者边缘环电极耦接至功率模块,所述功率模块被配置为主动控制到达边缘环的离子的能量分布函数宽度,或者上述各项的组合。基板电压控制电路、边缘环电压控制电路或上述两者包括耦接至电流返回路径的主脉冲产生器,电流返回路径耦接至功率模块和处理腔室,其中功率模块包括电压源、电流源或上述各项的组合。
在另一个实施例中,提供了一种用于控制离子能量分布的设备。所述设备包括基板支撑件,所述基板支撑件具有主体,所述主体具有基板支撑部分,所述基板支撑部分具有嵌入其中的基板电极,以用于向基板施加基板电压。主体进一步包括邻近基板支撑部分设置的边缘环部分,所述边缘环部分具有嵌入其中的边缘环电极,以用于向边缘环施加边缘环电压。所述设备进一步包括耦接至基板电极的基板电压控制电路和耦接至边缘环电极的边缘环电压控制电路。基板电极耦接至功率模块,所述功率模块被配置为主动控制到达基板的离子的能量分布函数宽度,或者边缘环电极耦接至功率模块,所述功率模块被配置为主动控制到达边缘环的离子的能量分布函数宽度,或者上述各项的组合。基板电压控制电路、边缘环电压控制电路或上述两者包括耦接至功率模块的主脉冲产生器,功率模块耦接至处理腔室,功率模块包括电压源、电流源或上述各项的组合。
在另一个实施例中,提供了一种用于控制离子能量分布的设备。所述设备包括基板支撑件,所述基板支撑件具有主体,所述主体具有基板支撑部分,所述基板支撑部分具有嵌入其中的基板电极,以用于向基板施加基板电压。主体进一步包括邻近基板支撑部分设置的边缘环部分,所述边缘环部分具有嵌入其中的边缘环电极,以用于向边缘环施加边缘环电压。所述设备进一步包括耦接至基板电极的基板电压控制电路和耦接至边缘环电极的边缘环电压控制电路。基板电极耦接至功率模块,所述功率模块被配置为主动控制到达基板的离子的能量分布函数宽度,或者边缘环电极耦接至功率模块,所述功率模块被配置为主动控制到达边缘环的离子的能量分布函数宽度,或者上述各项的组合。基板电压控制电路、边缘环电压控制电路或上述两者包括耦接至功率模块的主脉冲产生器,功率模块耦接至处理腔室,其中功率模块与基板卡紧和偏压补偿模块并联,并且其中功率模块包括电压源、电流源或上述各项的组合。
附图说明
为了能够详细理解本公开的上述特征,可参考实施例获得对以上简要概述的本公开的更具体的描述,其中一些实施例在附图中示出。然而,应当注意,附图仅示出了示例性实施例,并且因此不应被认为是对其范围的限制,因为本公开可允许其他同等有效的实施例。
图1是根据本公开的至少一个实施例的示例处理腔室的示意性剖视图。
图2是根据本公开的至少一个实施例的示例处理腔室的示意性概览。
图3A是示出根据本公开的至少一个实施例的基板上的三种不同偏压电压波形的示例性图。
图3B是根据本公开的至少一个实施例的图3A所示的基板上的三种不同偏压电压波形的IEDF相对于离子能量的示例性绘图。
图4A是根据本公开的至少一个实施例的示例电路的示意性概览。
图4B是根据本公开的至少一个实施例的示例电路的示意性概览。
图4C是根据本公开的至少一个实施例的示例电路的示意性概览。
图4D是根据本公开的至少一个实施例的示例电路的示意性概览。
图5A是示出根据本公开的至少一个实施例的用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图5B是根据本公开的至少一个实施例的图5A所示的示例示意性电路图的V2电压波形和基板电压波形的示例性绘图。
图5C是根据本公开的至少一个实施例的示例控制电路。
图5D是根据本公开的至少一个实施例的示例控制电路。
图5E示出了根据本公开的至少一个实施例的示例性锯齿形电压输出。
图6A是示出根据本公开的至少一个实施例的用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图6B是根据本公开的至少一个实施例的示例控制电路。
图6C是根据本公开的至少一个实施例的示例控制电路。
图7A是示出根据本公开的至少一个实施例的用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图8是示出根据本公开的至少一个实施例的用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图9是示出根据本公开的至少一个实施例的用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图10是示出根据本公开的至少一个实施例的用于驱动基板支撑组件的电极的IEDF宽度控制电路的示例示意性电路图。
图11是根据本公开的至少一个实施例的控制IEDF宽度的方法的流程图。
为了便于理解,在可能的情况下,使用相同的附图标记来指示附图中相同的要素。设想一个实施例的要素和特征可有益地并入其他实施例中,而无需进一步叙述。
具体实施方式
本公开的实施例总体涉及用于基板的等离子体处理的设备和方法,并且更具体地涉及用于在等离子体处理期间控制离子能量分布的设备和方法。本文描述的方法和设备(例如,电路)实现对脉冲直流电源的电压波形的形状(例如,窄的或可调整的宽度)的控制。本文描述的实施例进一步实现例如对包括单能离子加速的离子能量分布函数(IEDF)的控制。
IEDF是用于蚀刻高深宽比特征的参数。通常,根据以下机制,与正弦波射频偏压相比,脉冲直流偏压可提供更窄的IEDF。因为在脉冲直流周期内,离子被时变较小的电场加速,所以与改变正弦波射频偏压时相比,壳层内离子获得的能量还表现出较低的时变。作为结果,被脉冲直流偏压加速的离子具有比正弦波射频偏压更窄的IEDF。然而,从体等离子体到基板的离子电流在基板处使电压波形畸变,并拓宽了离子能量分布。本文描述的方法和设备可例如补偿此离子电流,并主动控制离子能量分布的宽度。
常规的方法和设备使用迭代控制循环来控制离子能量分布的宽度。在控制算法收敛之前,对等离子体参数(例如,离子电流、壳层厚度和IEDF宽度)的估计是不准确的。此外,通过使用迭代来控制IEDF宽度是缓慢的,并且可能导致控制算法不收敛。
相比之下,本文所述的方法和设备利用一个循环,而无需迭代,来确定离子电流和补偿电流,以实现给定的IEDF宽度。因此,本文描述的方法和设备比现有最先进现有技术更快地达到IEDF的期望状态,例如窄IEDF。这是由于例如在确定补偿电流的解时不使用迭代。
简而言之,并且在一些实施例中,基板支撑件包括主体,所述主体包括基板支撑部分和/或边缘环部分。基板电极嵌入在基板支撑部分中,以用于向基板施加基板电压。基板电压控制电路耦接至基板电极。边缘环部分包括嵌入其中的边缘环电极,以用于向边缘环施加边缘环电压。边缘环电压控制电路耦接至边缘环电极。至少一个成形直流脉冲源耦接至基板电压控制电路和/或边缘环电压控制电路。基板电压电路和/或边缘环电压控制电路是可调谐的。通过例如调谐基板电压控制电路和/或边缘环电压控制电路来调整电压幅度,从而导致对离子能量分布的调整和控制。
在一些实施例中,IEDF宽度的控制电路耦接至基板支撑件。IEDF宽度的控制电路可集成在主脉冲直流电源内部,或者作为单独的模块,或者作为具有偏压补偿模块的集成模块。
示例性处理系统配置
图1是根据本公开的至少一个实施例的处理腔室100的示意性剖视图。处理腔室100被配置成实践本文描述的方案。在这个实施例中,处理腔室是等离子体处理腔室,诸如反应离子蚀刻(RIE)等离子体腔室。在一些其他实施例中,处理腔室是等离子体增强沉积腔室,例如等离子体增强化学气相沉积(PECVD)腔室、等离子体增强物理气相沉积(PEPVD)腔室或等离子体增强原子层沉积(PEALD)腔室。在一些其他实施例中,处理腔室是等离子体处理腔室或基于等离子体的离子注入腔室,例如等离子体掺杂(PLAD)腔室。
处理腔室100包括腔室主体101和设置在其上的盖102,腔室主体101和盖102一起限定了内部体积124。腔室主体101通常耦接至电接地103。基板支撑组件104设置在内部体积内,以在处理期间在其上支撑基板105。边缘环106定位在基板支撑组件104上,并围绕基板105的周边。处理腔室100还包括用于在处理腔室100内产生反应性物质等离子体的电感耦合等离子体设备107,以及适于控制处理腔室100的系统和子系统的控制器108。在一些实施例中,电感耦合等离子体设备107可由接地的喷头代替,并且从基板下方的电极递送射频功率以产生电容耦合等离子体。
基板支撑组件104设置在内部体积124中。基板支撑组件104大体包括基板支撑件152。基板支撑件152包括静电卡盘150,静电卡盘150包括被配置为垫起和支撑待处理基板105的基板支撑部分154,以及被配置为支撑边缘环106的边缘环部分156。基板支撑组件104可附加地包括加热器组件169。基板支撑组件104还可包括冷却基底131。冷却基底131可替代地与基板支撑组件104分离。基板支撑组件104可被可移除地耦接至支撑基座125。支撑基座125安装到腔室主体101。支撑基座125可以可选地包括设施板180。基板支撑组件104可周期性地从支撑基座125移除,以允许整修基板支撑组件104的一个或多个部件。升降杆146如常规已知的那样穿过基板支撑组件104设置,以便于基板传送。
设施板180被配置为容纳来自静电卡盘150和冷却基底131的多个流体连接。设施板180还被配置成容纳来自静电卡盘150和加热器组件169的多个电连接。多个电连接可在基板支撑组件104的外部或内部延伸,而设施板180为连接提供与相应终端的接口。
基板电极109嵌入在静电卡盘150的基板支撑部分154内,以用于向设置在基板支撑组件104的上表面160上的基板105施加基板电压。边缘环部分156具有嵌入其中的边缘环电极111,以用于向边缘环106施加边缘环电压。边缘环IEDF宽度控制电路155耦接至边缘环电极111。基板IEDF宽度控制电路158耦接至基板电极109。在一个实施例中,第一成形直流脉冲电压源159耦接至边缘环IEDF宽度控制电路155和基板IEDF宽度控制电路158中的一者或两者。在另一个实施例中,如图1所示,第一成形直流电压源159耦接至边缘环IEDF宽度控制电路155,而第二成形直流电压源161耦接至基板IEDF宽度控制电路158。边缘环IEDF宽度控制电路155和基板IEDF宽度控制电路158是独立可调谐的。基板电极109进一步耦接至卡紧电源115,以便于在处理期间用静电卡盘150将基板105卡紧到上表面160。
电感耦合等离子体设备107设置在盖102上方,并被配置为将射频功率电感耦合至处理腔室100内的气体,以产生等离子体116。电感耦合等离子体设备107包括设置在盖102上方的第一线圈118和第二线圈120。每个线圈118、120的相对位置、直径比和/或每个线圈118、120的匝数可各自根据需要进行调整,以控制正在形成的等离子体116的轮廓或密度。第一线圈118和第二线圈120中的每一者经由射频馈送结构123通过匹配网络122耦接至射频电源121。射频电源121可以说明性地能够在50千赫兹至13.56兆赫兹范围内的可调谐频率下产生高达约4000瓦(但不限于约4000瓦)的功率,尽管根据特定应用的需要可使用其他频率和功率。
在一些示例中,功率分配器126(诸如分压电容器)可设置在射频馈送结构123与射频电源121之间,以控制提供给相应的第一线圈118和第二线圈120的射频功率的相对量。在其他实施例中,电容耦合等离子体设备(未示出)可在盖102上方使用。加热器元件128可设置在盖102上,以便于加热处理腔室100的内部。加热元件128可设置在盖102与第一线圈118和第二线圈120之间。在一些示例中,加热器元件128包括电阻加热元件,并且耦接至电源130(诸如交流电源),电源130被配置为提供足够的能量来将加热器元件128的温度控制在期望的范围内。
在操作期间,基板105(诸如半导体基板或适于等离子体处理的其他基板)被放置在基板支撑组件104上。基板升降杆146可移动地设置在基板支撑组件104中,以辅助将基板105传送到基板支撑组件104上。在定位基板105之后,处理气体从气体面板132经过入口134被供应到腔室主体101的内部体积124中。通过从射频电源121向第一线圈118和第二线圈120供电,处理气体在处理腔室100中被点燃成等离子体116。可使用阀门136和真空泵138来控制处理腔室100的内部体积124内的压力。
处理腔室100包括控制器108,以在处理期间控制处理腔室100的操作。控制器108包括中央处理单元(CPU)140、存储器142、以及用于CPU 140的支持电路144并且便于控制处理腔室100的部件。控制器108可以是可在工业环境中用于控制各种腔室和子处理器的任何形式的通用计算机处理器中的一种。存储器142存储软件(源代码或目标代码),所述软件可被执行或调用来以本文描述的方式控制处理腔室100的操作。控制器108被配置成控制第一成形直流电压源159、第二成形直流电压源161、边缘环IEDF宽度控制电路155和基板IEDF宽度控制电路158。
图2是根据本公开的至少一个实施例的处理腔室200的示意性概览。处理腔室200被配置成实践本文描述的方案。与处理腔室100一样,处理腔室200是等离子体处理腔室,诸如上述那些等离子体处理腔室。
处理腔室200包括如图1所述的设置在基板支撑组件104上的基板105。边缘环106定位在基板支撑组件104上,并围绕基板105的周边。尽管未示出,但电容耦合等离子体装置设置在基板上方(通常在腔室盖上方)。电容耦合等离子体设备可包括离子抑制器和喷头,其中从基板下方的电极递送射频功率以产生电容耦合等离子体。控制器108适配成控制处理腔室的系统和子系统。控制器108包括中央处理单元(CPU)140、存储器142、以及用于CPU140的支持电路144,并且便于控制处理腔室100的部件。控制器108可以是可在工业环境中用于控制各种腔室和子处理器的任何形式的通用计算机处理器中的一种。存储器142存储软件(源代码或目标代码),所述软件可被执行或调用来以本文描述的方式控制处理腔室100的操作。控制器108被配置成控制第一成形直流电压源159、第二成形直流电压源161、边缘环IEDF宽度控制电路155和/或基板IEDF宽度控制电路158。下文讨论的图4A-4D示出了将IEDF宽度控制模块连接至脉冲产生器的不同配置。
基板支撑组件104、设施板180、基板电极109和边缘环电极111可与图1中讨论的相同。边缘环IEDF宽度控制电路155耦接至边缘环电极111。基板IEDF宽度控制电路158耦接至基板电极109。在一个实施例中,第一成形直流脉冲电压源159耦接至边缘环IEDF宽度控制电路155和基板IEDF宽度控制电路158中的一者或两者。在另一个实施例中,第一成形直流电压源159耦接至边缘环IEDF宽度控制电路155,而第二成形直流电压源161耦接至基板IEDF宽度控制电路158。边缘环IEDF宽度控制电路155和基板IEDF宽度控制电路158是独立可调谐的。基板电极109进一步耦接至卡紧电源115,以便于在处理期间用静电卡盘150将基板105卡紧到上表面160。
处理腔室200的操作和基板105的处理可以与处理腔室100的操作类似的方式进行。在一些实施例中,处理系统配置包括定位在处理腔室内的离子抑制器,以控制到达基板的等离子体激发物质的类型和量。在一些实施例中,离子抑制器单元是穿孔板,所述穿孔板还可充当等离子体产生单元的电极。在这些和其他实施例中,离子抑制器可以是将气体和激发物质分配到与基板接触的反应区域的喷头。在一些实施例中,离子抑制是通过穿孔板离子抑制器和喷头来实现的,等离子体激发的物质穿过上述两者以到达反应区域。
当通过成形直流电压源159向基板(或晶片)施加电压时,产生波形。图3A示出了不同的偏压电压波形。波形包括两个阶段:离子电流阶段和壳层崩溃阶段。在离子电流阶段开始时,晶片电压的下降在基板上方产生高压壳层,这加速正离子到达基板。正离子在基板表面上沉积正电荷,并趋于逐渐正向地增加基板电压。如果由成形直流电压源159供应方波,则朝向基板的离子电流产生基板电压的正斜率,如迹线305所示。离子电流阶段的开始和结束之间的电压差决定了IEDF宽度。电压差越大,IEDF宽度越宽(图3B)。为了获得单能离子和更窄的IEDF宽度,执行操作以使离子电流阶段中的基板电压波形(例如,迹线310)变得平坦。在一些实施例中,可施加电压以实现特定的IEDF宽度,如迹线315的基板波形所示。
在离子电流阶段结束时,基板电压上升到体等离子体电压,并且壳层崩溃,使得电子从等离子体行进到基板表面并中和基板表面的正电荷。作为结果,基板的表面被重设以用于下一个循环。
在一些实施例中,第一成形直流电压源159和第二成形直流电压源161是正脉冲产生器。正脉冲产生器产生正电压脉冲,这对应于壳层崩溃阶段。当每个正脉冲关闭时,离子电流阶段开始。在一些实施例中,第一成形直流电压源159和第二成形直流电压源161是负脉冲产生器。负脉冲产生器产生对应于离子电流阶段的负电压脉冲。当每个负脉冲关闭时,壳层崩溃阶段开始。
示例电路
图4A是示例电路465的示意性概览。如下所述,并且在一些实施例中,图4A所示的示例电路对应于图5A和图6A的电路图。图5A和图6A的不同之处在于例如第二功率模块的电路系统。
示例电路465包括通过串接的串联电感器468和电阻器469耦接至第二功率模块470的脉冲直流电源466。第二功率模块470调制离子能量分布函数(IEDF)的宽度。可选的阻断电容器471可存在于等离子体腔室负载472与电路465的其余部分之间。可通过硬件、软件、固件或其组合来实现的控制器(未示出)用于控制图4A所示的各种部件。
成形直流电源466产生具有两个电压电平——低电压电平和高电压电平——的电压波形。低电压电平对应于离子电流阶段。高电压电平对应于壳层崩溃阶段。在离子电流阶段中,第二功率模块470调制电压相对于时间的斜率,如图3A中的迹线305、310和315所示。不同的斜率导致如图3B所示的不同的IEDF宽度。最平坦的斜率(图3A的迹线305)对应于图3B中最窄的IEDF宽度。
图4B是示例电路475的示意性概览。如图所示,图4B与图4A的不同之处在于用开关479代替了串联电感器468和电阻器469。开关479与脉冲直流电源476和第二功率模块478串联连接。在离子电流阶段中,开关479闭合。在壳层崩溃阶段期间,开关可打开或关闭。可由硬件、软件、固件或其组合实现的控制器(未示出)用于控制图4B中表示的各种部件。
图4C是示例电路485的示意性概览。如下所述,并且在一些实施例中,图4C所示的示例电路485对应于图7A和图8的电路图。示例电路485包括耦接至接地的成形直流电压源486。可选的阻断电容器487可存在于成形直流电压源486与第二功率模块488之间。第二功率模块488调制IEDF的宽度。第二功率模块488进一步耦接至等离子体腔室负载489。可由硬件、软件、固件或其组合实现的控制器(未示出)用于控制图4C中表示的各种部件。
成形直流电压源486产生具有两个电压电平——低电压电平和高电压电平——的电压波形。低电压电平对应于离子电流阶段。高电压电平对应于壳层崩溃阶段。在离子电流阶段中,第二功率模块488产生相对于时间的电压斜率。基板上产生的电压波形是成形直流电压源486与第二功率模块488的输出电压之和,所述电压波形可被调制,并且因此使IEDF宽度被调制。
图4D是根据本公开的至少一个实施例的示例电路490的示意性概览。如下所述,并且在一些实施例中,示例电路490对应于图9和图10的电路图。示例电路490包括耦接至接地的成形直流电压源491、第二功率模块492、以及基板卡紧和偏压补偿模块493。开关495与基板卡紧和偏压补偿模块493串联连接。第二功率模块492与基板卡紧和偏压补偿模块493并联连接,其中一端耦接至成形直流电压源491,而另一端耦接至等离子体腔室负载494。第二功率模块492调制IEDF的宽度。第二功率模块492与基板卡紧和偏压补偿模块493进一步耦接至等离子体腔室负载494。可通过硬件、软件、固件或其组合来实现的控制器(未示出)用于控制图4D所示的各种部件。
成形直流电压源491产生具有两个电压电平——低电压电平和高电压电平——的电压波形。低电压电平对应于离子电流阶段。高电压电平对应于壳层崩溃阶段。在离子电流阶段中,第二功率模块492产生相对于时间的电压斜率。基板上产生的电压波形是成形直流电压源491与第二功率模块492的输出电压之和,所述电压波形可被调制,并且因此调制IEDF宽度。开关495在离子电流阶段中打开,使得卡紧和偏压补偿模块493不调制等离子体腔室负载的电压。在壳层崩溃阶段中,开关495闭合,并且卡紧和偏压补偿模块493将基板卡紧电压重设到设定点。
图5A是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的边缘环电压控制电路/基板电压控制电路500的实施例的示意性电路图。电路500包括主脉冲产生器502,以在每个离子电流阶段开始时重设基板电压(对应于图3A中的电压衰减)。主脉冲产生器502可以是耦接至接地501的第一成形直流电压源159或第二成形直流电压源161。主脉冲产生器502耦接至电流返回路径503。电流返回路径503包括与电阻器506串联耦接至IEDF宽度控制模块508(例如,图4A和图4B中的第二功率模块)的电感器504。IEDF宽度控制模块508调制离子能量分布函数(IEDF)宽度。
IEDF宽度控制模块508可被建模为这样的电路,所述电路包括与开关512并联耦接的晶体管-晶体管逻辑(TTL)信号510、可选的二极管514、耦接至接地517的可选电容516、以及第三成形直流脉冲电压源518。二极管514是回扫二极管,以用于保护开关512和第三成形直流脉冲电压源518。在一些实施例中,电容520存在于电流返回路径503与腔室电容536之间。电容536可以是例如基板电极109与基板之间或者边缘环电极111与边缘环之间的阻抗。在一些实施例中,电容还耦接至基板卡紧和偏压补偿模块522。
基板卡紧和偏压补偿模块522是这样的电路,所述电路包括串联耦接至电阻器526和直流电压源528的二极管524、以及串联耦接至电容532和接地534的电阻器530。电容536进一步耦接至杂散电容538和等离子体壳层540。基板卡紧和偏压补偿模块522进一步耦接至杂散电容538。等离子体壳层540可被建模(等离子体壳层模型)为这样的电路,所述电路包括与电流源544并联耦接的壳层电容542以及耦接至接地548的二极管546。在一些实施例中,电流返回路径中的串联电感器504和电阻器506可由开关179代替(图4B)。开关179在离子电流阶段期间闭合。
在使用中,并且对于图5A所示的配置,第三成形直流脉冲电压源518充当用于在离子电流阶段中控制电压波形斜率的有源旋钮。如图5B的绘图550所示,开关512由与主脉冲产生器502同步的TTL信号510控制。开关512可在主脉冲产生器502的电压上升到进入壳层崩溃阶段之前闭合。开关512可在壳层崩溃阶段期间保持闭合,以将电流返回路径503连接到接地。在主脉冲产生器502的电压下降到进入离子电流阶段之后,开关512可打开,使得第三成形直流脉冲电压源518在离子电流阶段期间用于调制IEDF。可选电容516可用于调整基板电压波形对第三成形直流脉冲电压源518的灵敏度。电容542是等离子体壳层电容,其在不同的处理条件下是不同的,并且电流源544是朝向基板的离子电流,所述离子电流也是变量。电容536和杂散电容538是与腔室相关联的电容,并且是恒定的。电容520是阻断电容器,并且也是恒定的。
如图5C和图5D所示,在离子电流阶段期间和当IEDF宽度控制模块508(图5A)主动控制基板或边缘环波形时,电路模型中的有源元件包括离子电流544(I0)、壳层电容542(C1)、腔室电容536(C2)、杂散电容538(C3)、阻断电容520(C4)、以及与第三成形直流脉冲电压源518(V1)并联的可选电容516(C5)。因为电流返回路径中的电感器504和电阻器506对IEDF宽度调制几乎没有影响,所以电感器504和电阻器506被视为在图5C的控制电路560和图5D的控制电路570中的短路。
拓宽IEDF的内在因素是离子电流I0,离子电流I0在基板上沉积正电荷,使得基板的电压逐渐增加,并且轰击基板的离子能量下降(例如,图3A的迹线305)。IEDF拓宽的量取决于例如控制电路560、570中的离子电流I0、壳层电容C1、和/或与腔室相关联的其他电容C2、C3和C4、以及电源模块V1和C5。为了补偿IEDF拓宽的离子电流效应和/或有效控制IEDF宽度,确定此控制电路中所有部件的值(图5C)。与腔室和电源模块相关的电容(C2至C5)可通过产品规格表或使用腔室零件尺寸的估计来确定,或者通过预先测量(诸如使用万用表直接测量阻抗)或者从S参数或Z参数的测量中提取电容值来确定。离子电流I0和壳层电容C1在不同的等离子体处理条件下变化,并且在等离子体处理期间经由实时测量来确定。成形直流脉冲电压源V1具有锯齿形电压输出(图5E)。电压输出的斜率dV1/dt可变化,以确定离子电流I0和壳层电容C1,和/或调制IEDF宽度。在图5A的配置中,如迹线584所示,在壳层崩溃阶段中,成形直流脉冲电压源V1的输出电压为零。迹线582和迹线586示出了成形直流脉冲电压源V1的其他可能波形,如下文所讨论的。
IEDF调制的方法包括两个部分:(1)确定离子电流I0和壳层电容C1,以及(2)确定成形直流脉冲电压源的斜率dV1/dt以实现目标IEDF宽度。利用向基板105或边缘环106供电的锯齿状电压源V1和成形直流电压源159或161,基板或边缘环处的IEDF宽度是基板或边缘环电压从离子电流阶段的开始到结束的变化(图3A和图3B)。在图5C的控制电路560和图5D的控制电路570中,IEDF宽度对应于跨壳层电容C1的电压从离子电流阶段的开始到结束的变化,这由通过壳层电容C1的充电或放电电流I1确定:
ΔV=I1*T/C1 (1)
其中ΔV是IEDF宽度,并且T是离子电流阶段的持续时间。为了获得目标IEDF宽度(ΔV),将确定壳层电容C1和通过壳层电容的期望电流I1。
为了确定壳层电容C1和离子电流I0,分析了控制电路中电流与电压的关系。如图所示,流经电容器C1至C4的电流被称为I1至I4,其中电路原理图中的箭头指向正方向。基于基尔霍夫电流定律,离子电流I0等于通过电容器C1与C2的电流之和:
I0=I1+I2 (2)
通过电容器C2的电流等于通过电容器C3与C4的电流之和:
I2=I3+I4 (3)
基于基尔霍夫电压定律,C1、C2和C3的闭环电压和为零。C1、C2和C3的电压和的时间导数也为零。将电容器C2和C3的交叉处的电压表示为V3。跨电容器C3的电压的时间导数为dV3/dt=I3/C3。电容器C1和C2也存在类似的关系,并且因此基尔霍夫电压定律提供等式(4):
I1/C1=I2/C2+I3/C3 (4)
将基尔霍夫电压定律应用于电容器C3和C4以及电压源V1的闭环提供了等式(5):
I3/C3=I4/C4+dV1/dt (5)
在等式(2)-(5)中,C2、C3和C4通过产品规格表或基于腔室零件尺寸的估计来预先确定,或者通过预先测量(诸如使用万用表直接测量阻抗)或者从S参数或Z参数的测量中提取电容值来预先确定。电流I4可由传感器(诸如电流探针和/或集成电压-电流(VI)传感器)直接测量。电压V3可通过传感器(诸如电压探针和/或集成的VI传感器)直接测量。电流I3可计算为I3=C3*dV3/dt。电压斜率dV1/dt由用户控制并且已知,诸如为0或1伏/纳秒(V/nsec)。通过将成形直流脉冲电压源V1设定在两个不同的斜率dV1/dt和dV1'/dt,可确定电流I4、I4'和电压的时间导数dV3/dt、dV3'/dt。在两个斜率dV1/dt和dV1'/dt下,等式组(2)-(5)形成了八个等式,可求解这些等式以得出壳层电容:
Figure BDA0004113713890000151
以及离子电流:
Figure BDA0004113713890000152
为了获得目标IEDF宽度(ΔV),通过壳层电容器C1的总电流为
I1=C1*ΔV/T (8)
将等式(6)-(8)代入等式(2)-(5),得出用于实现IEDF宽度ΔV的锯齿状电压源V1的电压斜率:
Figure BDA0004113713890000153
在最窄IEDF(ΔV=0)的情况下,锯齿状电压源V1的电压斜率为
Figure BDA0004113713890000154
图6A是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的边缘环电压控制电路/基板电压控制电路600的实施例的示意性电路图。电路600包括主脉冲产生器502,以在每个离子电流阶段开始时重设基板电压(对应于图3A中的电压衰减)。主脉冲产生器502可以是耦接至接地501的第一成形直流电压源159或第二成形直流电压源161。主脉冲产生器502耦接至电流返回路径503。电流返回路径503包括与电阻器506串联耦接至IEDF宽度控制模块602(例如,图4A和图4B中的第二功率模块)的电感器504。IEDF宽度控制模块602调制IEDF宽度。与图5A的配置不同的IEDF宽度控制模块602可被建模为这样的电路,所述电路包括与开关512、二极管514、耦接至接地517的可选电容516、以及串联耦接至电阻器606的直流电压源604并联耦接的TTL信号510。二极管514是回扫二极管,以用于保护开关512和直流电压源604。在一些实施例中,阻断电容520存在于电流返回路径503与腔室电容536之间。电容536可以是例如基板电极109与基板之间或者边缘环电极111与边缘环之间的阻抗。在一些实施例中,基板卡紧和偏压补偿模块522还耦接至阻断电容520和腔室电容536。基板卡紧和偏压补偿模块522进一步耦接至杂散电容538。基板卡紧和偏压补偿模块522是这样的电路,所述电路包括串联耦接至电阻器526和直流电压源528的二极管524、以及串联耦接至电容532和接地534的电阻器530。
等离子体壳层540可被建模(等离子体壳层模型)为这样的电路,所述电路包括与电流源544并联耦接的壳层电容542以及耦接至接地548的二极管546。
在使用中,并且对于图6A所示的配置,直流电压源604与电阻器606一起充当用于控制离子电流阶段中基板或边缘环电压波形斜率的有源旋钮,这与图5A的配置相反,在图5A的配置中,第三成形直流脉冲电压源518充当用于控制离子电流阶段中电压波形斜率的有源旋钮。如图5B所示,开关512可由与主脉冲产生器502同步的TTL信号510控制。开关512可在主脉冲产生器502的电压上升到进入壳层崩溃阶段之前闭合。开关512可在壳层崩溃阶段期间保持闭合,以将电流返回路径503连接到接地。在主脉冲产生器502的电压下降到进入离子电流阶段之后,开关512可打开,使得直流电压源604用于在离子电流阶段中调制IEDF。可选电容516可用于调整基板电压波形对直流电压源604的灵敏度。电容542是等离子体壳层电容,并且是可变的。电流源544是朝向基板的离子电流,并且也是变化的。电容536可以是例如基板电极109与基板之间或者边缘环电极111与边缘环之间的电容。电容538可以是基板电极109与接地或者边缘环电极111与接地之间的电容。电容520是阻断电容器,并且也是恒定的。
如图6B和图6C所示,在离子电流阶段期间和当IEDF宽度控制模块602(图6A)主动控制基板或边缘环波形时,电路模型中的有源元件包括离子电流544(I0)、壳层电容542(C1)、腔室电容536(C2)、杂散电容538(C3)、阻断电容520(C4)、以及与直流电压源604(V0)和电阻器606(R)并联的可选电容516(C5)。因为电流返回路径中的电感器504和电阻器506对IEDF宽度调制几乎没有影响,所以电感器504和电阻器506在图6B的控制电路650和图6C的控制电路660中被视为短路。图6B示出了图6A的控制电路,而图6C示出了下文描述的图7A的控制电路。
拓宽IEDF的内在因素是离子电流I0,离子电流I0在基板上沉积正电荷,使得基板的电压逐渐增加,并且轰击基板的离子能量下降(图3A的迹线305)。IEDF拓宽的量取决于例如离子电流I0、壳层电容C1、以及与腔室相关联的其他电容(C2、C3和C4)、以及分别在图6B和图6C的控制电路650和控制电路660中的电源模块(V0、R和C5)。为了补偿IEDF拓宽的离子电流效应并有效控制IEDF宽度,确定控制电路650和控制电路660中所有部件的值。与腔室和电源模块相关联的电容(C2至C5)可通过产品规格表或使用腔室零件尺寸的估计来确定,或者通过预先测量(诸如使用万用表直接测量阻抗)或者从S参数或Z参数的测量中提取电容值来确定。电阻R也通过产品规格表或通过利用万用表直接测量来预先确定。离子电流I0和壳层电容C1在不同的等离子体处理条件下变化,通过等离子体处理期间的实时测量来确定。直流电压源V0是有源控制旋钮,并且可被改变以确定离子电流I0,以确定壳层电容C1和/或调制IEDF宽度。
IEDF调制的方法包括两个部分:(1)确定离子电流I0和壳层电容C1,以及(2)确定直流电压V0以实现目标IEDF宽度。IEDF宽度是基板或边缘环电压从离子电流阶段的开始到结束的扩展(图3A和图3B)。在图6B的控制电路650和图6C的控制电路660中,IEDF宽度对应于跨壳层电容C1的电压从离子电流阶段的开始到结束的变化,这由通过壳层电容C1的充电或放电电流I1确定:
Figure BDA0004113713890000181
其中ΔV是IEDF宽度,并且T是离子电流阶段的持续时间。为了获得目标IEDF宽度(ΔV),将确定壳层电容C1和通过壳层电容的期望电流I1。
为了确定壳层电容C1和离子电流I0,分析了控制电路中电流和电压的关系。这里,例如,流经电容器C1至C5的电流被称为I1至I5,其中电路原理图中的箭头指向正方向。电容器C2和C3的交叉处的电压为V3。存在直流电压源V0的阈值电压,表示为Vth,在低于所述阈值电压的情况下,二极管D3旁路直流电压源V0和电阻器R的串联,使得IEDF宽度控制模块的输出电压为零。Vth依赖于等离子体条件,并且可通过例如逐渐增加直流电压V0直到电流I4或电压V3受到直流电压输出V0影响的地步来实验确定。
在V0≤Vth的情况下,基于基尔霍夫电流定律,离子电流I0等于通过电容器C1和C2的电流之和:
I0=I1+I2 (12)
通过电容器C2的电流等于通过电容器C3与C4的电流之和:
I2=I3+I4 (13)
基于基尔霍夫电压定律,C1、C2和C3的闭环电压和为零。C1、C2和C3的电压和的时间导数也为零。跨电容器C3的电压的时间导数为dV3/dt=I3/C3。同样的关系还适用于电容器C1和C2。对电容器C1和C2使用基尔霍夫电压定律提供了等式(14):
I1/C1=I2/C2+I3/C3 (14)
将基尔霍夫电压定律应用于电容器C3和C4的闭环、以及二极管旁路的IEDF宽度控制模块,提供了等式(15):
I3/C3=I4/C4 (15)
在V0>Vth的情况下,等式(12)-(14)仍然成立。将基尔霍夫电压定律应用于电容器C3、C4和C5的闭环提供了等式(16):
I3/C3=I4/C4+I5/C5 (16)
将基尔霍夫电压定律应用于电容器C5、直流电压源V0和电阻器R的闭环,提供了等式(17):
Figure BDA0004113713890000191
其中(I4-I5)是当二极管D3不活动时通过直流电压源V0和电阻器R的电流。
在一些实施例中,没有电容器C5。在此类情况下,没有等式(17),而等式(16)变成
I3/C3=I4/C4+R*dI4/dt (18)
在等式(12)-(18)中,C2、C3、C4和C5通过产品规格表或基于腔室零件尺寸的估计来预先确定,或者通过预先测量(诸如使用万用表直接测量阻抗)或者从S参数或Z参数的测量中提取电容值来预先确定。电流I4可通过传感器(诸如电流探针和/或集成的VI传感器)直接测量。电压V3可通过传感器(诸如电压探针和/或集成的VI传感器)直接测量。电流I3可计算为I3=C3*dV3/dt。直流电压V0由用户控制并且已知,诸如将直流电压输出V0设定为从零到数千伏的值。通过将直流电压V0设定为两个不同的值V0和V0',其中V0和V0'中的至少一者高于阈值电压Vth,可确定电流I4、I4'和电压的时间导数dV3/dt、DV3'/dt。求解等式组(12)-(18),得出壳层电容C1:
Figure BDA0004113713890000192
以及离子电流I0:
I0=(C1/C2+C1/C3+1)*I3+(C1/C2+1)*I4 (20)
在等式组(12)-(18)中代入壳层电容C1和离子电流I0,可针对任何直流电压V0计算电流I1至I5。
通过已知的电容C1至C5、电阻R和直流电压V0将I1的表达式代入等式(11),可获得IEDF宽度(ΔV)与直流电压V0之间的关系。因此,对于目标IEDF宽度(ΔV),确定所需的直流电压V0。
在一些实施例中,电阻器R足够大(例如,约10kΩ),并且通过直流电压源V0的电流在离子电流阶段中近似为时间常量并且等于V0/R。在这些实施例中,等式(17)变成
I4=I5+V0/R (21)
求解等式(12)、(13)、(14)、(16)和(21),得出通过壳层电容器C1的总电流,如等式(22):
Figure BDA0004113713890000201
其中
k=C3C4+C4C5+C5C3
对恒定电流I1的这种近似情况使用等式(8),用于获得目标IEDF宽度(ΔV)的直流电压V0可使用等式(23)来找到:
Figure BDA0004113713890000202
在最窄IEDF(ΔV=0)的情况下,直流电压V0为
Figure BDA0004113713890000203
图7A是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的边缘环电压控制电路/基板电压控制电路700的实施例的示意性电路图。电路700包括主脉冲产生器502,以在每个离子电流阶段开始时重设基板电压(对应于图3A中的电压衰减)。主脉冲产生器502可以是耦接至接地501的第一成形直流电压源159或第二成形直流电压源161。主脉冲产生器502直接地或通过电容701耦接至IEDF宽度控制模块702(例如,图4C中的第二功率模块)。
IEDF宽度控制模块702可被建模为这样的电路,所述电路包括与开关706并联耦接的TTL信号704。TTL信号704与接地716串联耦接。开关706并联耦接至二极管708、直流电压源710和可选电容714。直流电压源710串联耦接至电阻器712。IEDF宽度控制模块702耦接至腔室电容536。电容536可以是例如基板电极109与基板之间或者边缘环电极111与边缘环之间的阻抗。在一些实施例中,IEDF宽度控制模块702还耦接至上文讨论的基板卡紧和偏压补偿模块522。基板卡紧和偏压补偿模块522进一步耦接至杂散电容538。基板卡紧和偏压补偿模块522是这样的电路,所述电路包括串联耦接至电阻器526和直流电压源528的二极管524、以及串联耦接至电容532和接地534的电阻器530。二极管708是回扫二极管,以用于保护开关706和直流电压源710。
等离子体壳层540可被建模(等离子体壳层模型)为这样的电路,所述电路包括与电流源544并联耦接的壳层电容542以及耦接至接地548的二极管546。
在使用中,并且对于图7A所示的配置,直流电压源710与电阻器712一起充当用于控制离子电流阶段中电压波形斜率的有源旋钮。如图5B的绘图所示,开关706可由与主脉冲产生器502同步的TTL信号704控制。开关706可在主脉冲产生器502的电压上升到进入壳层崩溃阶段之前闭合。开关512可在壳层崩溃阶段期间保持闭合。在主脉冲产生器502的电压下降到进入离子电流阶段之后,开关706可打开,使得直流电压源710用于在离子电流阶段中调制IEDF。可选电容714可用于调整基板电压波形对直流电压源710的灵敏度。图7A的控制机构类似于图6A的控制机构。一个不同之处在于,如上所述的图7A的控制电路在图6C中示出,而图6A的控制电路在图6B中示出。
图8是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的边缘环电压控制电路/基板电压控制电路800的实施例的示意性电路图。电路800包括主脉冲产生器502,以在每个离子电流阶段开始时重设基板电压(对应于图3A中的电压衰减)。主脉冲产生器502可以是耦接至接地501的第一成形直流电压源159或第二成形直流电压源161。主脉冲产生器502直接地或通过电容701耦接至IEDF宽度控制模块802(例如,图4C中的第二功率模块)。
IEDF宽度控制模块802可被建模为这样的电路,所述电路包括与开关706并联耦接的TTL信号704。TTL信号704还与接地716串联耦接。开关706并联耦接至二极管708、第三成形直流脉冲电压源804以及可选电容714。IEDF宽度控制模块802耦接至腔室电容536。电容536可以是例如基板电极109与基板之间或者边缘环电极111与边缘环之间的阻抗。在一些实施例中,IEDF宽度控制模块802还耦接至上文讨论的基板卡紧和偏压补偿模块522。基板卡紧和偏压补偿模块522是这样的电路,所述电路包括串联耦接至电阻器526、直流电压源528的二极管524、以及串联耦接至电容532和接地534的电阻器530。二极管708是用于保护开关和第三成形直流脉冲电压源804的回扫二极管。
基板卡紧和偏压补偿模块522进一步耦接至腔室电容536。等离子体壳层540可被建模(等离子体壳层模型)为这样的电路,所述电路包括与电流源544并联耦接的壳层电容542以及耦接至接地548的二极管546。
在使用中,并且对于图8所示的配置,第三成形直流脉冲电压源804充当用于控制离子电流阶段中电压波形斜率的有源旋钮,这与图7A的配置相反,在图7A的配置中,直流电压源710与电阻器712一起充当用于控制离子电流阶段中电压波形斜率的有源旋钮。如图5B的绘图所示,开关706可由与主脉冲产生器502同步的TTL信号704控制。开关706可在主脉冲产生器502的电压上升到进入壳层崩溃阶段之前闭合。开关706在壳层崩溃阶段期间保持闭合。在主脉冲产生器502的电压下降到进入离子电流阶段之后,开关706可打开,使得第三成形直流脉冲电压源804用于在离子电流阶段中调制IEDF。可选电容714可用于调整基板电压波形对第三成形直流脉冲电压源804的灵敏度。图8的控制机构类似于图5A的控制机构。一个不同之处在于,如上所述的图8的控制电路在图5D中示出,而图5A的控制电路在图5C中示出。
对于图5A、图6A、图7和图8所示的配置,在不脱离本文描述的实施例的范围的情况下,设想到基板卡紧和偏压补偿模块可以任何合适的方式连接至电路。在不脱离本文描述的实施例的范围的情况下,还设想到基板卡紧和偏压补偿模块可包括额外的或不同的部件。
图9是示出用于驱动基板支撑组件104的基板电极109和/或边缘环电极111的边缘环电压控制电路/基板电压控制电路900的实施例的示意性电路图。电路900包括主脉冲产生器502,以在每个离子电流阶段开始时重设基板电压(对应于图3A中的电压衰减)。主脉冲产生器502可以是耦接至接地501的第一成形直流脉冲电压源159或第二成形直流脉冲电压源161。主脉冲产生器502耦接至IEDF宽度控制模块902(例如,图4D中的第二功率模块)。
IEDF宽度控制模块902可被建模为这样的电路,所述电路包括与开关906并联耦接的TTL信号904。TTL信号904还与接地916串联耦接。开关906并联耦接至二极管908。TTL信号904、开关906和二极管908的组合控制基板卡紧和偏压补偿模块920是否连接至电路的另一部分。基板卡紧和偏压补偿模块920是这样的电路,所述电路包括并联耦接至电阻器922和直流电压源924的电容926。基板卡紧和偏压补偿模块920与TTL信号904、开关906和二极管908的组件串联耦接。基板卡紧和偏压补偿模块920和开关906作为一个整体并联耦接至与电阻器912串联的直流电压源910,并且还并联耦接至可选的电容器914。二极管908是回扫二极管,以用于保护开关906和直流电压源910和直流电压源924。
在杂散电容538与等离子体壳层540之间可存在电容536,电容536可以是例如基板电极109与基板之间或者边缘环电极111与边缘环之间的阻抗。IEDF宽度控制模块902与基板卡紧和偏压补偿模块920两者都耦接至基板电极109和/或边缘环电极111。IEDF宽度控制模块902还耦接至杂散电容538。等离子体壳层540可被建模(等离子体壳层模型)为这样的电路,所述电路包括与电流源544并联耦接的壳层电容542以及耦接至接地548的二极管546。
在使用中,对于图9所示的配置,直流电压源910与电阻器912一起充当用于控制离子电流阶段中电压波形斜率的有源旋钮。如图5B所示,开关906可由与主脉冲产生器502同步的TTL信号904控制。开关906可在主脉冲产生器502的电压上升到进入壳层崩溃阶段之前闭合。开关512可在壳层崩溃阶段期间保持闭合,使得基板卡紧和偏压补偿模块920连接至电路的另一部分,并将基板卡紧电压重设到设定点。在主脉冲产生器502的电压下降到进入离子电流阶段之后,开关906可打开,使得直流电压源910用于在离子电流阶段中调制IEDF。可选电容器914可用于调整基板电压波形对直流电压源910的灵敏度。图9的控制机构类似于上述图6B所示的控制机构。一个不同之处在于电容器C4被移除。
图10是示出用于驱动基板支撑组件104的电极109、111的边缘环电压控制电路/基板电压控制电路1000的实施例的示意性电路图。电路1000包括主脉冲产生器502,以在每个离子电流阶段开始时重设基板电压(对应于图3A中的电压衰减)。主脉冲产生器502可以是耦接至接地501的第一成形直流脉冲电压源159或第二成形直流脉冲电压源161。主脉冲产生器502耦接至IEDF宽度控制模块1002(例如,图4D中的第二功率模块)。
IEDF宽度控制模块1002可被建模为这样的电路,所述电路包括与开关906并联耦接的TTL信号904。TTL信号904还与接地916串联耦接。开关906并联耦接至二极管908。TTL信号904、开关906和二极管908的组合控制基板卡紧和偏压补偿模块是否连接至电路的另一部分。基板卡紧和偏压补偿模块920是这样的电路,所述电路包括并联耦接至电阻器922和直流电压源924的电容926。二极管908是回扫二极管,以用于保护开关、直流电压源910和直流电压源924。基板卡紧和偏压补偿模块920与TTL信号904、开关906和二极管908的组件串联耦接。基板卡紧和偏压补偿模块920和开关906作为一个整体并联耦接至成形直流脉冲电压源1004,并且还并联耦接至可选的电容器914。
在杂散电容538与等离子体壳层540之间可存在电容536,电容536可以是例如基板电极109与基板之间或者边缘环电极111与边缘环之间的阻抗。IEDF宽度控制模块1002与基板卡紧和偏压补偿模块920两者都耦接至基板电极109和/或边缘环电极111。IEDF宽度控制模块1002还耦接至杂散电容538。等离子体壳层540可被建模(等离子体壳层模型)为这样的电路,所述电路包括与电流源544并联耦接的壳层电容542以及耦接至接地548的二极管546。
在使用中,并且对于图10所示的配置,成形直流脉冲电压源1004充当用于控制离子电流阶段中电压波形斜率的有源旋钮,这与图9的配置相反,在图9的配置中,直流电压源910与电阻器912一起充当用于控制离子电流阶段中电压波形斜率的有源旋钮。如图5B的绘图所示,开关906可由与主脉冲产生器502同步的TTL信号904控制。开关906可在主脉冲产生器502的电压上升到进入壳层崩溃阶段之前闭合。开关512可在壳层崩溃阶段期间保持闭合,使得基板卡紧和偏压补偿模块连接至电路的另一部分,并将基板卡紧电压重设到设定点。在主脉冲产生器502的电压下降到进入离子电流阶段之后,开关906可打开,使得直流电压源910用于在离子电流阶段中调制IEDF。可选的电容器914可用于调整基板电压波形对成形直流脉冲电压源1004的灵敏度。
图10的控制机构类似于图5A的控制机构。一个不同之处在于电容器C4被移除。另一个不同之处在于,在壳层崩溃阶段中,成形直流脉冲电压源1004的输出电压保持在基板卡紧和偏压补偿模块920的输出电压而不是零,如图5E中的迹线582(正卡紧电压)和迹线586(负卡紧电压)所示。
示例方法
图11是根据本公开的至少一个实施例的使用边缘环IEDF宽度控制电路155和/或基板IEDF宽度控制电路158来控制IEDF宽度的方法1100的流程图。方法1100可通过使用图5-10所示的电路配置中的一个或多个电路配置来实施。方法1100还提供了操作处理腔室100或处理腔室200的方法。
方法1100开始于通过启动或开启耦接至功率模块(例如,IEDF宽度控制模块)的主脉冲产生器(例如,主脉冲产生器502)来向合适的处理腔室施加或以其他方式引入电压。这里,电压被引入到基板电极(例如,基板电极109)和/或边缘环电极(例如,边缘环电极111)。基板电极和/或边缘环电极上的偏压电压在离子电流阶段产生,并以例如壳层电压乘以离子电荷的乘积的能量来加速离子。在无碰撞的壳层模型中,在轰击基板电极和/或边缘环电极时,大多数离子可达到此最大能量。然而,由于例如离子电流在基板电极和/或边缘环电极上沉积正电荷,因此基板电极和/或边缘环电极的电压随时间增加,从而降低壳层电压并导致离子能量的扩散。
在操作1110处,在两个或更多个条件下测量功率模块(例如,IEDF宽度控制模块)的电流和/或IEDF宽度控制模块的电压或电压导数,以确定壳层电容C1和/或离子电流I0。这里测量的电流可以是电流I4,电流I4是通过图5A、图6A、图7和图8中的电容器C4的电流。附加地或者替换地,测量的电流可以是图9和图10中的主脉冲产生器的输出电流。电压导数可以是dV3/dt。测量可在离子电流阶段进行。可通过将IEDF宽度控制模块中的有源旋钮(例如,直流电压源V0和/或成形直流脉冲电压源dV1/dt)设定为两个不同的值来实现这两个或更多个条件。
作为示例,并且对于图5、图8和图10的配置,成形直流脉冲电压源可被设定为离子电流阶段中的任意两个不同斜率dV1/dt。作为另一个示例,并且对于图6、图7和图9的配置,直流电压V0可逐渐增加,同时监控I4直到I4受直流电压V0影响的地步。此直流电压是阈值电压Vth。直流电压源V0的两个设定点中的至少一个设定点大于Vth。即,测量IEDF宽度控制模块的电流、IEDF宽度控制模块的电压或电压导数、或上述两者包括:将直流电压源、成形直流脉冲电压源或上述两者设定为第一值;以及将直流电压源、成形直流脉冲电压源或上述两者设定为第二值。
在操作1115处,基于用于图5、图8和图10的配置的等式(6)和(7)或者用于图6、图7和图9的配置的等式(19)和(20)来计算离子电流I0和壳层电容C1。计算的输入值为:I3=C3*dV3/dt;i3'=C3*dV3'/dt;以及I4、I4'。C3和C3'的值是已知的,并且在操作1110处测量dV3/dt、dV3'/dt、I4和I4'的值。如此,就可计算出I3和I3'。
在操作1120处,确定主脉冲产生器的直流电压(V0)的期望设定点,IEDF宽度控制模块的电压(V1)或电压导数(dV1/dt)的期望设定点,或上述两者,以实现目标IEDF宽度(ΔV)。所述确定基于例如确定IEDF宽度控制模块的期望设定,以实现用户指定的离子能量分布宽度(ΔV)。主脉冲产生器的直流电压(V0)和成形直流脉冲电压(V1)的斜率(dV1/dt)可分别由等式(23)和(9)确定。在操作1125处,IEDF宽度控制模块的直流电压(V0)和/或电压(V1)或电压导数(dV1/dt)被调整至确定的设定点。
与用于控制IEDF的常规处理相反,本文描述的方法没有循环以确定IEDF宽度控制模块的期望设定点。然而,在一些实施例中,循环可用于确定期望的设定点。在此类实施例中,控制器可监控离子电流阶段中的I4和V3,以检测等离子体条件的任何变化,并相应地调整IEDF宽度控制模块的设定点。
本文描述的方法和设备(例如,电路)能够控制脉冲直流基板电压波形的形状(例如,窄的或可调整的宽度)。本文描述的实施例进一步实现例如对离子能量分布的控制,包括单能离子加速。
如从前文的一般描述和具体实施例中显而易见,虽然已经图示和描述了本公开的形式,但是在不脱离本公开的精神和范围的情况下,可进行各种修改。因此,本公开不旨在受此限制。同样,术语“包括(comprising)”被认为与术语“包括(including)”同义。同样地,每当组合物、元件或一组元件前带有过渡短语“包括(comprising)”时,应理解,还设想在组合物、一个或多个元件的叙述之前带有过渡短语“基本上由……组成”、“由……组成”、“选自由……组成的群组”或“是”的相同组合物或元件组,反之亦然。
尽管前述内容针对本公开的示例,但是在不脱离本公开的基本范围的情况下,可设计本公开的其他和进一步的示例,并且本公开的范围由所附权利要求书确定。

Claims (20)

1.一种控制离子能量分布函数(IEDF)宽度的方法,包括以下步骤:
通过启动主脉冲产生器向处理腔室的电极引入电压,所述主脉冲产生器耦接至IEDF宽度控制模块;
测量所述IEDF宽度控制模块的电流和所述IEDF宽度控制模块的电压或电压导数;
基于所述IEDF宽度控制模块的所述电流和所述电压或所述电压导数来计算所述处理腔室的离子电流和所述处理腔室的电容;
确定所述主脉冲产生器的直流电压的设定点、所述IEDF宽度控制模块的电压或电压导数的设定点、或上述两者;以及
将所述主脉冲产生器的所述直流电压、所述IEDF宽度控制模块的所述电压或所述电压导数、或上述两者调整至所述确定的设定点以控制所述IEDF的所述宽度。
2.如权利要求1所述的方法,其中所述电极是基板电极。
3.如权利要求1所述的方法,其中所述电极是边缘环电极。
4.如权利要求1所述的方法,其中测量所述IEDF宽度控制模块的电流和所述IEDF宽度控制模块的电压导数的步骤包括以下步骤:
将所述IEDF宽度控制模块的直流电压设定为两个不同的值;以及
将所述IEDF宽度控制模块的电压或电压导数设定为两个不同的值。
5.一种基板支撑件,包括:
基板电极,所述基板电极用于向基板施加基板电压;
边缘环电极,所述边缘环电极用于向边缘环施加边缘环电压;
基板电压控制电路,所述基板电压控制电路耦接至所述基板电极;以及
边缘环电压控制电路,所述边缘环电压控制电路耦接至所述边缘环电极,其中:
所述基板电极耦接至功率模块,所述功率模块被配置为主动控制到达所述基板的离子的能量分布函数宽度;
所述边缘环电极耦接至功率模块,所述功率模块被配置为主动控制到达所述边缘环的离子的能量分布函数宽度;或者
上述各项的组合,
其中所述基板电压控制电路、所述边缘环电压控制电路或上述两者包括耦接至电流返回路径的主脉冲产生器,所述电流返回路径耦接至所述功率模块和处理腔室,其中所述功率模块包括电压源、电流源或上述各项的组合。
6.如权利要求5所述的基板支撑件,其中仅所述基板电极耦接至所述功率模块。
7.如权利要求5所述的基板支撑件,其中仅所述边缘环电极耦接至所述功率模块。
8.如权利要求5所述的基板支撑件,其中所述功率模块包括与开关、可选二极管和成形直流脉冲电压源并联耦接的晶体管-晶体管逻辑信号。
9.如权利要求8所述的基板支撑件,其中所述成形直流脉冲电压源控制所述基板电压的电压波形的斜率、所述边缘环电压的电压波形的斜率或上述各项的组合。
10.如权利要求5所述的基板支撑件,其中所述功率模块包括与开关、二极管和直流电压源并联耦接的晶体管-晶体管逻辑信号,所述直流电压源串联耦接至电阻器。
11.如权利要求10所述的基板支撑件,其中串联耦接至所述电阻器的所述直流电压源控制所述基板电压的电压波形的斜率、所述边缘环电压的电压波形的斜率或上述各项的组合。
12.一种基板支撑件,包括:
基板电极,所述基板电极用于向基板施加基板电压;
边缘环电极,所述边缘环电极用于向边缘环施加边缘环电压;
基板电压控制电路,所述基板电压控制电路耦接至所述基板电极;以及
边缘环电压控制电路,所述边缘环电压控制电路耦接至所述边缘环电极,其中:
所述基板电极耦接至功率模块,所述功率模块被配置为主动控制到达所述基板的离子的能量分布函数宽度;
所述边缘环电极耦接至功率模块,所述功率模块被配置为主动控制到达边所述缘环的离子的能量分布函数宽度;或者
上述各项的组合,
其中所述基板电压控制电路、所述边缘环电压控制电路或上述两者包括:
主脉冲产生器,所述主脉冲产生器耦接至所述功率模块,所述功率模块耦接至处理腔室,所述功率模块包括电压源、电流源或上述各项组合;或者
主脉冲产生器,所述主脉冲产生器耦接至所述功率模块,所述功率模块耦接至处理腔室,其中所述功率模块与基板卡紧和偏压补偿模块并联,并且其中所述功率模块包括电压源、电流源或上述各项的组合。
13.如权利要求12所述的基板支撑件,其中阻断电容耦接至所述主脉冲产生器和所述功率模块两者。
14.如权利要求12所述的基板支撑件,其中仅所述基板电极耦接至所述功率模块。
15.如权利要求12所述的基板支撑件,其中仅所述边缘环电极耦接至所述功率模块。
16.如权利要求12所述的基板支撑件,其中所述功率模块包括与开关并联耦接的晶体管-晶体管逻辑信号,所述开关并联耦接至二极管和直流电压源,所述直流电压源串联耦接至电阻器。
17.如权利要求16所述的基板支撑件,其中串联耦接至所述电阻器的所述直流电压源控制所述基板电压的电压波形的斜率、所述边缘环电压的电压波形的斜率或上述各项的组合。
18.如权利要求12所述的基板支撑件,其中所述功率模块包括与开关并联耦接的晶体管-晶体管逻辑信号,所述开关并联耦接至二极管和成形直流脉冲电压源。
19.如权利要求18所述的基板支撑件,其中所述成形直流脉冲电压源控制所述基板电压的电压波形的斜率。
20.如权利要求18所述的基板支撑件,其中所述成形直流脉冲电压源控制所述边缘环电压的电压波形的斜率。
CN202180059939.3A 2020-11-16 2021-10-13 用于控制离子能量分布的设备和方法 Pending CN116250058A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/099,342 US11798790B2 (en) 2020-11-16 2020-11-16 Apparatus and methods for controlling ion energy distribution
US17/099,342 2020-11-16
PCT/US2021/054806 WO2022103543A1 (en) 2020-11-16 2021-10-13 Apparatus and methods for controlling ion energy distribution

Publications (1)

Publication Number Publication Date
CN116250058A true CN116250058A (zh) 2023-06-09

Family

ID=81587877

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180059939.3A Pending CN116250058A (zh) 2020-11-16 2021-10-13 用于控制离子能量分布的设备和方法

Country Status (7)

Country Link
US (2) US11798790B2 (zh)
EP (1) EP4244883A1 (zh)
JP (1) JP2023541096A (zh)
KR (1) KR20230021755A (zh)
CN (1) CN116250058A (zh)
TW (1) TW202236353A (zh)
WO (1) WO2022103543A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution

Family Cites Families (581)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3140292A (en) 1961-04-07 1964-07-07 Universal Oil Prod Co Preparation of metal phthalocyanines
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
WO1999019527A2 (en) 1997-10-15 1999-04-22 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
EP1119033A4 (en) 1998-09-18 2004-11-17 Tokyo Electron Ltd PLASMA PROCESSING
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
JP2003506826A (ja) 1999-08-02 2003-02-18 アドバンスド エナジー インダストリーズ, インコーポレイテッド イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
KR100842947B1 (ko) 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DK1253216T3 (da) 2001-04-27 2004-03-22 Europ Economic Community Fremgangsmåde og apparat til sekventiel plasmabehandling
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
CN100355033C (zh) 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US7633319B2 (en) 2003-11-28 2009-12-15 Advantest Corporation Digital QP detecting apparatus, spectrum analyzer having the same, and digital QP detecting method
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
WO2005124844A1 (ja) 2004-06-21 2005-12-29 Tokyo Electron Limited プラズマ処理装置及び方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
US7821767B2 (en) 2004-11-04 2010-10-26 Ulvac, Inc. Electrostatic chuck device
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
ATE500604T1 (de) 2005-03-30 2011-03-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP3910210B2 (ja) 2005-05-13 2007-04-25 松下電器産業株式会社 誘電体バリア放電ランプ点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CN101405924B (zh) 2006-01-23 2012-07-11 奥德拉国际销售公司 用于受限电源的功率供应设备以及使用功率供应设备的音频放大器
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
JP5259618B2 (ja) 2006-12-12 2013-08-07 オーツェー・エリコン・バルザース・アーゲー 高出力インパルス・マグネトロン・スパッタリング(hipims)におけるパルシング及びアーク抑制
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
ATE493749T1 (de) 2007-03-08 2011-01-15 Huettinger Elektronik Gmbh Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
DE112007003667A5 (de) 2007-07-23 2010-07-01 Hüttinger Elektronik GmbH & Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
CN102654481A (zh) 2007-11-26 2012-09-05 东京毅力科创株式会社 微细结构体检测装置以及微细结构体检测方法
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
CN101960556B (zh) 2008-03-06 2013-09-18 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
US20110298376A1 (en) 2009-01-13 2011-12-08 River Bell Co. Apparatus And Method For Producing Plasma
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP4932942B2 (ja) 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP2013534970A (ja) 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP3556396B1 (en) 2010-08-31 2022-04-20 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
WO2012122064A1 (en) 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8979842B2 (en) 2011-06-10 2015-03-17 Medtronic Advanced Energy Llc Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI568319B (zh) 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
JP5741461B2 (ja) 2012-01-23 2015-07-01 セイコーエプソン株式会社 印刷装置及び印刷方法
KR102046193B1 (ko) 2012-02-01 2019-11-18 도쿄엘렉트론가부시키가이샤 플라스마 에칭 방법 및 플라스마 에칭 장치
JPWO2013118660A1 (ja) 2012-02-09 2015-05-11 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置
TWI576890B (zh) 2012-02-20 2017-04-01 Tokyo Electron Ltd Power supply system, plasma processing device and plasma processing method
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
WO2013146920A1 (ja) 2012-03-30 2013-10-03 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
MX2020001174A (es) 2012-08-15 2021-08-12 Lockheed Martin Energy Llc Hexacianuros de hierro de alta solubilidad.
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
JP6329542B2 (ja) 2012-08-28 2018-05-23 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. プラズマ処理システム、プラズマシース電圧確立方法、および当該方法を実行可能な命令を読み取り可能な記憶媒体
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9396960B2 (en) 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
WO2014132099A1 (en) 2013-02-28 2014-09-04 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
WO2015009864A1 (en) 2013-07-17 2015-01-22 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (dms) processes
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP2017504955A (ja) 2013-11-06 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Dcバイアス変調による、粒子発生抑制装置
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
TWI590329B (zh) 2014-03-02 2017-07-01 東京威力科創股份有限公司 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6586424B2 (ja) 2014-03-24 2019-10-02 エーイーエス グローバル ホールディングス, プライベート リミテッド 高周波発生器ソースインピーダンスの制御のためのシステムおよび方法
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
TWI714074B (zh) 2015-01-16 2020-12-21 美商艾克塞利斯科技公司 離子植入系統及具有可變能量控制的方法
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
EP3384510B1 (en) 2015-11-30 2021-09-15 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
CN108369921B (zh) 2015-12-07 2023-12-12 应用材料公司 使用静电夹盘夹持及解夹持基板的方法及装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
KR102489215B1 (ko) 2016-09-06 2023-01-16 도쿄엘렉트론가부시키가이샤 유사 원자층 에칭 방법
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
CN108236602B (zh) 2016-12-26 2021-04-23 深圳翰宇药业股份有限公司 一种利伐沙班自乳化制剂及其制备方法
EP3761762B1 (en) 2016-12-30 2022-04-13 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US20180218905A1 (en) 2017-02-02 2018-08-02 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
EP3813259B1 (en) 2017-03-31 2022-10-26 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
JP7261179B2 (ja) 2017-05-30 2023-04-19 タイタン・アドバンスト・エナジー・ソリューションズ・インコーポレイテッド バッテリの寿命評価および容量の回復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
CN110998820B (zh) 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
WO2019067451A1 (en) 2017-09-26 2019-04-04 Advanced Energy Industries, Inc. SYSTEM AND METHOD FOR IGNITION OF PLASMA
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
WO2019088204A1 (ja) 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
US10991554B2 (en) 2017-11-16 2021-04-27 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
KR20200100641A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱
JP7289313B2 (ja) 2017-11-17 2023-06-09 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
JP7408570B2 (ja) 2018-05-03 2024-01-05 アプライド マテリアルズ インコーポレイテッド ペデスタル用のrf接地構成
US10555412B2 (en) * 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
KR20210011388A (ko) 2018-06-18 2021-02-01 도쿄엘렉트론가부시키가이샤 제작 장비의 특성에 대한 간섭이 완화된 실시간 감지
KR20230048459A (ko) 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
US10447174B1 (en) 2018-11-14 2019-10-15 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
TW202308306A (zh) 2019-01-08 2023-02-16 美商鷹港科技股份有限公司 產生高壓脈波之方法
SG11202107162UA (en) 2019-01-09 2021-07-29 Tokyo Electron Ltd Plasma treatment device and plasma treatment method
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7451540B2 (ja) * 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) * 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
KR20220027141A (ko) 2019-07-02 2022-03-07 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 rf 절연
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
CN114762251A (zh) 2019-09-25 2022-07-15 鹰港科技有限公司 具有能量恢复的非线性传输线高电压脉冲锐化
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11848176B2 (en) * 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11694876B2 (en) * 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Also Published As

Publication number Publication date
US20220157577A1 (en) 2022-05-19
WO2022103543A1 (en) 2022-05-19
US20230420229A1 (en) 2023-12-28
TW202236353A (zh) 2022-09-16
US11798790B2 (en) 2023-10-24
KR20230021755A (ko) 2023-02-14
EP4244883A1 (en) 2023-09-20
JP2023541096A (ja) 2023-09-28

Similar Documents

Publication Publication Date Title
JP7367157B2 (ja) 空間変動型ウェハバイアス電力システム
JP7461565B2 (ja) イオンエネルギー分布を制御する装置および方法
US10388544B2 (en) Substrate processing apparatus and substrate processing method
US20200090905A1 (en) Ion energy bias control with plasma-source pulsing
KR101761493B1 (ko) 스위칭 모드 이온 에너지 분포 시스템을 교정하기 위한 시스템 및 방법
US20230420229A1 (en) Apparatus and methods for controlling ion energy distribution
US20150076112A1 (en) Method and Apparatus for Controlling Substrate DC-Bias and Ion Energy and Angular Distribution During Substrate Etching
KR101283360B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
US20230298857A1 (en) Systems and Methods for Extracting Process Control Information from Radiofrequency Supply System of Plasma Processing System
US11948780B2 (en) Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) Automatic electrostatic chuck bias compensation during plasma processing
US20220367149A1 (en) Systems And Methods For Real-Time Pulse Measurement And Pulse Timing Adjustment To Control Plasma Process Performance
US20230132339A1 (en) Plasma processing chambers configured for tunable substrate and edge sheath control
KR20240007234A (ko) 플라즈마 프로세싱 동안의 자동 정전 척 바이어스 보상

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination