TW202236353A - 用於控制離子能量分佈的設備及方法 - Google Patents

用於控制離子能量分佈的設備及方法 Download PDF

Info

Publication number
TW202236353A
TW202236353A TW110140681A TW110140681A TW202236353A TW 202236353 A TW202236353 A TW 202236353A TW 110140681 A TW110140681 A TW 110140681A TW 110140681 A TW110140681 A TW 110140681A TW 202236353 A TW202236353 A TW 202236353A
Authority
TW
Taiwan
Prior art keywords
voltage
substrate
coupled
edge ring
electrode
Prior art date
Application number
TW110140681A
Other languages
English (en)
Inventor
琳鍈 崔
詹姆士 羅傑斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202236353A publication Critical patent/TW202236353A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Abstract

本揭示案的實施例大體係關於用於在電漿處理期間控制離子能量分佈的設備及方法。在一實施例中,該設備包括基板支撐件,該基板支撐件具有主體,該主體具有用於向基板施加基板電壓的基板電極,及具有嵌入用於向邊緣環施加邊緣環電壓的邊緣環電極。該設備進一步包括耦接至基板電極的基板電壓控制電路及耦接至邊緣環電極的邊緣環電壓控制電路。基板電極、邊緣環電極或兩者耦接至功率模組,該功率模組被配置為主動控制到達基板、邊緣環或兩者的離子的能量分佈函數寬度。本文亦描述了在基板處理期間控制離子的能量分佈函數寬度的方法。

Description

用於控制離子能量分佈的設備及方法
本揭示案的實施例大體係關於用於基板的電漿處理的設備及方法,且具體而言係關於用於在電漿處理期間控制離子能量分佈的設備及方法。
在基板的電漿處理期間,離子在基板表面處理、蝕刻及沉積中起著關鍵作用。撞擊基板表面的離子可具有由離子能量分佈函數(ion energy distribution function; IEDF)描述的各種能量。對IEDF的控制可能是各種基板處理方案的重要因數。然而,控制IEDF仍然是一個挑戰。例如,當週期性交流壓施加到腔室的電極時,電漿鞘可在基板上方形成。流向基板的離子被電漿鞘電壓加速,該鞘電壓與施加到電極的電壓相關。同時,離子電流可為基板充電並改變基板電勢,此進而影響電漿鞘電壓,使得基板表面的IEDF亦受到影響,例如變寬。在此種情況下及其他情況下,控制IEDF的最先進的方法是基於低效的迭代迴路。
需要經改進的新方法來控制IEDF。
本揭示案的實施例大體係關於用於基板的電漿處理的設備及方法,且具體係關於用於在電漿處理期間控制離子能量分佈的設備及方法。
在一個實施例中,提供了一種控制離子能量分佈函數(ion energy distribution function; IEDF)的方法。該方法包括透過啟動主脈衝產生器將電壓引入處理腔室的電極,該主脈衝產生器耦接至IEDF寬度控制模組,並量測IEDF寬度控制模組的電流及IEDF寬度控制模組的電壓或電壓導數。該方法進一步包括基於IEDF寬度控制模組的電流及電壓或電壓導數計算處理腔室的離子電流及處理腔室的電容。該方法進一步包括決定主脈衝產生器的直流電壓的設定點、IEDF寬度控制模組的電壓或電壓導數的設定點、或上述兩者,並將主脈衝產生器的直流電壓、IEDF寬度控制模組的電壓或電壓導數、或上述兩者調整至決定的設定點,以控制IEDF的寬度。
在另一個實施例中,提供了一種用於控制離子能量分佈的設備。該設備包括基板支撐件,該基板支撐件具有主體,該主體具有基板支撐件部分,該基板支撐件部分具有嵌入其中的基板電極,用於向基板施加基板電壓。主體進一步包括鄰近基板支撐件部分安置的邊緣環部分,邊緣環部分具有嵌入其中的邊緣環電極,用於向邊緣環施加邊緣環電壓。該設備進一步包括耦接至基板電極的基板電壓控制電路及耦接至邊緣環電極的邊緣環電壓控制電路。基板電極耦接至功率模組,該功率模組被配置為主動控制到達基板的離子的能量分佈函數寬度,或者邊緣環電極耦接至功率模組,該功率模組被配置為主動控制到達邊緣環的離子的能量分佈函數寬度,或者上述兩者的組合。基板電壓控制電路、邊緣環電壓控制電路或兩者包括耦接至電流返回路徑的主脈衝產生器,電流返回路徑耦接至功率模組及處理腔室,其中功率模組包括電壓源、電流源或上述兩者的組合。
在另一實施例中,提供了一種用於控制離子能量分佈的設備。該設備包括基板支撐件,該基板支撐件具有主體,該主體具有基板支撐件部分,該基板支撐件部分具有嵌入其中的基板電極,用於向基板施加基板電壓。主體進一步包括鄰近基板支撐件部分安置的邊緣環部分,邊緣環部分具有嵌入其中的邊緣環電極,用於向邊緣環施加邊緣環電壓。該設備進一步包括耦接至基板電極的基板電壓控制電路及耦接至邊緣環電極的邊緣環電壓控制電路。基板電極耦接至功率模組,該功率模組被配置為主動控制到達基板的離子的能量分佈函數寬度,或者邊緣環電極耦接至功率模組,該功率模組被配置為主動控制到達邊緣環的離子的能量分佈函數寬度,或者上述兩者的組合。基板電壓控制電路、邊緣環電壓控制電路或上述兩者包括耦接至功率模組的主脈衝產生器,功率模組耦接至處理腔室,功率模組包括電壓源、電流源或上述兩者的組合。
在另一實施例中,提供了一種用於控制離子能量分佈的設備。該設備包括基板支撐件,該基板支撐件具有主體,該主體具有基板支撐件部分,該基板支撐件部分具有嵌入其中的基板電極,用於向基板施加基板電壓。主體進一步包括鄰近基板支撐件部分安置的邊緣環部分,邊緣環部分具有嵌入其中的邊緣環電極,用於向邊緣環施加邊緣環電壓。該設備進一步包括耦接至基板電極的基板電壓控制電路及耦接至邊緣環電極的邊緣環電壓控制電路。基板電極耦接至功率模組,該功率模組被配置為主動控制到達基板的離子的能量分佈函數寬度,或者邊緣環電極耦接至功率模組,該功率模組被配置為主動控制到達邊緣環的離子的能量分佈函數寬度,或者上述兩者的組合。基板電壓控制電路、邊緣環電壓控制電路或上述兩者包括耦接至功率模組的主脈衝產生器,功率模組耦接至處理腔室,其中功率模組與基板夾持及偏壓補償模組並聯,並且其中功率模組包括電壓源、電流源或上述兩者的組合。
本揭示案的實施例大體係關於用於基板的電漿處理的設備及方法,並且具體而言係關於用於在電漿處理期間控制離子能量分佈的設備及方法。本文描述的方法及設備,例如電路,賦能對脈衝直流電源的電壓波形的形狀(例如,窄的或可調整的寬度)的控制。本文描述的實施例進一步賦能例如對包括單能離子加速的離子能量分佈函數(ion energy distribution function; IEDF)的控制。
IEDF是用於蝕刻高深寬比特徵的參數。一般而言,根據以下機制,與正弦波射頻偏壓相比,脈衝直流偏壓可提供更窄的IEDF。因為在脈衝直流週期內,離子被時變較小的電場加速,所以與改變正弦波射頻偏壓相比,鞘內離子獲得的能亦表現出較低的時變。因此,被脈衝直流偏壓加速的離子具有比正弦波射頻偏壓更窄的IEDF。然而,從體電漿到基板的離子電流在基板處使電壓波形畸變,並拓寬了離子能量分佈。本文描述的方法及設備可例如補償該離子電流,並主動控制離子能量分佈的寬度。
習用的方法及設備使用迭代控制迴路來控制離子能量分佈的寬度。在控制演算法收斂之前,電漿參數(例如,離子電流、鞘厚度及IEDF寬度)的估算是不準確的。此外,透過使用迭代來控制IEDF寬度是緩慢的,並且會導致控制演算法的不收斂。
相比之下,本文所述的方法及設備利用一個迴路,無需迭代,來決定離子電流及補償電流,以實現給定的IEDF寬度。因此,本文描述的方法及設備比最先進技術更快地達到IEDF的期望狀態,例如窄IEDF。此是由於例如在決定補償電流的解時不使用迭代。
簡而言之,在一些實施例中,基板支撐件包括主體,該主體包括基板支撐件部分及/或邊緣環部分。基板電極嵌入在基板支撐件部分中,用於向基板施加基板電壓。基板電壓控制電路耦接至基板電極。邊緣環部分包括嵌入其中的邊緣環電極,用於向邊緣環施加邊緣環電壓。邊緣環電壓控制電路耦接至邊緣環電極。至少一個成形的直流脈衝源耦接至基板電壓控制電路及/或邊緣環電壓控制電路。基板電壓電路及/或邊緣環電壓控制電路是可調諧的。透過例如調諧基板電壓控制電路及/或邊緣環電壓控制電路來調整電壓幅度,實現對離子能量分佈的調整及控制。
在一些實施例中,IEDF寬度的控制電路耦接至基板支撐件。IEDF寬度的控制電路可整合在主脈衝直流電源內部,或者作為單獨的模組,或者作為具有偏壓補償模組的整合模組來整合。 示例性處理系統配置
第1圖是根據本揭示案的至少一個實施例的處理腔室100的示意性剖視圖。處理腔室100被配置成實施本文描述的方案。在該實施例中,處理腔室是電漿處理腔室,如反應離子蝕刻(reactive ion etch; RIE)電漿腔室。在一些其他實施例中,處理腔室是電漿增強沉積腔室,例如電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition; PECVD)腔室、電漿增強物理氣相沉積(plasma enhanced physical vapor deposition; PEPVD)腔室或電漿增強原子層沉積(plasma-enhanced atomic layer deposition; PEALD)腔室。在一些其他實施例中,處理腔室是電漿處理腔室或基於電漿的離子佈植腔室,例如電漿摻雜(plasma doping; PLAD)腔室。
處理腔室100包括腔室主體101及安置在其上的蓋102,該兩者一起限定了內部體積124。腔室主體101通常耦接至電接地103。基板支撐件組件104安置在內部體積內,以在處理期間在其上支撐基板105。邊緣環106位於基板支撐件組件104上,並圍繞基板105的周邊。處理腔室100亦包括用於在處理腔室100內產生反應性物種電漿的電感耦合電漿設備107,及適於控制處理腔室100的系統及子系統的控制器108。在一些實施例中,電感耦合電漿設備107可由接地的噴淋頭代替,並且射頻功率從基板下的電極傳送以產生電容耦合電漿。
基板支撐件組件104安置在內部體積124中。基板支撐件組件104大體包括基板支撐件152。基板支撐件152包括靜電卡盤150,靜電卡盤150包括被配置為墊起及支撐待處理基板105的基板支撐件部分154,及被配置為支撐邊緣環106的邊緣環部分156。基板支撐件組件104可額外包括加熱器組件169。基板支撐件組件104亦可包括冷卻基底131。冷卻基底131可替代地與基板支撐件組件104分離。基板支撐件組件104可被可移除地耦接至支撐基座125。支撐基座125安裝到腔室主體101。支撐基座125可視情況包括設施板180。基板支撐件組件104可週期性地從支撐基座125移除,以允許整修基板支撐件組件104的一或更多個部件。升舉銷146如習知所知穿過基板支撐件組件104安置,以便於基板移送。
設施板180被配置為容納來自靜電卡盤150及冷卻基底131的複數個流體連接。設施板180亦被配置成容納來自靜電卡盤150及加熱器組件169的複數個電連接。複數個電連接可在基板支撐件組件104的外部或內部設置,而設施板180為連接提供與相應的終端的介面。
基板電極109嵌入在靜電卡盤150的基板支撐件部分154內,用於向安置在基板支撐件組件104的上表面160上的基板105施加基板電壓。邊緣環部分156具有嵌入其中的邊緣環電極111,用於向邊緣環106施加邊緣環電壓。邊緣環IEDF寬度控制電路155耦接至邊緣環電極111。基板IEDF寬度控制電路158耦接至基板電極109。在一個實施例中,第一成形直流脈衝電壓源159耦接至邊緣環IEDF寬度控制電路155及基板IEDF寬度控制電路158中的一或兩者。在另一實施例中,如第1圖所示,第一成形直流電壓源159耦接至邊緣環IEDF寬度控制電路155,而第二成形直流電壓源161耦接至基板IEDF寬度控制電路158。邊緣環IEDF寬度控制電路155及基板IEDF寬度控制電路158是可獨立調諧的。基板電極109進一步耦接至夾持電源115,以便於在處理期間用靜電卡盤150將基板105夾持到上表面160。
電感耦合電漿設備107安置在蓋102上方,並被配置為將射頻功率電感耦合至處理腔室100內的氣體,以產生電漿116。電感耦合電漿設備107包括安置在蓋102上方的第一線圈118及第二線圈120。每個線圈118、120的相對位置、直徑比及/或每個線圈118、120的匝數可各自根據需要進行調整,以控制正在形成的電漿116的輪廓或密度。第一及第二線圈118、120中的每一個經由射頻饋電結構123,透過匹配網路122耦接至射頻電源121。射頻電源121示例性地能夠在50千赫至13.56兆赫的可調諧頻率下產生高達約4000瓦(但不限於約4000瓦)的功率,但根據特定應用的需要亦可使用其他頻率及功率。
在一些實例中,功率分配器126,如分壓電容器,可安置在射頻饋電結構123與射頻電源121之間,以控制提供給相應的第一及第二線圈118、120的射頻功率的相對量。在其他實施例中,電容耦合電漿設備(未示出)可在蓋102上方使用。加熱器元件128可安置在蓋102上,以便於加熱處理腔室100的內部。加熱元件128可安置在蓋102與第一及第二線圈118、120之間。在一些實例中,加熱器元件128包括電阻加熱元件,並且耦接至電源130,如交流電源,其被配置為提供足夠的能量來將加熱器元件128的溫度控制在期望的範圍內。
在操作期間,基板105,如半導體基板或其他適合電漿處理的基板,被放置在基板支撐件組件104上。基板升舉銷146可移動地安置在基板支撐件組件104中,以輔助將基板105移送到基板支撐件組件104上。在定位基板105之後,處理氣體從氣體面板132經過入口134被供應到腔室主體101的內部體積124中。透過從射頻電源121向第一及第二線圈118、120供電,處理氣體在處理腔室100中被點燃成電漿116。可使用閥136及真空泵138來控制處理腔室100的內部體積124內的壓力。
處理腔室100包括控制器108,以在處理期間控制處理腔室100的操作。控制器108包括中央處理單元(central processing unit; CPU) 140、記憶體142及用於中央處理單元140的支援電路144,並且便於控制處理腔室100的部件。控制器108可為任何形式的通用電腦處理器中的一種,其可在工業環境中用於控制各種腔室及子處理器。記憶體142儲存軟體(源碼或目標碼),該軟體可被執行或調用來以本文描述的方式控制處理腔室100的操作。控制器108被配置成控制第一成形直流電壓源159、第二成形直流電壓源161、邊緣環IEDF寬度控制電路155及基板IEDF寬度控制電路158。
第2圖是根據本揭示案的至少一個實施例的處理腔室200的示意圖。處理腔室200被配置成實施本文描述的方案。與處理腔室100一樣,處理腔室200是電漿處理腔室,如上述各者。
處理腔室200包括安置在基板支撐件組件104上的基板105,如第1圖所示。邊緣環106位於基板支撐件組件104上,並圍繞基板105的周邊。儘管未示出,但電容耦合電漿裝置安置在基板上方(通常安置在腔室蓋上方)。電容耦合電漿設備可包括離子抑制器及噴淋頭,其中射頻功率從基板下的電極傳送以產生電容耦合電漿。控制器108經調適以控制處理腔室的系統及子系統。控制器108包括中央處理單元(central processing unit; CPU)140、記憶體142及用於中央處理單元140的支援電路144,並且便於控制處理腔室100的部件。控制器108可為任何形式的通用電腦處理器中的一種,其可在工業環境中用於控制各種腔室及子處理器。記憶體142儲存軟體(源碼或目標碼),該軟體可被執行或調用來以本文描述的方式控制處理腔室100的操作。控制器108被配置成控制第一成形直流電壓源159、第二成形直流電壓源161、邊緣環IEDF寬度控制電路155及/或基板IEDF寬度控制電路158。下文論述的第4A-4D圖顯示了將IEDF寬度控制模組連接至脈衝產生器的不同配置。
基板支撐件組件104、設施板180、基板電極109及邊緣環電極111可與第1圖中論述的相同。邊緣環IEDF寬度控制電路155耦接至邊緣環電極111。基板IEDF寬度控制電路158耦接至基板電極109。在一個實施例中,第一成形直流脈衝電壓源159耦接至邊緣環IEDF寬度控制電路155及基板IEDF寬度控制電路158中的一或兩個。在另一個實施例中,第一成形直流電壓源159耦接至邊緣環IEDF寬度控制電路155,而第二成形直流電壓源161耦接至基板IEDF寬度控制電路158。邊緣環IEDF寬度控制電路155及基板IEDF寬度控制電路158是可獨立調諧的。基板電極109進一步耦接至夾持電源115,以便於在處理期間用靜電卡盤150將基板105夾持到上表面160。
處理腔室200的操作及基板105的處理可以與處理腔室100類似的方式進行。在一些實施例中,處理系統配置包括位於處理腔室內的離子抑制器,以控制到達基板的電漿激發物種的類型及量。在一些實施例中,離子抑制器單元是穿孔板,其亦可充當電漿產生單元的電極。在該等及其他實施例中,離子抑制器可為將氣體及激發物種分配到與基板接觸的反應區域的噴淋頭。在一些實施例中,離子抑制是透過穿孔板離子抑制器及噴淋頭來實現的,電漿激發的物種穿過此兩者以到達反應區域。
當透過成形直流電壓源159向基板(或晶圓)施加電壓時,產生波形。第3A圖示出了不同的偏壓電壓波形。波形包括兩個階段:離子電流階段及鞘崩潰階段。在離子電流階段開始時,晶圓電壓的下降在基板上方產生高壓鞘,加速了正離子到達基板。正離子在基板表面沉積正電荷,並趨於逐漸增加基板正電荷電壓。若成形直流電壓源159供應方波,則朝向基板的離子電流產生基板電壓的正斜率,如跡線305所示。離子電流階段的開始及結束之間的電壓差決定了IEDF寬度。電壓差越大,IEDF寬度越寬(第3B圖)。為了獲得單能離子及更窄的IEDF寬度,執行操作以使離子電流階段中的基板電壓波形(例如,跡線310)變得平坦。在一些實施例中,可施加電壓以實現特定的IEDF寬度,如跡線315的基板波形所示。
在離子電流階段結束時,基板電壓上升到體電漿電壓,而鞘崩潰,使得電子從電漿行進到基板表面,並中和了基板表面的正電荷。由此,基板的表面被重設用於下一個循環。
在一些實施例中,第一及第二成形直流電壓源159及161是正脈衝產生器。正脈衝產生器產生正電壓脈衝,這對應於鞘崩潰階段。當每個正脈衝關閉時,離子電流階段開始。在一些實施例中,第一及第二成形直流電壓源159及161是負脈衝產生器。負脈衝產生器產生對應於離子電流階段的負電壓脈衝。當每個負脈衝關閉時,鞘崩潰階段開始。 示例電路
第4A圖是示例電路465的示意圖。如下所述,且在一些實施例中,第4A圖所示的示例電路對應於第5A及6A圖的電路圖。第5A及6A圖的不同之處在於例如第二功率模組的電路系統。
示例電路465包括透過串接的串聯電感器468及電阻器469耦接至第二功率模組470的脈衝直流電源466。第二功率模組470調變離子能量分佈函數(ion energy distribution function; IEDF)的寬度。可選的阻隔電容器471可存在於電漿腔室負載472與電路465的其餘部分之間。可透過硬體、軟體、韌體或其組合來實現的控制器(未示出)用以控制第4A圖所示的各種部件。
成形的直流電源466產生具有兩個電壓位準——低電壓位準及高電壓位準的電壓波形。低電壓位準對應於離子電流階段。高電壓位準對應於鞘崩潰階段。在離子電流階段,第二功率模組470調變電壓相對於時間的斜率,如第3A圖中的跡線305、310及315所示。不同的斜率導致不同的IEDF寬度,如第3B圖所示。最平坦的斜率(第3A圖的跡線305)對應於第3B圖中最窄的IEDF寬度。
第4B圖是示例電路475的示意圖。如圖所示,第4B圖與第4A圖的不同之處在於用開關479代替了串聯電感器468及電阻器469。開關479與脈衝直流電源476及第二功率模組478串聯連接。在離子電流階段,開關479閉合。在鞘崩潰階段,開關可打開或關閉。可由硬體、軟體、韌體或其組合實現的控制器(未示出)用以控制第4B圖中表示的各種部件。
第4C圖是示例電路485的示意圖。如下所述,且在一些實施例中,第4C圖所示的示例電路485對應於第7及8圖的電路圖。示例電路485包括耦接至地面的成形直流電壓源486。可選的阻隔電容器487可存在於成形直流電壓源486與第二功率模組488之間。第二功率模組488調變IEDF的寬度。第二功率模組488進一步耦接至電漿腔室負載489。可由硬體、軟體、韌體或其組合實現的控制器(未示出)用以控制第4C圖中表示的各種部件。
成形直流電壓源486產生具有兩個電壓位準——低電壓位準及高電壓位準的電壓波形。低電壓位準對應於離子電流階段。高電壓位準對應於鞘崩潰階段。在離子電流階段,第二功率模組488產生相對於時間的電壓斜率。基板上產生的電壓波形是成形直流電壓源486與第二功率模組488的輸出電壓之和,其可被調變,且從而使IEDF寬度被調變。
第4D圖是根據本揭示案的至少一個實施例的示例電路490的示意圖。如下所述,且在一些實施例中,示例電路490對應於第9圖及第10圖的電路圖。示例電路490包括耦接至地面的成形直流電壓源491、第二功率模組492及基板夾持及偏壓補償模組493。開關495與基板夾持及偏壓補償模組493串聯連接。第二功率模組492及基板夾持及偏壓補償模組493並聯連接,一端耦接至成形直流電壓源491,而另一端耦接至電漿腔室負載494。第二功率模組492調變IEDF的寬度。第二功率模組492及基板夾持及偏壓補償模組493進一步耦接至電漿腔室負載494。可透過硬體、軟體、韌體或其組合來實現的控制器(未示出)用以控制第4D圖所示的各種部件。
成形直流電壓源491產生具有兩個電壓位準——低電壓位準及高電壓位準的電壓波形。低電壓位準對應於離子電流階段。高電壓位準對應於鞘崩潰階段。在離子電流階段,第二功率模組492產生相對於時間的電壓斜率。基板上產生的電壓波形是成形直流電壓源491與第二功率模組492的輸出電壓之和,其可被調變,從而調變IEDF寬度。開關495在離子電流階段打開,使得夾持及偏壓補償模組493不調變電漿腔室負載的電壓。在鞘崩潰階段,開關495閉合,且夾持及偏壓補償模組493將基板夾持電壓重設到設定點。
第5A圖是示出用於驅動基板支撐件組件104的基板電極109及/或邊緣環電極111的邊緣環電壓控制電路/基板電壓控制電路500的一實施例的電路示意圖。電路500包括主脈衝產生器502,用於在每個離子電流階段開始時重設基板電壓(對應於第3A圖中的電壓衰減)。主脈衝產生器502可為耦接至地501的第一或第二成形直流電壓源159、161。主脈衝產生器502耦接至電流返回路徑503。電流返回路徑503包括與電阻器506串聯耦接至IEDF寬度控制模組508(例如,第4A圖及第4B圖中的第二功率模組)的電感器504。IEDF寬度控制模組508調變離子能量分佈函數(ion energy distribution function; IEDF)寬度。
IEDF寬度控制模組508可被模型化為一電路,該電路包括與開關512並聯耦接的電晶體-電晶體邏輯(transistor-transistor logic; TTL)信號510、可選的二極體514、耦接至地517的可選電容516及第三成形直流脈衝電壓源518。二極體514是返馳二極體,用於保護開關512及第三成形直流脈衝電壓源518。在一些實施例中,電容520存在於電流返回路徑503與腔室電容536之間。電容536可為例如基板電極109與基板之間,或者邊緣環電極111與邊緣環之間的阻抗。在一些實施例中,電容亦耦接至基板夾持及偏壓補償模組522。
基板夾持及偏壓補償模組522是一電路,該電路包括串聯耦接至電阻器526的二極體524、直流電壓源528及串聯耦接至電容532及地534的電阻器530。電容536進一步耦接至雜散電容538及電漿鞘540。基板夾持及偏壓補償模組522進一步耦接至雜散電容538。電漿鞘540可被模型化(電漿鞘模型)為一電路,該電路包括與電流源544並聯耦接的鞘電容542及耦接至地548的二極體546。在一些實施例中,電流返回路徑中的串聯電感器504及電阻器506可由開關179代替(第4B圖)。開關179在離子電流階段閉合。
在使用中,且對於第5A圖所示的配置,第三成形直流脈衝電壓源518充當用於控制離子電流級中電壓波形斜率的主動旋鈕。如第5B圖的繪圖550所示,開關512由與主脈衝產生器502同步的TTL信號510控制。開關512可在主脈衝產生器502的電壓上升到進入鞘崩潰階段之前閉合。開關512可在鞘崩潰階段期間保持閉合,以將電流返回路徑503接地。在主脈衝產生器502的電壓下降進入離子電流階段之後,開關512可打開,使得第三成形直流脈衝電壓源518在離子電流階段期間用於調變IEDF。可選電容516可用於調整基板電壓波形對第三成形直流脈衝電壓源518的靈敏度。電容542是電漿鞘電容,其在不同的處理條件下是不同的,並且電流源544是朝向基板的離子電流,其亦是可變的。電容536及雜散電容538是與腔室相關的電容,並且是恆定的。電容520是阻隔電容器,並且亦是恆定的。
如第5C圖及第5D圖所示,在離子電流階段期間及當IEDF寬度控制模組508(第5A圖)主動控制基板或邊緣環波形時,電路模型中的主動部件包括離子電流544 (I0)、鞘電容542 (C1)、腔室電容536 (C2)、雜散電容538 (C3)、阻隔電容520 (C4)及與第三成形的直流脈衝電壓源518 (V1)並聯的可選電容516 (C5)。因為電流返回路徑中的電感器504及電阻器506對IEDF寬度調變幾乎沒有影響,所以電感器504及電阻器506在第5C圖的控制電路560及第5D圖的控制電路570中被視為短路。
拓寬IEDF的內在因數是離子電流I0,其在基板上沉積正電荷,使得基板的電壓逐漸增加,並且轟擊基板的離子能量衰減(例如,第3A圖的跡線305)。IEDF拓寬的量取決於例如控制電路560、570中的離子電流I0、鞘電容C1及/或與腔室C2、C3及C4及電源模組V1及C5相關聯的其他電容。為了補償IEDF拓寬的離子電流效應及/或有效控制IEDF寬度,決定該控制電路中所有部件的值(第5C圖)。與腔室及電源模組(C2至C5)相關的電容可透過產品規格表或使用腔室部件尺寸的估算來決定,或者透過預先量測來決定,如使用萬用表直接量測阻抗,或者從S參數或Z參數的量測中提取電容值。離子電流I0及鞘電容C1在不同的電漿處理條件下變化,並且在電漿處理期間透過即時量測來決定。成形直流脈衝電壓源V1具有鋸齒形電壓輸出(第5E圖)。電壓輸出的斜率dV1/dt可變化,以決定離子電流I0及鞘電容C1,及/或調變IEDF寬度。在第5A圖的配置中,如跡線584所示,在鞘崩潰階段,成形直流脈衝電壓源V1的輸出電壓為零。跡線582及586示出了成形直流脈衝電壓源V1的其他可能波形,如下所述。
IEDF調變的方法包括兩個部分:(1)決定離子電流I0及鞘電容C1,及(2)決定成形直流脈衝電壓源的斜率dV1/dt以實現目標IEDF寬度。利用向基板105或邊緣環106供電的鋸齒狀電壓源V1及成形直流電壓源159或161,基板或邊緣環處的IEDF寬度是基板或邊緣環電壓從離子電流階段的開始到結束的變化(第3A圖及第3B圖)。在第5C圖的控制電路560及第5D圖的控制電路570中,IEDF寬度對應於從離子電流階段開始到結束的整個鞘電容C1的電壓變化,此由透過鞘電容C1的充電或放電電流I1決定:
Figure 02_image001
其中ΔV是IEDF寬度,且T是離子電流階段的歷時。為了獲得目標IEDF寬度(ΔV),將決定鞘電容C1及經過鞘電容的期望電流I1。
為了決定鞘電容C1及離子電流I0,分析了控制電路中電流與電壓的關係。如圖所示,流經電容C1及C4的電流稱為I1及I4,電路原理圖中的箭頭指向正方向。基於克希何夫電流定律,離子電流I0等於經過電容器C1與C2的電流之和:
Figure 02_image002
經過電容C2的電流等於經過電容C3與C4的電流之和:
Figure 02_image003
基於克希何夫電壓定律,C1、C2及C3的閉環電壓和為零。C1、C2及C3的電壓和的時間導數亦為零。將電容器C2及C3交叉處的電壓表示為V3。整個電容C3上電壓的時間導數為dV3/dt = I3/C3。電容器C1及C2亦存在類似的關係,且因此克希何夫電壓定律得出方程(4):
Figure 02_image004
將克希何夫電壓定律應用於電容器C3及C4及電壓源V1的閉環可得出方程(5):
Figure 02_image005
在方程(2)-(5)中,C2、C3及C4是由產品規格表或基於腔室零件尺寸的估算預先決定的,或者是由預先量測決定的,如使用萬用表直接量測阻抗,或者從S參數或Z參數量測中提取電容值。電流I4可由感測器直接量測,如電流探針及/或整合電壓-電流(VI)感測器。電壓V3可透過感測器直接量測,如電壓探針及/或整合的VI感測器。電流I3可計算為I3 = C3*dV3/dt。電壓斜率dV1/dt由使用者控制並已知,如0或1伏/奈秒(V/nsec)。透過將成形直流脈衝電壓源V1設定在兩個不同的斜率dV1/dt及dV1’/dt,可決定電流I4、I4’及電壓dV3/dt、dV3’/dt的時間導數。在兩個斜率dV1/dt及dV1’/dt下的方程組(2)-(5)形成了八個方程,可求解該等方程以得出鞘電容:
Figure 02_image006
及離子電流:
Figure 02_image007
為了獲得目標IEDF寬度(ΔV),經過鞘電容C1的總電流為
Figure 02_image008
將方程(6)-(8)插入方程(2)-(5),得出用於實現IEDF寬度ΔV的鋸齒狀電壓源V1的電壓斜率:
Figure 02_image009
在最窄IEDF (ΔV=0)的情況下,鋸齒狀電壓源V1的電壓斜率為
Figure 02_image010
第6A圖是說明用於驅動基板支撐件組件104的基板電極109及/或邊緣環電極111的邊緣環電壓控制電路/基板電壓控制電路600的一實施例的電路示意圖。電路600包括主脈衝產生器502,用於在每個離子電流階段開始時重設基板電壓(對應於第3A圖中的電壓衰減)。主脈衝產生器502可為耦接至地501的第一或第二成形直流電壓源159、161。主脈衝產生器502耦接至電流返回路徑503。電流返回路徑503包括與電阻器506串聯耦接至IEDF寬度控制模組602(例如,第4A圖及第4B圖中的第二功率模組)的電感器504。IEDF寬度控制模組602調變IEDF寬度。與第5A圖的配置不同的IEDF寬度控制模組602可被模型化為一電路,該電路包括與開關512並聯耦接的TTL信號510、二極體514、耦接至地517的可選電容516及串聯耦接至電阻器606的直流電壓源604。二極體514是返馳二極體,用於保護開關512及直流電壓源604。在一些實施例中,阻隔電容520存在於電流返回路徑503與腔室電容536之間。電容536可為例如基板電極109與基板之間,或者邊緣環電極111與邊緣環之間的阻抗。在一些實施例中,基板夾持及偏壓補償模組522亦耦接至阻隔電容520及腔室電容536。基板夾持及偏壓補償模組522進一步耦接至雜散電容538。基板夾持及偏壓補償模組522是一電路,該電路包括串聯耦接至電阻器526的二極體524、及直流電壓源528以及串聯耦接至電容532及地534的電阻器530。
電漿鞘540可被模型化(電漿鞘模型)為一電路,該電路包括與電流源544並聯耦接的鞘電容542及耦接至地548的二極體546。
在使用中,且對於第6A圖所示的配置,直流電壓源604與電阻器606一起用作控制離子電流階段中基板或邊緣環電壓波形斜率的主動旋鈕,此與第5A圖的配置相反,在第5A圖的配置中,第三成形直流脈衝電壓源518用作控制離子電流階段中電壓波形斜率的主動旋鈕。如第5B圖所示,開關512可由與主脈衝產生器502同步的TTL信號510控制。開關512可在主脈衝產生器502的電壓上升到進入鞘崩潰階段之前閉合。開關512可在鞘崩潰階段期間保持閉合,以將電流返回路徑503接地。在主脈衝產生器502的電壓下降進入離子電流階段之後,開關512可打開,使得直流電壓源604用於調變離子電流階段中的IEDF。可選電容516可用於調整基板電壓波形對直流電壓源604的靈敏度。電容542是電漿鞘電容,並且是可變的。電流源544是朝向基板的離子電流,並且亦是變化的。電容536可為例如基板電極109與基板之間,或者邊緣環電極111與邊緣環之間的電容。電容538可為基板電極109與地之間或者邊緣環電極111與地之間的電容。電容520是阻隔電容器,並且亦是恆定的。
如第6B圖及第6C圖所示,在離子電流階段期間及當IEDF寬度控制模組602(第6A圖)主動控制基板或邊緣環波形時,電路模型中的主動元件包括離子電流544 (I0)、鞘電容542 (C1)、腔室電容536 (C2)、雜散電容538 (C3)、阻隔電容520 (C4)及與直流電壓源604 (V0)及電阻器606 (R)並聯的可選電容516 (C5)。因為電流返回路徑中的電感器504及電阻器506對IEDF寬度調變幾乎沒有影響,所以電感器504及電阻器506在第6B圖的控制電路650及第6C圖的控制電路660中被視為短路。第6B圖示出了第6A圖的控制電路,而第6C圖示出了下文描述的第7圖的控制電路。
拓寬IEDF的內在因數是離子電流I0,其在基板上沉積正電荷,使得基板的電壓逐漸增加,並且轟擊基板的離子能量衰減(第3A圖的跡線305)。IEDF拓寬的量取決於例如離子電流I0、鞘電容C1及與腔室(C2、C3及C4)相關的其他電容,及分別在第6B圖及第6C圖的控制電路650及控制電路660中的電源模組(V0、R及C5)。為了補償IEDF拓寬的離子電流效應並有效控制IEDF寬度,決定控制電路650及控制電路660中所有部件的值。與腔室及電源模組相關的電容(C2至C5)可透過產品規格表或使用腔室零件尺寸的估算來決定,或者透過預先量測來決定,如使用萬用表直接量測阻抗,或者從S參數或Z參數量測中提取電容值。電阻R亦由產品規格表或利用萬用表直接量測來預先決定。離子電流I0及鞘電容C1在變化的電漿處理條件下變化,該等條件是透過電漿處理期間的即時量測來決定的。直流電壓源V0是主動控制旋鈕,並且可被改變以決定離子電流I0,決定鞘電容C1及/或調變IEDF寬度。
IEDF調變的方法包括兩個部分:(1)決定離子電流I0及鞘電容C1,及(2)決定直流電壓V0以實現目標IEDF寬度。IEDF寬度是基板或邊緣環電壓從離子電流階段開始到結束的擴展(第3A圖及第3B圖)。在第6B圖的控制電路650及第6C圖的控制電路660中,IEDF寬度對應於整個鞘電容C1上的電壓從離子電流階段的開始到結束的變化,此由經過鞘電容C1的充電或放電電流I1決定:
Figure 02_image011
其中ΔV是IEDF寬度,且T是離子電流階段的歷時。為了獲得目標IEDF寬度(ΔV),將決定鞘電容C1及經過鞘電容的期望電流I1。
為了決定鞘電容C1及離子電流I0,分析了控制電路中電流及電壓的關係。本文中,例如,流經電容器C1至C5的電流被稱為I1至I5,其中電路示意圖中的箭頭指向正方向。電容器C2及C3交叉處的電壓為V3。直流電壓源V0存在臨限值電壓,表示為Vth,二極體D3在低於該臨限值電壓的情況下繞過直流電壓源V0和電阻器R的串聯,使得IEDF寬度控制模組的輸出電壓為零。Vth係電漿條件依賴性的,並且可透過實驗決定,例如逐漸增加直流電壓V0,直到電流I4或電壓V3受到直流電壓輸出V0影響的點。
在V0 ≤ Vth的情況下,基於克希何夫電流定律,離子電流I0等於經過電容器C1及C2的電流之和:
Figure 02_image012
經過電容C2的電流等於經過電容C3與C4的電流之和:
Figure 02_image013
基於克希何夫電壓定律,C1、C2及C3的閉環電壓及為零。C1、C2及C3的電壓和的時間導數亦為零。電容C3兩端電壓的時間導數為dV3/dt = I3/C3。同樣的關係亦適用於電容器C1及C2。藉由使用電容器上的克希何夫電壓定律,C1及C2得出方程(14):
Figure 02_image014
將克希何夫電壓定律應用於電容器C3及C4的閉環,及繞過二極體的IEDF寬度控制模組得出方程(15):
Figure 02_image015
在V0 > Vth的情況下,方程(12)-(14)仍然成立。將克希何夫電壓定律應用於電容器C3、C4及C5的閉環可得出方程(16):
Figure 02_image016
將克希何夫電壓定律應用於電容器C5、直流電壓源V0及電阻器R的閉環,得出方程(17):
Figure 02_image017
其中(I4-I5)是當二極體D3不活動時經過直流電壓源V0及電阻器R的電流。
在一些實施例中,沒有電容器C5。在此種情況下,沒有方程(17),而方程(16)變成
Figure 02_image018
在方程(12)-(18)中,C2、C3、C4及C5是由產品規格表或基於腔室零件尺寸的估算預先決定的,或者是由預先量測決定的,如使用萬用表直接量測阻抗,或者從S參數或Z參數量測中提取電容值。電流I4可透過感測器直接量測,如電流探針及/或整合的VI感測器。電壓V3可透過感測器直接量測,如電壓探針及/或整合的VI感測器。電流I3可計算為I3 = C3*dV3/dt。直流電壓V0是由使用者控制及已知的,如將直流電壓輸出V0設定為從零到數千伏的值。透過將直流電壓V0設定為兩個不同的值V0及V0’,其中至少一者高於臨限電壓Vth,可決定電流I4、I4’及電壓dV3/dt、DV3’/dt的時間導數。求解方程組(12)-(18),得出鞘電容C1:
Figure 02_image019
及離子電流I0:
Figure 02_image020
代入方程組(12)-(18)中的鞘電容C1及離子電流I0,可針對任何直流電壓V0計算電流I1至I5。
透過已知的電容C1至C5、電阻R及直流電壓V0將I1的表達式代入方程(11),可獲得IEDF寬度(ΔV)與直流電壓V0之間的關係。因此,對於目標IEDF寬度(ΔV),決定所需的直流電壓V0。
在一些實施例中,電阻器R足夠大(例如,約10kΩ),並且經過直流電壓源V0的電流在離子電流階段中約為時間恆定,且等於V0/R。在該等實施例中,方程(17)變成
Figure 02_image021
求解方程(12)、(13)、(14)、(16)及(21),得出經過鞘電容器C1的總電流,如方程(22):
Figure 02_image022
其中
Figure 02_image023
對恆定電流I1的此種近似情況使用方程(8),用於獲得目標IEDF寬度(ΔV)的直流電壓V0可使用方程(23)獲得:
Figure 02_image024
在最窄IEDF (ΔV=0)的情況下,直流電壓V0為
Figure 02_image025
第7圖是說明用於驅動基板支撐件組件104的基板電極109及/或邊緣環電極111的邊緣環電壓控制電路/基板電壓控制電路700的一實施例的電路示意圖。電路700包括主脈衝產生器502,用於在每個離子電流階段開始時重設基板電壓(對應於第3A圖中的電壓衰減)。主脈衝產生器502可為耦接至地501的第一或第二成形直流電壓源159、161。主脈衝產生器502直接或經由電容701耦接至IEDF寬度控制模組702(例如,第4C圖中的第二功率模組)。
IEDF寬度控制模組702可被模型化為一電路,該電路包括與開關706並聯耦接的TTL信號704。TTL信號704與地716串聯耦接。開關706並聯耦接至二極體708、直流電壓源710及可選電容714。直流電壓源710串聯耦接至電阻器712。IEDF寬度控制模組702耦接至腔室電容536。電容536可為例如基板電極109與基板之間,或者邊緣環電極111與邊緣環之間的阻抗。在一些實施例中,IEDF寬度控制模組702亦耦接至上文論述的基板夾持及偏壓補償模組522。基板夾持及偏壓補償模組522進一步耦接至雜散電容538。基板夾持及偏壓補償模組522是一電路,該電路包括串聯耦接至電阻器526的二極體524、及直流電壓源528以及串聯耦接至電容532及地534的電阻器530。二極體708是返馳二極體,用於保護開關706及直流電壓源710。
電漿鞘540可被模型化(電漿鞘模型)為一電路,該電路包括與電流源544並聯耦接的鞘電容542及耦接至地548的二極體546。
在使用中,且對於第7圖所示的配置,直流電壓源710與電阻器712一起充當用於控制離子電流階段中電壓波形斜率的主動旋鈕。如第5B圖的繪圖所示,開關706可由與主脈衝產生器502同步的TTL信號704控制。開關706可在主脈衝產生器502的電壓上升到進入鞘崩潰階段之前閉合。開關512可在鞘崩潰階段期間保持閉合。在主脈衝產生器502的電壓下降進入離子電流階段之後,開關706可打開,使得直流電壓源710用於調變離子電流階段中的IEDF。可選電容714可用於調整基板電壓波形對直流電壓源710的靈敏度。第7圖的控制機構類似於第6A圖的控制機構。一個不同之處在於,如上所述,第7圖的控制電路在第6C圖中示出,而第6A圖的控制電路在第6B圖中示出。
第8圖是示出用於驅動基板支撐件組件104的基板電極109及/或邊緣環電極111的邊緣環電壓控制電路/基板電壓控制電路800的一實施例的電路示意圖。電路800包括主脈衝產生器502,用於在每個離子電流階段開始時重設基板電壓(對應於第3A圖中的電壓衰減)。主脈衝產生器502可為耦接至地501的第一或第二成形直流電壓源159、161。主脈衝產生器502直接或透過電容701耦接至IEDF寬度控制模組802(例如,第4C圖中的第二功率模組)。
IEDF寬度控制模組802可被模型化為一電路,該電路包括與開關706並聯耦接的TTL信號704。TTL信號704亦與地716串聯耦接。開關706並聯耦接至二極體708、第三成形直流脈衝電壓源804及可選電容714。IEDF寬度控制模組802耦接至腔室電容536。電容536可為例如基板電極109與基板之間,或者邊緣環電極111與邊緣環之間的阻抗。在一些實施例中,IEDF寬度控制模組802亦耦接至上文論述的基板夾持及偏壓補償模組522。基板夾持及偏壓補償模組522是一電路,該電路包括串聯耦接至電阻器526的二極體524、直流電壓源528及串聯耦接至電容532及地534的電阻器530。二極體708是用於保護開關及第三成形直流脈衝電壓源804的返馳二極體。
基板夾持及偏壓補償模組522進一步耦接至腔室電容536。電漿鞘540可被模型化(電漿鞘模型)為一電路,該電路包括與電流源544並聯耦接的鞘電容542及耦接至地548的二極體546。
在使用中,且對於第8圖所示的配置,第三成形直流脈衝電壓源804充當用於控制離子電流階段中電壓波形斜率的主動旋鈕,此與第7圖的配置相反,在第7圖的配置中,直流電壓源710與電阻器712一起充當用於控制離子電流階段中電壓波形斜率的主動旋鈕。如第5B圖的繪圖所示,開關706可由與主脈衝產生器502同步的TTL信號704控制。開關706可在主脈衝產生器502的電壓上升到進入鞘崩潰階段之前閉合。開關706在鞘崩潰階段期間保持閉合。在主脈衝產生器502的電壓下降進入離子電流階段之後,開關706可打開,使得第三成形直流脈衝電壓源804用於調變離子電流階段中的IEDF。可選電容714可用於調整基板電壓波形對第三成形直流脈衝電壓源804的靈敏度。第8圖的控制機構類似於第5A圖的控制機構。一個不同之處在於,如上所述,第8圖的控制電路在第5D圖中示出,而第5A圖的控制電路在第5C圖中示出。
對於第5A、6A、7及8圖所示的配置,可設想基板夾持及偏壓補償模組可以任何合適的方式連接至電路,而不脫離本文描述的實施例的範疇。亦可設想,基板夾持及偏壓補償模組可包括額外的或不同的部件,而不脫離本文描述的實施例的範疇。
第9圖是示出用於驅動基板支撐件組件104的基板電極109及/或邊緣環電極111的邊緣環電壓控制電路/基板電壓控制電路900的一實施例的電路示意圖。電路900包括主脈衝產生器502,用於在每個離子電流階段開始時重設基板電壓(對應於第3A圖中的電壓衰減)。主脈衝產生器502可為耦接至地501的第一或第二成形直流脈衝電壓源159、161。主脈衝產生器502耦接至IEDF寬度控制模組902(例如,第4D圖中的第二功率模組)。
IEDF寬度控制模組902可被模型化為一電路,該電路包括與開關906並聯耦接的TTL信號904。TTL信號904亦與地916串聯耦接。開關906並聯耦接至二極體908。TTL信號904、開關906及二極體908的組合控制基板夾持及偏壓補償模組920是否連接至電路的另一部分。基板夾持及偏壓補償模組920是一電路,該電路包括並聯耦接至電阻器922及直流電壓源924的電容926。基板夾持及偏壓補償模組920與TTL信號904、開關906及二極體908的組件串聯耦接。基板夾持及偏壓補償模組920及開關906作為一個整體並聯耦接至與電阻器912串聯的直流電壓源910,並且亦並聯耦接至可選的電容器914。二極體908是返馳二極體,用於保護開關906及直流電壓源910及924。
在雜散電容538與電漿鞘540之間可存在電容536,其可為例如基板電極109與基板之間,或者邊緣環電極111與邊緣環之間的阻抗。IEDF寬度控制模組902及基板夾持及偏壓補償模組920都耦接至基板電極109及/或邊緣環電極111。IEDF寬度控制模組902亦耦接至雜散電容538。電漿鞘540可被模型化(電漿鞘模型)為一電路,該電路包括與電流源544並聯耦接的鞘電容542及耦接至地548的二極體546。
在使用中,對於第9圖所示的配置,直流電壓源910與電阻器912一起充當用於控制離子電流階段中電壓波形斜率的主動旋鈕。如第5B圖所示,開關906可由與主脈衝產生器502同步的TTL信號904控制。開關906可在主脈衝產生器502的電壓上升到進入鞘崩潰階段之前閉合。開關512可在鞘崩潰階段期間保持閉合,使得基板夾持及偏壓補償模組920連接至電路的另一部分,並將基板夾持電壓重設到設定點。在主脈衝產生器502的電壓下降進入離子電流階段之後,開關906可打開,使得直流電壓源910用於調變離子電流階段中的IEDF。可選電容器914可用於調整基板電壓波形對直流電壓源910的靈敏度。第9圖的控制機構類似於上述第6B圖所示的控制機構。一個不同在於電容器C4被移除。
第10圖是示出用於驅動基板支撐件組件104的電極109、111的邊緣環電壓控制電路/基板電壓控制電路1000的一實施例的電路示意圖。電路1000包括主脈衝產生器502,用於在每個離子電流階段開始時重設基板電壓(對應於第3A圖中的電壓衰減)。主脈衝產生器502可為耦接至地501的第一或第二成形直流脈衝電壓源159、161。主脈衝產生器502耦接至IEDF寬度控制模組1002(例如,第4D圖中的第二功率模組)。
IEDF寬度控制模組1002可被模型化為一電路,該電路包括與開關906並聯耦接的TTL信號904。TTL信號904亦與地916串聯耦接。開關906並聯耦接至二極體908。TTL信號904、開關906及二極體908的組合控制基板夾持及偏壓補償模組是否連接至電路的另一部分。基板夾持及偏壓補償模組920是一電路,該電路包括並聯耦接至電阻器922及直流電壓源924的電容926。二極體908是返馳二極體,用於保護開關、直流電壓源910及直流電壓源924。基板夾持及偏壓補償模組920與TTL信號904、開關906及二極體908的組件串聯耦接。基板夾持及偏壓補償模組920及開關906作為一個整體並聯耦接至成形直流脈衝電壓源1004,並且亦並聯耦接至可選的電容器914。
在雜散電容538與電漿鞘540之間可存在電容536,其可為例如基板電極109與基板之間,或者邊緣環電極111與邊緣環之間的阻抗。IEDF寬度控制模組1002及基板夾持及偏壓補償模組920都耦接至基板電極109及/或邊緣環電極111。IEDF寬度控制模組1002亦耦接至雜散電容538。電漿鞘540可被模型化(電漿鞘模型)為一電路,該電路包括與電流源544並聯耦接的鞘電容542及耦接至地548的二極體546。
在使用中,且對於第10圖所示的配置,成形直流脈衝電壓源1004充當用於控制離子電流階段中電壓波形斜率的主動旋鈕,此與第9圖的配置相反,在第9圖的配置中,直流電壓源910與電阻器912一起充當用於控制離子電流階段中電壓波形斜率的主動旋鈕。如第5B圖的繪圖所示,開關906可由與主脈衝產生器502同步的TTL信號904控制。開關906可在主脈衝產生器502的電壓上升到進入鞘崩潰階段之前閉合。開關512可在鞘崩潰階段期間保持閉合,使得基板夾持及偏壓補償模組連接至電路的另一部分,並將基板夾持電壓重設到設定點。在主脈衝產生器502的電壓下降進入離子電流階段之後,開關906可打開,使得直流電壓源910用於調變離子電流階段中的IEDF。可選的電容器914可用於調整基板電壓波形對成形直流脈衝電壓源1004的靈敏度。
第10圖的控制機構類似於第5A圖的控制機構。一個不同在於電容器C4被移除。另一個不同之處在於,在鞘崩潰階段,成形直流脈衝電壓源1004的輸出電壓保持在基板夾持及偏壓補償模組920的輸出電壓,而不是如第5E圖中的跡線582(正夾持電壓)及跡線586(負夾持電壓)所示的零。 示例方法
第11圖是根據本揭示案的至少一個實施例的使用邊緣環IEDF寬度控制電路155及/或基板IEDF寬度控制電路158控制IEDF寬度的方法1100的流程圖。方法1100可藉由使用第5-10圖所示的一或更多個電路配置來實施。方法1100亦提供了操作處理腔室100或處理腔室200的方法。
方法1100開始於透過啟動或開啟耦接至功率模組(例如,IEDF寬度控制模組)的主脈衝產生器(例如,主脈衝產生器502)來向合適的處理腔室施加或以其他方式引入電壓。在本文中,電壓被引入到基板電極,例如基板電極109,及/或邊緣環電極,例如邊緣環電極111。基板電極及/或邊緣環電極上的偏壓電壓在離子電流階段產生,並以例如鞘電壓乘以離子電荷的乘積的能來加速離子。在無碰撞的鞘模型中,在轟擊基板電極及/或邊緣環電極時,大多數離子可達到此最大能。然而,由於例如離子電流在基板電極及/或邊緣環電極上沉積正電荷,因此基板電極及/或邊緣環電極的電壓隨時間增加,降低了鞘電壓並導致離子能的擴散。
在操作1110,在兩個或多個條件下量測功率模組(例如,IEDF寬度控制模組)的電流及/或IEDF寬度控制模組的電壓或電壓導數,以決定鞘電容C1及/或離子電流I0。本文量測的電流可為電流I4,其為經過第5A、6A、7及8圖中的電容器C4的電流。此外,或者可替換地,量測的電流可為第9及10圖中的主脈衝產生器的輸出電流。電壓導數可為dV3/dt。量測可在離子電流階段進行。可透過將IEDF寬度控制模組中的主動旋鈕(例如,直流電壓源V0及/或成形直流脈衝電壓源dV1/dt)設定為兩個不同的值來實現此兩個或多個條件。
作為實例,且對於第5、8及10圖的配置,成形直流脈衝電壓源可被設定為離子電流階段中的任意兩個不同斜率dV1/dt。作為另一個實例,且對於第6、7及9圖的配置,直流電壓V0可逐漸增加,同時監控I4直到I4受直流電壓V0影響的點。此直流電壓是臨限值電壓Vth。直流電壓源V0的兩個設定點中至少有一個大於Vth。換言之,量測IEDF寬度控制模組的電流、IEDF寬度控制模組的電壓或電壓導數或上述兩者,包括將直流電壓源、成形直流脈衝電壓源或上述兩者設定為第一值;及將直流電壓源、成形直流脈衝電壓源或上述兩者設定為第二值。
在操作1115,基於用於第5、8及10圖的配置的方程(6)及(7)或者用於第6、7及9圖的配置的方程(19)及(20)來計算離子電流I0及鞘電容C1。計算的輸入值為:I3 = C3 * dV3/dt;i3' = C3 * dV3'/dt;及I4,I4’。C3及C3’的值是已知的,並且在操作1110中量測dV3/dt、dV3’/dt、I4及I4’的值。如此,就可計算出I3及I3’。
在操作1120,決定主脈衝產生器的直流電壓(V0)的期望設定點,IEDF寬度控制模組的電壓(V1)或電壓導數(dV1/dt)的期望設定點,或上述兩者,以實現目標IEDF寬度(ΔV)。該決定基於例如決定IEDF寬度控制模組的期望設定,以實現使用者指定的離子能量分佈寬度(ΔV)。主脈衝產生器的直流電壓(V0)及成形直流脈衝電壓(V1)的斜率(dV1/dt)可分別由方程(23)及(9)決定。在操作1125,IEDF寬度控制模組的直流電壓(V0)及/或電壓(V1)或電壓導數(dV1/dt)被調整至決定的設定點。
與用於控制IEDF的習用流程相反,本文描述的方法沒有循環決定IEDF寬度控制模組的期望設定點。然而,在一些實施例中,循環可用於決定期望的設定點。在此種實施例中,控制器可監控離子電流階段中的I4及V3,以偵測電漿條件的任何變化,並相應地調整IEDF寬度控制模組的設定點。
本文描述的方法及設備,例如電路,能夠控制脈衝DC基板電壓波形的形狀(例如窄的或可調整的寬度)。本文描述的實施例能夠進一步例如控制離子能量分佈,包括單能離子加速。
從前文的一般描述及具體實施例中顯而易見,儘管已經圖示及描述了本揭示案的形式,但是在不脫離本揭示案的精神及範疇的情況下,可進行各種修改。因此,此並不意味著本揭示案受此限制。同樣,術語「包括(comprising)」被認為與術語「包括(including)」同義。同樣地,每當一個組合物、一個元件或一組元件前帶有過渡片語「包括(comprising)」時,應該理解的是,在組合物、元件或多個元件的敘述之前,亦設想帶有過渡片語「基本上由…組成」、「由…組成」、「選自由…組成的群組」或「是」的相同組合物或元件組,反之亦然。
儘管前述針對本揭示案的實例,但是在不脫離本揭示案的基本範疇的情況下,可設計本揭示案的其他及進一步的實例,並且本揭示案的範疇由下文的申請專利範圍決定。
100:處理腔室 101:腔室主體 102:蓋 103:地 104:基板支撐件組件 105:基板 106:邊緣環 107:電感耦合電漿設備 108:控制器 109:基板電極 111:邊緣環電極 115:夾持電源 116:電漿 118:第一線圈 120:第二線圈 121:射頻電源 122:網路 123:射頻饋電結構 124:內部體積 125:支撐基座 126:功率分配器 128:加熱器元件 130:電源 131:冷卻基底 132:氣體面板 134:入口 136:閥 138:真空泵 140:中央處理單元 142:記憶體 144:支援電路 146:基板升舉銷 150:靜電卡盤 152:基板支撐件 154:基板支撐件部分 155:邊緣環IEDF寬度控制電路 156:邊緣環部分 158:基板IEDF寬度控制電路 159:第一成形直流電壓源 160:上表面 161:第二成形直流電壓源 169:加熱器組件 180:設施板 200:處理腔室 305:跡線 310:跡線 315:跡線 465:電路 466:脈衝直流電源 468:串聯電感器 469:電阻器 470:第二功率模組 471:阻隔電容器 472:電漿腔室負載 475:電路 476:脈衝直流電源 478:第二功率模組 479:開關 485:電路 486:成形直流電壓源 487:阻隔電容器 488:第二功率模組 489:電漿腔室負載 490:電路 491:成形直流電壓源 492:第二功率模組 493:基板夾持及偏壓補償模組 494:電漿腔室負載 495:開關 500:電路 501:地 502:地 503:電流返回路徑 504:電感器 506:電阻器 508:IEDF寬度控制模組 510:TTL信號 512:開關 514:二極體 516:可選電容 517:地 518:第三成形直流脈衝電壓源 520:電容 522:基板夾持及偏壓補償模組 524:二極體 526:電阻器 528:直流電壓源 530:電阻器 532:電容 534:電容 536:電容 538:雜散電容 540:電漿鞘 542:鞘電容 544:電流源 546:二極體 548:地 550:繪圖 560:控制電路 570:控制電路 582:跡線 584:跡線 586:跡線 600:電路 602:IEDF寬度控制模組 604:直流電壓源 606:電阻器 650:控制電路 660:控制電路 700:電路 701:電容 702:IEDF寬度控制模組 704:TTL信號 706:開關 708:二極體 710:直流電壓源 712:電阻器 714:電容 716:地 800:電路 802:IEDF寬度控制模組 804:第三成形直流脈衝電壓源 900:電路 902:IEDF寬度控制模組 904:TTL信號 906:開關 908:二極體 910:直流電壓源 912:電阻器 914:電容器 916:直流電壓源 920:基板夾持及偏壓補償模組 922:電阻器 924:直流電壓源 926:電容 1000:電路 1002:IEDF寬度控制模組 1004:成形直流脈衝電壓源 1100:方法 1105:步驟 1110:步驟 1115:步驟 1120:步驟 1125:步驟
為了能夠詳細理解本揭示案的上述特徵,可參考實施例對以上簡要概述的本揭示案進行更具體的描述,其中一些實施例在附圖中示出。然而,應當注意,附圖僅示出了示例性實施例,因此不應被認為是對其範疇的限制,因為本揭示案可允許其他同等有效的實施例。
第1圖是根據本揭示案的至少一個實施例的示例性處理腔室的示意性剖視圖。
第2圖是根據本揭示案的至少一個實施例的示例性處理腔室的示意圖。
第3A圖是示出根據本揭示案的至少一個實施例的基板上的三種不同偏壓電壓波形的示例圖。
第3B圖是根據本揭示案的至少一個實施例的第3A圖所示基板上的三種不同偏壓電壓波形的IEDF對比離子能的示例性繪圖。
第4A圖是根據本揭示案的至少一個實施例的示例電路的示意圖。
第4B圖是根據本揭示案的至少一個實施例的示例電路的示意圖。
第4C圖是根據本揭示案的至少一個實施例的示例電路的示意圖。
第4D圖是根據本揭示案的至少一個實施例的示例電路的示意圖。
第5A圖是示出根據本揭示案的至少一個實施例的用於驅動基板支撐件組件的電極的IEDF寬度控制電路的示例性電路示意圖。
第5B圖是根據本揭示案的至少一個實施例的第5A圖所示示例性電路示意圖的V2電壓波形及基板電壓波形的示例繪圖。
第5C圖是根據本揭示案的至少一個實施例的示例控制電路。
第5D圖是根據本揭示案的至少一個實施例的示例控制電路。
第5E圖示出了根據本揭示案的至少一個實施例的示例性鋸齒形電壓輸出。
第6A圖是示出根據本揭示案的至少一個實施例的用於驅動基板支撐件組件的電極的IEDF寬度控制電路的示例性電路示意圖。
第6B圖是根據本揭示案的至少一個實施例的示例控制電路。
第6C圖是根據本揭示案的至少一個實施例的示例控制電路。
第7圖是示出根據本揭示案的至少一個實施例的用於驅動基板支撐件組件的電極的IEDF寬度控制電路的示例性電路示意圖。
第8圖是示出根據本揭示案的至少一個實施例的用於驅動基板支撐件組件的電極的IEDF寬度控制電路的示例性電路示意圖。
第9圖是示出根據本揭示案的至少一個實施例的用於驅動基板支撐件組件的電極的IEDF寬度控制電路的示例性電路示意圖。
第10圖是示出根據本揭示案的至少一個實施例的用於驅動基板支撐件組件的電極的IEDF寬度控制電路的示例性電路示意圖。
第11圖是根據本揭示案的至少一個實施例的控制IEDF寬度的方法的流程圖。
為了便於理解,在可能的情況下,使用相同的元件符號來指示附圖中相同的元件。設想一個實施例的元件及特徵可有益地併入其他實施例中,而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
109:基板電極
111:邊緣環電極
159:第一成形直流電壓源
161:第二成形直流電壓源
500:電路
501:地
502:地
503:電流返回路徑
504:電感器
506:電阻器
508:IEDF寬度控制模組
510:TTL信號
512:開關
514:二極體
516:可選電容
517:地
518:第三成形直流脈衝電壓源
520:電容
522:基板夾持及偏壓補償模組
524:二極體
526:電阻器
528:直流電壓源
530:電阻器
532:電容
534:電容
536:電容
538:雜散電容
540:電漿鞘
542:鞘電容
544:電流源
546:二極體
548:地

Claims (20)

  1. 一種控制一離子能量分佈函數(IEDF)一寬度的方法,包括以下步驟: 透過啟動一主脈衝產生器向一處理腔室的一電極引入一電壓,該主脈衝產生器耦接至一IEDF寬度控制模組; 量測該IEDF寬度控制模組的一電流及該IEDF寬度控制模組的一電壓或一電壓導數; 基於該IEDF寬度控制模組的該電流及該電壓或該電壓導數計算該處理腔室的一離子電流及該處理腔室的一電容; 決定該主脈衝產生器的一直流電壓的一設定點、該IEDF寬度控制模組的一電壓或一電壓導數的一設定點、或上述兩者;及 將該主脈衝產生器的該直流電壓、該IEDF寬度控制模組的該電壓或該電壓導數或上述兩者調整至該等決定的設定點以控制該IEDF的該寬度。
  2. 如請求項1所述的方法,其中該電極是一基板電極。
  3. 如請求項1所述的方法,其中該電極是一邊緣環電極。
  4. 如請求項1所述的方法,其中量測該IEDF寬度控制模組的一電流及該IEDF寬度控制模組的一電壓導數之步驟包括以下步驟: 將該IEDF寬度控制模組的一直流電壓設定為兩個不同的值;及 將該IEDF寬度控制模組的一電壓或一電壓導數設定為兩個不同的值。
  5. 一種基板支撐件,包括: 一基板電極,用於向一基板施加一基板電壓; 一邊緣環電極,該用於向一邊緣環施加一邊緣環電壓; 一基板電壓控制電路,耦接至該基板電極;及 一邊緣環電壓控制電路,耦接至該邊緣環電極,其中: 該基板電極耦接至一功率模組,該功率模組被配置為主動控制到達該基板的離子的一能量分佈函數寬度; 該邊緣環電極耦接至一功率模組,該功率模組被配置為主動控制到達該邊緣環的離子的一能量分佈函數寬度;或者 上述各者的組合, 其中該基板電壓控制電路、該邊緣環電壓控制電路或上述兩者包括耦接至一電流返回路徑的一主脈衝產生器,該電流返回路徑耦接至該功率模組及一處理腔室,其中該功率模組包括一電壓源、一電流源或上述各者的組合。
  6. 如請求項5所述的基板支撐件,其中僅該基板電極耦接至該功率模組。
  7. 如請求項5所述的基板支撐件,其中僅該邊緣環電極耦接至該功率模組。
  8. 如請求項5所述的基板支撐件,其中該功率模組包括與一開關、一可選二極體及一成形直流脈衝電壓源並聯耦接的一電晶體-電晶體邏輯信號。
  9. 如請求項8所述的基板支撐件,其中該成形直流脈衝電壓源控制該基板電壓的電壓波形的一斜率、該邊緣環電壓的電壓波形的一斜率或上述各者的組合。
  10. 如請求項5所述的基板支撐件,其中該功率模組包括與一開關、一二極體及一直流電壓源並聯耦接的一電晶體-電晶體邏輯信號,該直流電壓源串聯耦接至一電阻器。
  11. 如請求項10所述的基板支撐件,其中串聯耦接至該電阻器的該直流電壓源控制該基板電壓的電壓波形的一斜率、該邊緣環電壓的電壓波形的一斜率或上述各者的組合。
  12. 一種基板支撐件,包括: 一基板電極,用於向一基板施加一基板電壓; 一邊緣環電極,用於向一邊緣環施加一邊緣環電壓; 一基板電壓控制電路,耦接至該基板電極;及 一邊緣環電壓控制電路,耦接至該邊緣環電極,其中: 該基板電極耦接至一功率模組,該功率模組被配置為主動控制到達該基板的離子的一能量分佈函數寬度; 該邊緣環電極耦接至一功率模組,該功率模組被配置為主動控制到達邊該緣環的離子的一能量分佈函數寬度;或者 上述各者的組合, 其中該基板電壓控制電路、該邊緣環電壓控制電路或上述兩者包括: 一主脈衝產生器,耦接至該功率模組,該功率模組耦接至一處理腔室,該功率模組包括一電壓源、一電流源或上述各者組合;或者 一主脈衝產生器,耦接至該功率模組,該功率模組耦接至一處理腔室,其中該功率模組與一基板夾持及偏壓補償模組並聯,並且其中該功率模組包括一電壓源、一電流源或上述各者的組合。
  13. 如請求項12所述的基板支撐件,其中一阻隔電容耦合至該主脈衝產生器及該功率模組。
  14. 如請求項12所述的基板支撐件,其中僅該基板電極耦接至該功率模組。
  15. 如請求項12所述的基板支撐件,其中僅該邊緣環電極耦接至該功率模組。
  16. 如請求項12所述的基板支撐件,其中該功率模組包括與一開關並聯耦接的一電晶體-電晶體邏輯信號,該開關並聯耦接至一二極體及一直流電壓源,該直流電壓源串聯耦接至一電阻器。
  17. 如請求項16所述的基板支撐件,其中串聯耦接至該電阻器的該直流電壓源控制該基板電壓的電壓波形的一斜率、該邊緣環電壓的電壓波形的一斜率或上述各者的組合。
  18. 如請求項12所述的基板支撐件,其中該功率模組包括與一開關並聯耦接的一電晶體-電晶體邏輯信號,該開關並聯耦接至一二極體及一成形直流脈衝電壓源。
  19. 如請求項18所述的基板支撐件,其中該成形直流脈衝電壓源控制該基板電壓的電壓波形的一斜率。
  20. 如請求項18所述的基板支撐件,其中該成形直流脈衝電壓源控制該邊緣環電壓的電壓波形的一斜率。
TW110140681A 2020-11-16 2021-11-02 用於控制離子能量分佈的設備及方法 TW202236353A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/099,342 US11798790B2 (en) 2020-11-16 2020-11-16 Apparatus and methods for controlling ion energy distribution
US17/099,342 2020-11-16

Publications (1)

Publication Number Publication Date
TW202236353A true TW202236353A (zh) 2022-09-16

Family

ID=81587877

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110140681A TW202236353A (zh) 2020-11-16 2021-11-02 用於控制離子能量分佈的設備及方法

Country Status (7)

Country Link
US (2) US11798790B2 (zh)
EP (1) EP4244883A1 (zh)
JP (1) JP2023541096A (zh)
KR (1) KR20230021755A (zh)
CN (1) CN116250058A (zh)
TW (1) TW202236353A (zh)
WO (1) WO2022103543A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution

Family Cites Families (581)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3140292A (en) 1961-04-07 1964-07-07 Universal Oil Prod Co Preparation of metal phthalocyanines
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
WO1999019527A2 (en) 1997-10-15 1999-04-22 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
EP1119033A4 (en) 1998-09-18 2004-11-17 Tokyo Electron Ltd PLASMA PROCESSING
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
JP2003506826A (ja) 1999-08-02 2003-02-18 アドバンスド エナジー インダストリーズ, インコーポレイテッド イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
KR100842947B1 (ko) 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
DK1253216T3 (da) 2001-04-27 2004-03-22 Europ Economic Community Fremgangsmåde og apparat til sekventiel plasmabehandling
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
CN100355033C (zh) 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US7633319B2 (en) 2003-11-28 2009-12-15 Advantest Corporation Digital QP detecting apparatus, spectrum analyzer having the same, and digital QP detecting method
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
WO2005124844A1 (ja) 2004-06-21 2005-12-29 Tokyo Electron Limited プラズマ処理装置及び方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
US7821767B2 (en) 2004-11-04 2010-10-26 Ulvac, Inc. Electrostatic chuck device
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
ATE500604T1 (de) 2005-03-30 2011-03-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP3910210B2 (ja) 2005-05-13 2007-04-25 松下電器産業株式会社 誘電体バリア放電ランプ点灯装置
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CN101405924B (zh) 2006-01-23 2012-07-11 奥德拉国际销售公司 用于受限电源的功率供应设备以及使用功率供应设备的音频放大器
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
JP5259618B2 (ja) 2006-12-12 2013-08-07 オーツェー・エリコン・バルザース・アーゲー 高出力インパルス・マグネトロン・スパッタリング(hipims)におけるパルシング及びアーク抑制
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
ATE493749T1 (de) 2007-03-08 2011-01-15 Huettinger Elektronik Gmbh Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
DE112007003667A5 (de) 2007-07-23 2010-07-01 Hüttinger Elektronik GmbH & Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
CN102654481A (zh) 2007-11-26 2012-09-05 东京毅力科创株式会社 微细结构体检测装置以及微细结构体检测方法
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
CN101960556B (zh) 2008-03-06 2013-09-18 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
US20110298376A1 (en) 2009-01-13 2011-12-08 River Bell Co. Apparatus And Method For Producing Plasma
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP4932942B2 (ja) 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP2013534970A (ja) 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP3556396B1 (en) 2010-08-31 2022-04-20 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
WO2012122064A1 (en) 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8979842B2 (en) 2011-06-10 2015-03-17 Medtronic Advanced Energy Llc Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI568319B (zh) 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
JP5741461B2 (ja) 2012-01-23 2015-07-01 セイコーエプソン株式会社 印刷装置及び印刷方法
KR102046193B1 (ko) 2012-02-01 2019-11-18 도쿄엘렉트론가부시키가이샤 플라스마 에칭 방법 및 플라스마 에칭 장치
JPWO2013118660A1 (ja) 2012-02-09 2015-05-11 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置
TWI576890B (zh) 2012-02-20 2017-04-01 Tokyo Electron Ltd Power supply system, plasma processing device and plasma processing method
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
WO2013146920A1 (ja) 2012-03-30 2013-10-03 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
MX2020001174A (es) 2012-08-15 2021-08-12 Lockheed Martin Energy Llc Hexacianuros de hierro de alta solubilidad.
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
JP6329542B2 (ja) 2012-08-28 2018-05-23 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. プラズマ処理システム、プラズマシース電圧確立方法、および当該方法を実行可能な命令を読み取り可能な記憶媒体
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9396960B2 (en) 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
WO2014132099A1 (en) 2013-02-28 2014-09-04 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
WO2015009864A1 (en) 2013-07-17 2015-01-22 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (dms) processes
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP2017504955A (ja) 2013-11-06 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Dcバイアス変調による、粒子発生抑制装置
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
TWI590329B (zh) 2014-03-02 2017-07-01 東京威力科創股份有限公司 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6586424B2 (ja) 2014-03-24 2019-10-02 エーイーエス グローバル ホールディングス, プライベート リミテッド 高周波発生器ソースインピーダンスの制御のためのシステムおよび方法
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
TWI714074B (zh) 2015-01-16 2020-12-21 美商艾克塞利斯科技公司 離子植入系統及具有可變能量控制的方法
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
EP3384510B1 (en) 2015-11-30 2021-09-15 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
CN108369921B (zh) 2015-12-07 2023-12-12 应用材料公司 使用静电夹盘夹持及解夹持基板的方法及装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
KR102489215B1 (ko) 2016-09-06 2023-01-16 도쿄엘렉트론가부시키가이샤 유사 원자층 에칭 방법
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
CN108236602B (zh) 2016-12-26 2021-04-23 深圳翰宇药业股份有限公司 一种利伐沙班自乳化制剂及其制备方法
EP3761762B1 (en) 2016-12-30 2022-04-13 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US20180218905A1 (en) 2017-02-02 2018-08-02 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
EP3813259B1 (en) 2017-03-31 2022-10-26 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
JP7261179B2 (ja) 2017-05-30 2023-04-19 タイタン・アドバンスト・エナジー・ソリューションズ・インコーポレイテッド バッテリの寿命評価および容量の回復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
CN110998820B (zh) 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
WO2019067451A1 (en) 2017-09-26 2019-04-04 Advanced Energy Industries, Inc. SYSTEM AND METHOD FOR IGNITION OF PLASMA
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
WO2019088204A1 (ja) 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
US10991554B2 (en) 2017-11-16 2021-04-27 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
KR20200100641A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱
JP7289313B2 (ja) 2017-11-17 2023-06-09 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
CN112313509A (zh) 2018-03-08 2021-02-02 鹰港科技有限公司 用于结构的无损评价的精密涡流传感器
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
JP7408570B2 (ja) 2018-05-03 2024-01-05 アプライド マテリアルズ インコーポレイテッド ペデスタル用のrf接地構成
US10555412B2 (en) * 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
KR20210011388A (ko) 2018-06-18 2021-02-01 도쿄엘렉트론가부시키가이샤 제작 장비의 특성에 대한 간섭이 완화된 실시간 감지
KR20230048459A (ko) 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
US10447174B1 (en) 2018-11-14 2019-10-15 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
TW202308306A (zh) 2019-01-08 2023-02-16 美商鷹港科技股份有限公司 產生高壓脈波之方法
SG11202107162UA (en) 2019-01-09 2021-07-29 Tokyo Electron Ltd Plasma treatment device and plasma treatment method
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7451540B2 (ja) * 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) * 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
KR20220027141A (ko) 2019-07-02 2022-03-07 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 rf 절연
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
CN114762251A (zh) 2019-09-25 2022-07-15 鹰港科技有限公司 具有能量恢复的非线性传输线高电压脉冲锐化
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11848176B2 (en) * 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) * 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11694876B2 (en) * 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Also Published As

Publication number Publication date
US20220157577A1 (en) 2022-05-19
CN116250058A (zh) 2023-06-09
WO2022103543A1 (en) 2022-05-19
US20230420229A1 (en) 2023-12-28
US11798790B2 (en) 2023-10-24
KR20230021755A (ko) 2023-02-14
EP4244883A1 (en) 2023-09-20
JP2023541096A (ja) 2023-09-28

Similar Documents

Publication Publication Date Title
JP7461565B2 (ja) イオンエネルギー分布を制御する装置および方法
JP6986113B2 (ja) 修正された周期的電圧関数を電気ノードに提供するための装置およびコンピュータ読み取り可能な記憶媒体
JP6776303B2 (ja) プラズマ処理のためのシステム、方法、および非一過性プロセッサ読み取り可能な媒体
US10991554B2 (en) Plasma processing system with synchronized signal modulation
US10388544B2 (en) Substrate processing apparatus and substrate processing method
KR101761493B1 (ko) 스위칭 모드 이온 에너지 분포 시스템을 교정하기 위한 시스템 및 방법
US10109462B2 (en) Dual radio-frequency tuner for process control of a plasma process
US20230420229A1 (en) Apparatus and methods for controlling ion energy distribution
WO2017218394A1 (en) Systems and methods for controlling a voltage waveform at a substrate during plasma processing
KR101283360B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
US10378109B2 (en) Diagnostic and control systems and methods for substrate processing systems using DC self-bias voltage
US20220367149A1 (en) Systems And Methods For Real-Time Pulse Measurement And Pulse Timing Adjustment To Control Plasma Process Performance
TW202309971A (zh) 電漿處理期間的自動靜電卡盤偏壓補償
KR20180069951A (ko) 기판 처리 장치