KR100560886B1 - 가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템및 방법 - Google Patents

가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템및 방법 Download PDF

Info

Publication number
KR100560886B1
KR100560886B1 KR1020007002772A KR20007002772A KR100560886B1 KR 100560886 B1 KR100560886 B1 KR 100560886B1 KR 1020007002772 A KR1020007002772 A KR 1020007002772A KR 20007002772 A KR20007002772 A KR 20007002772A KR 100560886 B1 KR100560886 B1 KR 100560886B1
Authority
KR
South Korea
Prior art keywords
frequency
plasma
component
sideband
response signal
Prior art date
Application number
KR1020007002772A
Other languages
English (en)
Other versions
KR20010015589A (ko
Inventor
웨인 엘. 존슨
리챠드 퍼손스
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20010015589A publication Critical patent/KR20010015589A/ko
Application granted granted Critical
Publication of KR100560886B1 publication Critical patent/KR100560886B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 가스 플라즈마 프로세싱(plasma processing) 시스템에 공급되는 RF 전력(2A, 2B, 2C)을 변화시키거나 변조시키면서 이 시스템 내의 상태를 감시하여 시스템의 전기 회로의 결과적인 신호가 시스템의 동작 파라미터 또는 프로세스의 상태에 관한 정보를 제공하도록 하는 시스템 및 방법에 관한 것이다. 따라서, 종래의 기법에 비해 상당히 개선된 감도 및 정확도가 달성된다. 또한, 플라즈마 프로세싱 시스템이 충분히 검사되어 프로세스에 대한 제어기(1)를 감시 및 제어하여 더욱 정확한 감시를 하기 위해 사전에 특징화됨으로써 시스템에 의해 생산되는 기판(40)의 품질 제어 보증을 개선한다. 변조 기법에 의해 획득되는 정보는 조작자가 시스템 프로세스를 정확하게 감시하고 시스템 프로세스가 갖고 있는 어떠한 문제점을 진단할 수 있게 하기 위해 모니터 스크린 상에 디스플레이될 수 있다.
플라즈마 시스템, 플라즈마 결합 소자, 정합 네트워크, 전력 가변 제어기, 측대역 주파수

Description

가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템 및 방법{SYSTEM AND METHOD FOR MONITORING AND CONTROLLING GAS PLASMA PROCESSES}
본 발명은 전기적으로 발생된 가스 플라즈마(plasma)를 사용하는 시스템 및 프로세스에 관한 것이다. 본 발명은 특히 고체 및/또는 반도체 디바이스 제조시에 플라즈마를 사용하는 시스템 및 프로세스에 적용 할 수 있다.
다수의 반도체 또는 고체 상태 제조 프로세스(process)는 가스 플라즈마를 사용하여 제조 단계를 수행한다. 이 단계는 예컨대 화학적 변형 단계나 박막의 에칭(etching) 단계일 수 있으며, 다른 가스들 중에서 염소 가스나 산소를 사용할 것이다. 특히 반도체 업계에서는 흔히 매우 정확한 반응 상태 및 반응 시간의 제어를 필요로 한다. 따라서, 플라즈마 상태, 장치 상태, 반응 과정을 정확하게 감시(monitoring)하는 것이 중요하다.
종래의 플라즈마 반응 시스템은 기판과 플라즈마 사이의 반응에 의해 발생된 다양한 화학물 종류(chemical species)를 검출하기 위해 플라즈마로부터의 광학적 발광 분광법을 사용하여 왔다. 이들 화학물 종류의 농도는 플라즈마 상태의 표시나, 플라즈마를 사용하여 수행되는 프로세스 과정(예컨대, 프로세스의 종료점을 결정하기 위함)의 표시로서 사용될 수 있다. 그러나, 이 기법은 몇몇 프로세스에 대 해서는 만족할 만큼 정확하지 않다. 상세하게는 광 검출이 항상 만족할 만할 신뢰성을 제공하지 못하며 포토레지스트(photoresist) 또는 금속층의 에칭 단계와 같은)프로세싱 단계가 종료되는 시점을 적절하게 표시하지 못한다.
종래의 플라즈마 프로세스 시스템은 또한 프로세싱 단계의 종료를 판단하기 위해 타이밍(timing)을 사용하였다. 반응 속도를 판단하기 위해 몇몇 시운전이 실제 제조 조업에 사용될 상태하에서 수행된다. 실제 제조 조업 동안에, 플라즈마 프로세싱 단계는 선정된 시간 동안 수행된 다음에 종료된다. 그러나, 예컨대 주위 환경, 제조 장비, 플라즈마, 소재에서의 경미한 변화가 반응 속도/시간을 변경시킬 수 있으므로 이 방법이 최적한 것은 아니다.
상세하게는 프로세싱 단계가 짧은 시간만을 필요로하는 경우에 정확한 종료점의 판단이 중요할 수 있다. 예를 들어, 극히 얇은 층의 에칭 단계 동안, 프로세싱 단계의 종료 지연으로 인해 플라즈마가 의도한 에칭 층보다 하부에 있는 층으로 침투하는 결과를 초래할 수 있다. 반도체 프로세스가 점차로 다 얇은 막을 필요로하며 고밀도 플라즈마 시스템이 짧은 에칭 단계와 반응 시간을 허용함에 따라, 프로세싱 단계가 종료되는 시점을 정확하게 판단하는 것이 점차 중요하게 되었다.
또한, 반도체 디바이스(device)의 집적 밀도가 계속적으로 증가함에 따라, 이 반도체 디바이스를 생산하는데 사용되는 반응 프로세스를 제어하는 데 있어서 새로운 수준의 정밀도가 요구된다. 프로세싱 단계 동안, 반응 속도뿐만 아니라 박막 물질 특성에도 직접 영향을 미치는 플라즈마의 상태(예컨대, 이온 밀도 및 가스 혼합물)를 정밀하게 제어/감시하는 것이 점차 중요해지고 있다. 시스템의 청결도, 전기/RF 접속의 올바른 조립/구성, RF 정합, 구성요소의 수명과 같은 시스템 상태가 플라즈마 상태에 또한 영향을 줄 수 있다. 따라서, 주어진 프로세싱 단계 동안 플라즈마 및 시스템 상태를 정확하게 감시하고 제어하며, 적절한 시간에 플라즈마 프로세스를 중단하기 위해 프로세싱 단계의 완료를 검출하기 위한 시스템 및 방법이 필요하다.
공지된 참조문헌은 플라즈마의 고유한 비선형성을 이용하여 플라즈마의 상태를 판단하는 전기 신호의 조화도 이용에 대하여 논의하였다. Miller & Kamon(미국 특허 제5,325,019 호(이하 '019 특허로 지칭함)), Gesche & Vey(미국 특허 제5,025,135 호(이하 '135 특허로 지칭함)), Patrick 등(미국 특허 제5,474,648 호(이하 '648 특허로 지칭함)), Turner 등(미국 특허 제5,576,629 호(이하 '629 특허로 지칭함)), Williams & Spain(미국 특허 제5,472,561 호(이하 '561 특허로 지칭함))을 참조하기 바란다.
'135 특허는 고주파도(high frequency content)의 존재가 플라즈마의 존재를 판단하는 샘플링된 전기 신호의 고역 통과 필터(high-pass filter)를 개시하고 있다. '019 특허는 (플라즈마 전기 시스템 내의 전극에서 측정된) 전압과 전류의 기본 주파수 및 고조파 주파수 성분 측정값을 사용하여 동작 상태를 선택한다. 그러나, 이 특허는 조화도를 압력, RF 입력 등과 같은 플라즈마 프로세스 입력 파라미터와 연관시키는 것에 대해서는 개시하고 있지 않다. 또한, 이들 특허는 고조파 진폭 비율(기존 주파수의 진폭에 대한 비율)의 선형 및/또는 비선형 조합에 근거한 제어 함수에 대하여 개시하고 있지 않다. 이와 같이, '019, '629', '561 중 어떤 특허도 RF 전원을 변조함으로써 획득할 수 있는 플라즈마 프로세스에 관한 정보를 인지하지 못하고 있다.
몇몇 특허는 플라즈마 프로세스의 지능형 제어에 관한 소정의 특징을 개시하고 있다. 상세하게는, 몇몇 특허가 플라즈마 시스템 성능을 특정화하여 데이터베이스를 발생하고, 운전 상태 동안 전기 성분을 감시하며, 데이터베이스와 비교하여 플라즈마 상태를 판단하려고 시도하고 있다. 예를 들면, Kochel(미국 특허 제4,043,889 호)이 이러한 주제를 개시하고 있다. 이 특허는 선정된 바이어스 전압 대 압력 특성을 사용하여 프로세스를 (챔버(chamber)에서 박막의 RF 스퍼터링을 수행하는) "최적" 상태로 동조시키는 방법을 개시하고 있다. 또한, Tretola(미국 특허 제4,207,137 호)는 플라즈마 프로세스를 제어하는 방법을 기술하고 있다.
또한, 몇몇 특허가 플라즈마 시스템의 전기적 특성을 감시하여 이들의 변화를 플라즈마 상태와 연관시키는 것에 대해 개시하고 있다. 예를 들어, Patrick 등(미국 특허 제5,474,648 호)은 (a) 프로세스의 반복성 및 동일성을 향상시키기 위한 제어 방법 및 (b) 플라즈마로 전송되는 RF 에너지의 전력, 전압, 전류, 진폭, 임피던스, 조화도, 직류 바이어스를 감시하는 방법을 개시하고 있다. 또한, 플라즈마 프로세싱 디바이스에 대한 전기적 특성을 설명하고 있는 다른 참조 문헌으로는 Logan, Mazza & Davidse, "Electrical characterization of radio-frequency sputtering gas discharge," J.Vac. Sci Technol.,6, p.120(1968), Godyak, "Electrical characteristics of parallel-plate RF discharges in Argon," IEEE Transactions on Plasma Sci., 19(4), p.660(1991), Sobolewski, "Electrical characterization of radio-frequency discharges in the Gaseous...", J. Vac. Sci. Technol., 10(6)(1992)이 있다. 다중-변화 통계 해석(multi-variate statistical analysis)을 이용하는 에칭 프로세스의 실시간 제어에 대해서는 Fox & Kappuswamy(미국 특허 제5,479,340)를 참조하기 바란다.
몇몇 특허는 플라즈마의 광학적 특성을 감시는 방법을 또한 논의하고 있다. 발광 분광계(optical emission spectrometer)를 하용하여, 플라즈마 내에 존재하는 화학물 종류에 관한 정보(및 이들의 대략적인 농도)가 현재의 발광 스펙트럼을 감시함으로써 확인될 수 있다. 실제로, 몇몇 분광계(또는 회전 회절 격자를 구비하는 분광계)는 몇몇 화학물 종류의 존재를 감시하여 다수의 입력을 플라즈마 프로세스 제어 시스템에 제공한다. Cheng(미국 특허 제5,160,402 호) 및 Khoury에 의한 "Real-time etch plasma monitor system", IBM Technical Disclosure Bull., 25(11A)(1983)을 참조하기 바란다.
Turner(미국 특허 제4,166,783 호)는 스퍼터링 챔버 내의 증착율 조절에 사용하기 위한 컴퓨터 제어 시스템을 제안하고 있다. 이 시스템은 스퍼터링 디바이스의 사용을 기록하여 작업 이력(history)을 수집한다. 이후, 디바이스를 사용하는 동안에 이전 수행, 수명 등은 조업 상태 동안 조절 장치로 합쳐진다.
자동 임피던스 정합 시스템은 또한 플라즈마 챔버의 전기적 특성을 감시하기 위하여 (의사(quasi)) 지능형 제어기를 채용하는 것으로 알려져 있다. 실제로, 몇몇 시스템은 가변 리액턴스(즉, 캐패시터 및 인덕터)에 대한 설정값과 부하 임피던스 또는 플라즈마 입력 파라미터(즉, RF 입력 전력, 챔버 압력 등)과 같은 플라즈 마 상태 사이의 소정의 연관성을 획득하려 하고 있다. 연관성이 획득되면, 임피던스 정합의 조동(coarse tuning)이 또한 획득될 수 있다. 예를 들어, Keane & Hauer에게 허여된 미국 특허 제5,195,045 호는 동작 상태 동안 동조 문제를 해결하기 위하여 두 개의 임피던스 가변 디바이스에 대해 선정된 세트 포인트를 사용하는 방법을 개시하고 있다. 또한, Ohta & Sekizawa에게 허여된 미국 특허 제5,543,689 호는 이전 사용으로부터 획득한 저장 정합 회로 설정값을 제안하고 있다. Smith 등에게 허여된 미국 특허 제5,621,331 호는 플라즈마 프로세싱 디바이스에서 가변 임피던스의 임피던스를 신속하게 조절하여 (a) 소스의 임피던스를 (b) 부하 임피던스에 정합하는 방법을 개시하고 있다. 이 디바이스는 다수의 전기적 센서, 광 검출기, 데이터 프로세스, 메모리를 포함하고 있다. '331 특허는 발광 분광계와 플라즈마 결합 소자에서 얻어진 전기적 측정값을 사용하여 (1) 가변 리액턴스 설정값과 (2) 플라즈마 내에 존재하는 화학물 종류의 측정값을 연관시키고 있다. 이 방식에서, 화학 상태의 세트는 가변 리액턴스 설정값을 감시함으로써 선택되어 동조될 수 있다.
신경망(Neural network)이 많은 분야에서 예측 및 제어에 사용되고 있다. 에칭 프로세스의 종료점을 예측하기 위해 반도체 프로세싱에 신경망을 사용하는 방법이 Maynard 등에 의한 "Plasma etching endpointing by monitoring RF power systems with an artificial neural network", Electrochem. Soc. Proc., 95-4, p189-207, 1995, 및 "Plasma etching endpointing by monitoring radio-frequency power systems with an artificial neural network", J. Electrochem. Soc., 143(6)에 개시되어 있다.
<발명의 요약>
전술한 바와 같이, 본 발명의 목적은 예컨대 무선 주파수(RF) 전력을 사용하는 플라즈마를 발생하는 프로세싱 시스템에서 가스 플라즈마를 사용하는 프로세스를 신속하고 정확하게 감시하고 제어하는 시스템 및 방법을 제공하는 것이다.
본 발명의 일 양상에 따르면, 플라즈마 상태 및 시스템 상태는 시스템 내의 한 요소(element) 상에서 RF 전력의 하나 이상의 진폭, 위상, 주파수를 변경시키거나 변조시키고, 시스템 내의 동일 요소 및/또는 하나 이상의 다른 요소의 결과적인 응답 신호의 특성을 관측함으로써 감지된다. "요소"는 시스템의 전기적 구성요소이며, 보다 상세하게는 전극, 바이어스 차폐물(bias shield), 유도성 코일(inductive coil) 또는 정전성 척(electrostatic chuck)과 같은 플라즈마 결합 소자이다. 전기적 구성요소는 또한 전력 변조에 응답하여 신호를 획득하도록 사용되는 프로브(probe) 또는 다른 센서를 포함한다.
예시적인 일 실시예에서, 각각의 변조 신호가 예를 들어 전기 정합 네트워크를 포함할 수 있는 전력 전달 회로의 노드에서 관측된다. 측정된 응답 정보가 공지된 상태에서 획득된 저장 데이터와 비교된 다음, 시스템 상태가 (측정 데이터에 가장 근접한) 저장 데이터의 상태와 대응하는 것으로서 판정된다.
바람직한 실시예에서, 하나 이상의 시스템 구성요소에 대한 전력을 변조함으로써 획득되는 정보를 사용하기 위해 프로세싱 시스템은 일련의 시운전으로 특성화된다. 본 명세서에서 "시운전"이란 용어는 시스템을 특성화하기 위한 목적으로 수 행되는 프로세싱 시스템의 검사를 의미한다. 이 시운전은 생산 조업이 수행되는 상태와 유사한 상태에서 수행될 수 있다. 또한, 이 시운전은 프로세싱 조업에서 사용될 장비의 진단 검사를 수행하응 데 이용되는 상태에 대응할 수 있으므로, 시운전에서 획득된 정보가 예컨대 프로세스 단계 개시 이전에 장비의 상태를 판단하는 데 사용될 수 있다. 시운전은 주어진/공지된 시스템 상태 및 플라즈마 상태의 설정하에서 시스템을 동작시키고, RF 전력을 하나 이상의 전기적 구성요소(보다 상세하게는 플라즈마 결합 소자)에 제공하고, 결과적인 변조 응답 신호를 측정함으로써 수행된다. 일련의 시운전이 플라즈마 상태 및/또는 시스템 상태를 체계적으로 변경시킴으로써 수행되어, 응답 신호가 획득되어 다양한 상태와 연관됨으로써 공지된 상태의 특성으로서 저장될 수 있는 응답 신호 데이터를 제공한다. 예를 들어, 프로세싱 되는 전력, 압력, 가스 혼합물, 또는 재료가 변경될 수 있어, 변조 응답 신호에 대한 이들 변경의 효과가 실험적으로 결정될 수 있다. 시웅전/특성화 동작 동안 변경되는 부가적인 상태는 청결도(세척/보수가 수행되는 시간 또는 사이클), 구성요소의 수명, 주변 온도 및/또는 습도 등을 포함할 수 있다. 데이터가 획득되기 위한 다수의 상태 변수는 예컨대 프로세싱 조업 동안 내역이 사용될 수 있는 범위, 필요한 수준의 정교함/제어, 예산상의 제약에 따라 변경될 수 있음을 이해하여야 한다. 예를 들어, 비교적 간단한 시스템은 변조 응답 정보를 사용하여 프로세스의 종료점을 검출하거나 시스템의 청결도만을 나타낼 수 있다. 조금 더 복잡한 시스템은 프로세스 동안 부가적인 상태/변수를 관측할 수 있다.
데이터 포인트의 입체 배열(multi-dimension array)을 포함하고 테스트 동작 동안 응답 정보를 획득하고, 각각의 데이터 포인트는 하나의 변조된 신호 성분의 특성, 예를 들어 진폭 또는 위상에 대한 정보를 포함한다. 주어진 시스템 상태와 플라즈마의 세트에 대하여, 데이터 포인트는 변조 내역을 작성하기 위하여 함께 그룹(group)화 된다. 본 명세서에서 "변조 내역"이란 용어는 RF 전력을 변조함으로써 하나 이상의 플라즈마 결합 소자에서 발생함을 나타내는 프로세스/시스템 상태의 세트와 관련된 데이터 세트를 의미한다. 이에 의해 데이터베이스에 저장된 각 프로세스 상태의 세트는 변조 내역과 연관된다.
시운전 동안 획득한 데이터는 실제 생산 조업동안에 이용될 수 있는데, 프로세스 상태는 다양한 플라즈마 결합 소자에서 RF 전력을 변조하고 동일 또는 상이한 플라즈마 결합 소자로부터 신호상의 변조 효과를 관측하고 측정으로 관측된 변조 내역을 전술한 측정으로부터 산출함으로써 결정/결론지을 수 있다. 현재 프로세스 상태를 결정하기 위해 시스템은 (1) 관측된 변조 내역에 근접하게 정합시킨 저장된 변조 내역(즉, 시운전시 획득한 데이터베이스로부터의 내역), 또는 (2) 관측된 변조 내역으로부터 현재 프로세스 상태를 예측하기 위한 신경망 중 어느 하나를 사용한다. 전자의 방법을 이용하는 경우, 데이터베이스는 저장된 내역을 저장된 프로세스 상태에 링크(link)한다. 이에 현재 프로세스 상태를 이러한 저장 프로세스 상태를 근간으로 하여 결정한다. 예를 들어, 플라즈마 결합 소자를 가지는 플라즈마 에칭 시스템에 있어서, 해당 요소에 공급되는 RF 전력이 어떠한 변조 주파수 및 변조 진폭에서 변조된 진폭일 수 있다. 해당 결과인 변조 응답 신호는 전압, 예를 들어 전력 전송 회로의 노드를 측정함으로써 관찰된다. 변조 응답 신호의 진폭은 막 을 에칭하는 동안의 제1 값과 에칭이 종료된 후 제2 값을 가지되, 테스트 동작시 획득한 실험 데이터를 기준으로 한다. 에칭 동안 플라즈마 상태( 및 프로세스 상태)는 제1 변조 내역과 관련있고, 에칭 후의 플라즈마 상태( 및 프로세스 상태)는 제2 변조 내역과 관련있다. 이 예에서 각각의 내역에는 변조 응답 신호의 진폭과 일치하는 단일 데이터 포인트(single data point)를 포함하고 있다. 프로세싱 동작동안, 즉 제2 변조 내역을 검출할 때, 변조 응답 신호는 에칭 프로세스의 종료점(end point)을 이때 이용하고 결정할 때 사용된다. 후자의 방법을 사용하는 경우, 에칭 프로세스의 종료점을 신경망이 결정한다. 관찰된 변조 내역을 변조 내역의 정확한 정합없이 에칭이 종료될 때 신경망으로 입력된다.
본 발명에 따라서, 전술한 변조 응답 신호가 플라즈마와 시스템 상태 및 반응 프로세스에서의 변화에 민감함을 주지한다. 이에 따라 좀더 정확하거나 복잡한 프로세스 상태의 "상황(picture)"을 종래 시스템과 비교하여 획득할 수 있다. 또한, 프로세스/시스템 상태를 효율이 우수하게 특성화할 수 있으므로, 요구되는 프로세스 상태와의 편차가 RF 전력의 특성화를 변조함으로써 수용하거나 취소될 수 있다. 또한, 신경망을 사용하는 경우, 작은 에러를 신경망에 의해 자연적으로 보상된다. 선택적으로, 적절하지 않은 상태로 결정할 경우, 처리되는 장비 또는 기판의 손상을 방지하거나 최소화하는 보정 동작(corrective action)을 해야 한다. 이에 의해, 향상된 결과 생산물(resulting product) 및 프로세스의 농도/반복성(consistency/repeatability)을 획득한다. 본 발명의 다른 관점에 따르면, 프로세스 또는 프로세스 상태에 대한 정보를 오퍼레이터에게 긴급히 전달하기 위해 관찰된 변조 효과/응답(modulation effects/responses) 및/또는 프로세스 상태에서의 광범위한 불일치 부분을 모니터 스크린에 디스플레이할 수 있다.
본 발명은 종래 시스템보다 몇몇의 장점을 제공한다. 특히, 시스템의 전기 회로에서 RF 전력을 변조하고 변조 응답 신호를 관찰함으로써 플라즈마의 현재 특성을 종래의 시스템에서 사용하는 광 검출(optical detection) 또는 타이밍 방법보다 더 범위가 넓다. 또한, 본 발명은 해당 시스템의 품질에 대한 확신하기 위하여 기판 제조(manufacturing substrate)시 사용되거나 전송되기 전에 적절히 제어되는 상태로 전적으로 테스트되고 특성화될 뿐만 아니라 변조 신호를 분석하는데 이용하는 데이터베이스의 정확도를 보장한다. 이러한 특징은 제조 프로세스의 더 정확한 제어가 가능하고, 이에 의해 생산될 웨이퍼(또는 다른 기판)의 품질을 향상시킬 수 있다. 또한, 결점이 거의 없는 웨이퍼에서 귀착된 품질 보증을 향상시켜, 제조 산출량이 증가되고 제조 비용이 감소한다. 또한, 변조 기술에서 획득한 정보는 수행될 프로세스 또는 시스템을 정확히 오퍼레이터가 모니터하거나 문제점을 검출하기 위하여 시스템을 용이하게 이해가능한 포맷으로 모니터 스크린 상에 디스플레이할 수 있다. 이 결과로 신뢰도를 향상시키고 오퍼레이터 에러의 위험을 줄일 수 있다.
도 1은 플라즈마 프로세싱 시스템의 블럭도.
도 2는 전력을 플라즈마로 전달하는 데 사용되는 정합 네트워크를 포함하는 회로의 개략적인 도면.
도 3은 3 개의 플라즈마 결합 소자에 결합된 플라즈마를 나타내는 블럭도.
도 4a 내지 도 4c는 플라즈마 결합 소자에서 측정된 전압의 주파수 도메인 스펙트럼 진폭의 일부분의 전형적인 그래프.
도 4d 내지 도 4f는 플라즈마 결합 소자에서 측정된 전압의 주파수 도메인 스펙트럼 위상의 일부분의 전형적인 그래프.
도 5는 플라즈마 결합 소자에서 측정된 전압의 주파수 도메인 스펙트럼 진폭의 일부분의 전형적인 그래프.
도 6a는 제1 스크린 디스플레이.
도 6b는 제2 스크린 디스플레이.
도 7a는 동위상 및 4분 채널을 갖는 복조기의 회로도.
도 7b는 DSP 기반형 복조기의 개략적인 도면.
도 8은 모니터/제어기 또는 중앙 처리 제어기로서 사용하기 위한 컴퓨터 시스템의 블럭도.
도 9a는 플라즈마 프로세스 중 전류 프로세스 상태를 판단하는 데 사용되는 연속적인 신경망의 개략적인 도면.
도 9b는 데이터베이스에 저장된 변조 내역(profile)에 근거하여 플라즈마 프로세스의 전류 프로세스 상태를 판단하는 데 사용되는 프로시져의 플로우챠트 예.
도 10은 플라즈마 프로세싱 시스템에서 사용되며 변조 측대역 정보를 수집하는 데 사용되는 프로시져의 플로우챠트 예.
도 11a는 잡음을 제거한 후에 플라즈마 시스템의 주 도전체에서 샘플링된 전압 측정값으로부터 선택한 주파수 스펙트럼에서 처음 5 개의 고조파를 나타내는 그 래프.
도 11b는 잡음을 제거하기 전에 도 11a의 스펙트럼을 나타내는 그래프.
도 12a 내지 도 12c는 몇몇 프로세스 파라미터가 변경된 경우 제2 고조파에 대한 고조파 진폭 비율의 그래프.
도 13a 내지 도 13d는 몇몇 프로세스 파라미터가 변경된 경우 제3 고조파에 대한 고조파 진폭 비율의 그래프.
이제 도면을 참조하면, 동일한 참조 번호는 몇몇 도면에서 동일하거나 대응하는 부분을 나타내며, 도 1은 플라즈마 프로세싱 시스템의 실시예를 도시하고 있으며, 이 플라즈마 프로세싱 시스템은 모니터/제어기(1) 및 변조 신호 발생기(3)를 포함한다. 본 발명의 예시적인 실시예가 RF 전원을 사용하는 시스템 환경으로 설명되어 있지만, 본 발명은 전원이 다른 주파수나 다른 주파수 범위에서 동작하는 시스템에서도 또한 사용될 수 있는 것으로 이해되어야 한다. 또한, 도 1의 시스템이 유도성 및 용량성 플라즈마 결합 소자를 포함하고 있지만, 본 발명의 여러 가지 특징은 다른 유형의 시스템에서도 또한 이롭게 사용될 수 있는 것으로 이해되어야 한다. 예를 들면, 본 발명은 유도성 플라즈마 결합 소자(예컨대, 스퍼터링 시스템)를 포함하지 않는 시스템에도 또한 사용될 수 있다.
도 1의 장치에서, 산소 및 염소와 같은 가스들이 가스관(123)을 통해 프로세싱 챔버(33)로 주입된다. 이 가스들은 반도체 웨이퍼(40)와 같은 기판과 반응하기 위해서 RF 전원을 사용하여 플라즈마 상태로 여기된다. RF 소스(2A, 2B, 2C)는 RF 전원을 혼합기(M1, M2, M3)들 각각의 국부 발진기(LO) 단자로 전송한다. 변조 신호 발생기(3)와 같은 전원 가변 제어기가 변조 신호를 혼합기(M1, M2, M3)들 각각의 중간 주파수(IF) 단자로 전송한다. 당해 기술분야에서 널리 알려진 바와 같이, 혼합기의 무선 주파수(RF) 단자에 제공되는 신호는 혼합기의 LO 단자 및 IF 단자로 전송된 신호의 곱을 포함한다. IF 신호가 DC 성분이 없는 정현파 성분을 포함하면, 혼합기의 RF 단자에서 변조된 신호의 주파수 스펙트럼은 측대역 주파수(즉, LO 주파수에 인접한 주파수)에서 두 개의 주파수 성분을 포함한다. 하나의 측대역 주파수는 LO 주파수와 IF 주파수의 "차분 주파수"(즉, LO 주파수에서 IF 주파수를 차감한 주파수)와 동일하다. 다른 하나의 측대역 주파수는 LO 주파수와 IF 주파수의 "합산 주파수"(즉, LO 주파수와 IF 주파수를 합산한 주파수)와 동일하다. IF 신호가 DC 성분을 또한 포함하면, 변조 신호는 전술한 측대역 성분에 부가하여 국부 발진기(LO) 주파수 성분을 포함한다. 또한, IF 신호가 다수의 상이한 주파수 성분을 포함하면, 변조 신호는 부가적인 측대역 성분을 포함한다. 각각의 부가적인 측대역 성분은 LO 주파수와 IF 성분중 하나의 주파수의 합산 또는 차분에 대응하는 주파수이다. IF 신호에서 각각의 부가적인 성분이 두 개의 부가적인 측대역을 발생하는데, 하나는 LO 주파수 이하이고 다른 하나는 LO 주파수 이상인 부가적인 측대역이다.
본 발명의 바람직한 실시예에서, IF 성분의 주파수는 상당히 낮은(예컨대, 1 MHz 이하) 주파수인 반면에, LO 주파수는 13.56 MHz이며, 이는 LO 주파수보다 상당히 낮은(예컨대, 1 MHz 이하) 측대역 주파수와 LO 주파수 사이의 차분을 발생한다. 즉, LO 주파수와 비교하면, 측대역은 LO 성분에 근접한 주파수를 나타낸다.
변조 신호 발생기(3) 및 이에 의해 발생된 주파수와 진폭의 변조는 모니터/제어기(1)에 의해 제어되다. 혼합기(M1, M2, M3)가 자신의 RF 단자에서 변조 응답 신호를 발생하며, 이 변조 응답 신호는 위상/진폭 검출기(11, 12, 13)와 같은 감시 센서로 각각 전송된다. 위상/진폭 검출기가 변조 응답 신호의 여러 가지 주파수 성분의 특성에 관한 정보(즉, 플라즈마 결합 소자의 세트로부터의 신호)를 제공한다. 각각의 주파수 성분의 특징은 진폭과 위상인 두 개의 가능한 특성 유형 중 하나에 대응할 수 있다. 관심있는 주파수 성분으로는 하나 이상의 RF 소스에 의해 공급된 RF 전력의 진폭, 주파수 및/또는 위상을 변화시켜 발생되는 응답 성분이 있다. 혼합기를 사용하여 RF 전력의 진폭을 변경시킬 수 있다. 도시한 실시예에서, 플라즈마 결합 소자가 코일(30), 정전형 척(electrostatic chuck)(31), 바이어스 차폐물(32)을 포함한다. RF 전력이 각각의 위상/ 진폭 검출기를 통과하여 각각의 플라즈마 결합 소자로 전송된다. 위상/진폭 검출기(11, 12, 13)의 출력이 분석을 위해 모니터/제어기(1)로 전송된다. 중앙 처리 제어기(20)가 이 프로세싱 단계에서 사용되는 디바이스뿐만 아니라 다른 단계에서 사용되는 프로세싱 장치(100)를 제어한다.
이와 달리, 전력 가변 제어기는 제어 신호를 소스에 대하여 내부에 있는 제어 회로 또는 소스에 대하여 외부에 있는 제어 회로에 전송함으로써 RF 소스의 진폭, 주파수 및/또는 위상을 변경시킬 수 있다. 결과적으로 RF 전력이 혼합기를 사용하여 얻을 수 있는 바와 같이 진폭 변조될 수 있지만, 또한 가변 진폭, 주파수 및/또는 위상의 조합을 가질 수 있다.
위상/진폭 검출기의 일실시예에서, 플라즈마 신호의 주어진 응답 성분의 위상 및 진폭은 플라즈마 신호 성분을 "동위상" 및 "4분" 성분으로 복조함으로써 결정된다. 도 7a는 이러한 동작을 수행하는 데 사용되는 회로의 예를 도시하고 있다. 입력 전압 Vin이 동위상 혼합기 MI(이것은 동위상 채널(71)의 부분이다) 및 4분 혼합기 MQ(이것은 4분 채널(72)의 부분이다)의 각각의 RF 단자로 전송된다. 국부 발진기 소스(LOD)가 혼합기 MI의 LO 단자로 전송되는 신호를 제공한다. LOD로부터의 신호는 또한 위상 천이기 Φ90으로 전송되며, 이 위상 천이기 Φ90은 LO 신호의 위상을 90°만큼 천이시켜 이를 혼합기 MQ의 LO 단자로 전송한다. 혼합기 MI의 IF 출력이 저역 통과 필터로 전송되며, 이 저역 통과 필터는 저항 RI와 캐패시터 CI를 포함한다. 결과적으로 다운컨버팅(downconverting) 및 필터링(filtering)된 출력 VOI는 입력 신호 Vin의 동위상 성분을 나타낸다. 혼합기 MQ의 IF 출력이 저역 통과 필터로 전송되며, 이 저역 통과 필터는 저항 RQ와 캐패시턴스 CQ를 포함한다. 결과적으로 다운컨버팅 및 필터링된 신호 VOQ는 입력 신호 Vin의 4분 성분을 나타낸다. 입력 전압의 진폭 |Vin|은 수학식 1에 의해 계산될 수 있다.
Figure 112000005069318-pct00001
복조 국진 발진기 LOD의 진폭에 대한 입력 신호 Vin의 위상(φ)은 수학식 2에 의해 결정될 수 있다.
Figure 112000005069318-pct00002
측정되는 특정한 변조 응답 신호 성분은 국부 발진기 LOD의 주파수를 선택함으로써 선택될 수 있다. 도 7a에 도시한 회로가 신호 성분의 진폭 및 위상을 결정하는 한가지 방법이지만, 당업자에게는 자명한 다른 기술이 사용될 수도 있음을 주지해야 한다. 도 7b에 도시한 바와 같은 본 발명의 바람직한 형태에서, 모니터/제어기(도 1)가 아날로그-디지털(A/D) 변환 유닛(71A) 및 EPROM 또는 디스크 드라이브와 같은 비휘발성 데이터 저장 디바이스를 구비하는 디지털 신호 처리 유닛(DSP)을 포함한다. A/D 변환 유닛(71A)은 각각의 위상/진폭 검출기로부터의 아날로그 신호를 수신하여 이를 디지털 숫자로 변환시키는 다수의 A/D 변환기(1126)를 포함한다. DSP(또는 적절하게 프로그래밍된 CPU)가 이 정보를 분석하고 편성하여 비휘발성 데이터 저장 디바이스에 저장한다. 이러한 변환은 입력을 시간 도메인에서 주파수 도메인으로 변환하기 위해 그 입력에 대하여 고속 푸리에 변환(FFT)을 수행하는 단계를 포함한다. DSP(또는 CPU)는 또한 저장 디바이스로부터 데이터를 검색하여 위상/진폭 검출기로부터 수신되는 새로운 데이터와 비교한다.
예시적인 실시예에 따르면, 모니터/제어기는 도 8에 개략적으로 도시한 컴퓨터 시스템이다. 컴퓨터 시스템(1100)은 마더보드(motherboard)(1104)를 하우징(housing)하는 하우징(1102)을 구비하며, 이 마더보드(1104)는 중앙 처리 유닛(CPU)(1106), 메모리(1108)(예를 들면, DRAM, ROM, EPROM, SRAM, 플래시 RAM), 선택적인 다른 전용 로직 디바이스(예를 들면, ASIC) 또는 구성가능한 로직 디바이스(예를 들면, GAL 및 재프로그래밍가능한 FPGA)를 포함한다. 또한, 본 발명에 따르면, 컴퓨터 시스템은 여러 가지 위상/진폭 검출기(11, 12, 13)(도 1)로부터의 신호를 수신하기 위한 아날로그-디지털(A/D) 입력단(1126)을 포함한다. 이 컴퓨터는 중앙 처리 제어기(20), 변조 신호 발생기(3), RF 소스(2A-2C)(도 1)오 통신하기 위한 통신 포트(1128)(도 8)를 또한 포함한다. 이 컴퓨터(1100)(도 8)는 다수의 입력 디바이스(예를 들어, 키보드(1122) 및 마우스(1124))와 모니터(1120)를 제어하기 위한 디스플레이 카드(1110)를 더 포함한다. 또한, 이 컴퓨터 시스템(1100)은 플로피 디스크 드라이브(1114), 다른 착탈식 매체 디바이스(예를 들어, 컴팩트 디스크(1119), 테이프, 착탈식 광자기 매체(도시하지 않음)), 하드 디스크(1112) 또는 적당한 디바이스 버스(예를 들어, SCSI 버스 또는 확장 IDE 버스)를 사용하여 접속되는 다른 고정식 고밀도 매체 드라이브를 포함한다. 컴팩트 디스크(1119)가 CD 캐디(caddy) 내에 있는 것으로 도시하였지만, 컴팩트 디스크(1119)는 캐디를 필요로하지 않고 직접 CD-ROM 드라이브 안으로 삽입될 수 있다. 또한, 이 컴팩트 디스크(1119)는 고밀도 매체 드라이브로서 동일한 디바이스 버스 또는 다른 디바이스 버스에 접속되며, 컴퓨터(1100)는 부가적으로 컴팩트 디스크 판독기(1118), 컴팩트 디스크 판독/기록 유닛(도시하지 않음) 또는 컴팩트 디스크 쥬크박스(jukebox)(도시하지 않음)을 포함할 수도 있다. 더욱이, 이하 더 상세히 논의되는 바와 같이, 프린터(도시하지 않음)는 예컨대, 측대역 성분의 분석으로부터 결정되는 측대역 위상 및 진폭, RF 전력 레벨(level), 프로세스 상태와 같이 프로세스와 연관된 중요 한 정보의 프린팅된 카피(copy)를 또한 제공할 수 있다. RF 전력 레벨 및 아크 형태의 기록과 같은 플라즈마 제어기의 동작이 또한 디스플레이되고/되거나 프린팅될 수 있다.
컴퓨터 시스템은 적어도 하나의 컴퓨터 판독가능한 매체를 더 포함한다. 이러한 컴퓨터 판독가능한 매체의 예로는 컴팩트 디스크(1119), 하드 디스크(1112), 플로피 디스크, 테이프, 광자기 디스크, PROM(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM 등이 있다.
본 발명은 하나의 컴퓨터 판독가능한 매체 또는 컴퓨터 판독가능한 매체의 조합 중 어떤 것에 저장되어 있으며, 컴퓨터(1100)의 하드웨어를 제어하고 컴퓨터(1100)가 인간 사용자 및 제어형 시스템(들)과 상호 작용하도록 하는 소프트웨어를 포함한다. 이러한 소프트웨어는 디바이스 드라이버, 운용 시스템, 개발 도구와 같은 사용자 애플리케이션을 포함할 수도 있지만 이에 한정되지는 않는다. 본 발명에 따르면, 컴퓨터 판독가능한 매체는 모니터/제어기를 작동시키는 컴퓨터 프로그램을 더 포함한다.
모니터/제어기는 원격 컴퓨터로서 사용될 수 있고, 조작자가 호스트 컴퓨터에 "로그 온(log on)"할 수 있도록 하며, 이 호스트 컴퓨터는 자체 장치(100)를 사용하는 제조 라인(line)에서 특정한 프로세스뿐만 아니라 다른 프로세스를 제어하는 중앙 처리 제어기(20)(도 1)일 수 있다. 도 8의 컴퓨터 시스템과 유사한 형태일 수 있는 호스트 컴퓨터가 프로세스를 수행하는 동안에 조작자가 할 수 있는 가능한 선택을 제한함으로써 조작 오류의 위험성을 감소시키며 프로세스에 대한 제어 에 영향을 주지 않으면서 초보 조작자를 채용할 수 있게 한다. 이와 같이, 다른 실시예에서, 플라즈마 제어기가 클라이언트-서버 프로그램과 같은 GUI 또는 (CGI 스크립트, 액티브X 구성요소, 자바스크립트를 포함하는) WWW 인터페이스를 사용하여 제어된다.
자명한 바와 같이, 본 발명은 종래의 범용 컴퓨터 또는 본 출원의 교시 내용에 따라 프로그래밍된 마이크로프로세서를 사용하여 용이하게 구현될 수 있으며, 이는 컴퓨터 기술에 숙련된자에게는 자명할 것이다. 적당한 소프트웨어 코딩(coding)이 본 발명의 교시 내용에 기반하여 마련될 수 있으며, 이는 소프트웨어 기술에 숙련된자에게는 자명할 것이다. 본 발명은 또한 애플리케이션 특정 집적 회로의 마련 및 종래 구성요소 회로의 적당한 네트워크를 상호접속시켜 구현될 수도 있다.
도 3은 본 발명에 따른 구성의 블럭도이며, 이 블럭도는 플라즈마에 결합된 3 개의 플라즈마 결합 소자(E1, E2, E3)뿐만 아니라 독립형 위상/진폭 검출기(PA1, PA2, PA3)를 포함하고 있으며, 3 개의 독립형 위상/진폭 검출기(PA1, PA2, PA3)는 플라즈마 결합 소자에서 전압 V01-V03의 위상 및 진폭을 측정한다. 또한, 도 3은 모니터/제어기(1)를 도시하고 있으며, 이 모니터/제어기(1)는 각각의 위상/진폭 검출기로부터 위상 및 진폭 정보를 수신함으로써 플라즈마 결합 소자로부터 응답 신호를 수신하는 감시 센서로서 동작한다.
플라즈마 결합 소자(E1, E2, E3)로 전송된 RF 신호가 각각의 변조 주파수 FM1, FM2, FM3으로 변조된다. 일 실시예에서, E1, E2, E3는 플라즈마 결합 코일(30), 정전 차폐물 또는 바이어스 차폐물(32), 웨이퍼 홀딩(holding) 척(31)이다. FM1, FM2, FM3의 예시적인 동작값은 각각 240 kHz, 116 kHz, 500 kHz이다. 도 4A-4F에 도시한 바와 같이, 변조는 각각의 플라즈마 결합 소자 E1, E2, E3에서 측정한 전압에 대응하는 각각의 출력 전압 V01, V02, V03에서 기본 주파수 부근의 측대역 신호(즉, 측대역 성분)을 발생하는 효과가 있다. 각각의 출력 전압은 RF소스의 기본 주파수 성분을 포함하고, 이 기본 주파수의 고조파 성분을 포함할 수 있다. 주파수에 있어서, 기본 주파수 및 고조파 성분 각각에 인접한 성분은 측대역 성분이다.
도 4A-4C는 플라즈마 결합 소자에서 측정한 전압 성분의 신호 진폭의 예시적인 스펙트럼을 도시하고 있다. 전압 성분은 fF+fM3, fF-fM2, fF-fM1, fF+fM1, fF+fM2, fF+fM3 주파수에서 나타나는데, 여기서 fF는 기본 주파수이고 fM1, fM2, fM3은 전술한 변조 주파수이다. 도 4D-4F는 도 4A-4C에 도시한 신호 각각의 위상을 나타내고 있다. 설명을 간략화하기 위해, 이들 도면에는 기본 주파수 근방의 주파수 범위만을 도시한다. 각각의 고조파 근방의 주파수 범위는 도 5에 도시한 바와 같이 측대역 신호를 또한 포함하며, 도 5는 도 4A-4F의 주파수 범위보다 넓은 주파수 범위에 대한 V01의 진폭의 그래프이다. 예를 들면, 제2 고조파 f2 근방의 주파수 범위는 f2-fM3, f2-fM2, f2-fM1, f2, f2+f M1, f2+fM1, f2+fM2, f2+fM3 주파수 성분을 포함한다. 도 5의 그래프는 기본 주파수 근방의 주파수 범위만을 나타내는 것이 아니라 최저 5 개 고조파 근방의 주파수 범위도 나타낸다.
각각의 측대역 성분은 기본 주파수 성분의 위상과 연관된 위상 및 진폭을 갖는다. 측대역 성분의 위상 및 진폭은 플라즈마 상태(예컨대, 이온 밀도, 가스 혼합비, 가스 압력), 시스템 상태(예컨대, RF 소스와 다른 구성 요소의 적절한 조립, 축적된 반응물의 두께, 시스템 또는 시스템 구성요소의 수명, RF 정합 및/또는 시스템의 다른 오염 혹은 시스템에 대한 손상), 대응하는 변조 RF 전력을 수신하는 특정한 플라즈마 결합 소자, 관찰되는 특정한 플라즈마 결합 소자, 반응의 진행(예컨대, 에칭 단계가 종료되었는지에 대한 여부)을 포함하는 몇몇 팩터에 의존할 수 있다. 플라즈마 결합 소자의 관찰된 전기 신호 또는 변조 응답 신호가 예컨대, 일 예가 도 2에 개략적으로 도시된 전력 전달 회로의 노드로부터 수신될 수 있다. 이 예에서, 전력 전달 회로는 케이블(cable)(70)을 통해 전력을 정합 네트워크 MN으로 전송하는 RF 소스(2)를 포함한다. 이 예에서 인덕터 L과 가변 캐패시터 C1 및 C2를 포함하는 정합 네트워크 MN은 소스의 출력 임피던스(impedance) RS를 부하(300)의 임피던스에 전기적으로 정합시킨다. 부하(300)는 RF 소스로부터 RF 전력을 수신하는 플라즈마 결합 소자이다. 하나의 구성에 따르면, 모니터/제어기(도 1에서 (1)로 표시됨)에 의해 측정된 전기 신호는 전력 전달 회로의 정합 네트워크의 노드(도 2)로부터 수신된다. 이와 달리, 플라즈마 결합 소자의 관측된 전기 신호 또는 변조 응답 신호는 예컨대 플라즈마 결합 소자로부터 신호를 직접 획득함으로써 직접적으로 얻을 수 있다. 유용한 정보를 포함하는 신호가 플라즈마 프로세싱 시스템의 다른 요소로부터 수신될 수 있음을 이해해야 한다. 신호를 제공하는 데 사용될 수 있는 다른 요소(예컨대, 프로세스 챔버 내에 배열된 프로브 또는 안테나를 구비함)는 RF 소스, 안테나 또는 플라즈마에 접속된 프로브(probe)를 포함하지만 이에 한정되지는 않는다. 또한, 본 발명을 벗어나지 않고 프로세스의 상태 또는 상황에 관한 정보를 제공하기 위해 신호가 하나의 요소 또는 다수의 요소로부터 얻어질 수 있음을 이해해야 한다.
모니터/제어기(1)가 측대역 성분의 연관된 진폭 및 위상을 분석하여 플라즈마 및/또는 시스템 장치의 현재 상태에 대응하는 변조 내역을 구성한다. 도 5에 도시한 V01의 진폭 스펙트럼은 각각 측정가능한 진폭을 갖는 42 개의 신호 성분을 포함한다. 따라서, 이 스펙트럼은 적어도 42 개의 데이터 포인트를 획득하는 데 사용될 수 있다. 그러나, 이것은 전체 변조 내역의 극히 일부분일 수 있다. 이 내역은 V01의 위상에 대한 데이터 포인트뿐만 아니라 V02와 V03의 진폭 및 위상을 또한 포함할 수 있다. 또한, 이 내역이 도 5의 6 번째 고조파까지만 나타내고 있지만, 더 높은 고조파에 있는 데이터 포인트를 또한 포함할 수 있다. 더욱이, 이 예는 3 개의 플라즈마 결합 소자 각각에 대해 3 개의 고정된 변조 주파수 FM1, FM2, F M3만을 사용하고 있지만, 변조 내역은 다수의 상이한 변조 주파수에 대응하는 데이터 포인트를 포함할 수 있다. 전술한 바와 같이, 획득하여 사용한 정보량은 특정 시스템의 복잡한 정도 및 시스템이 제한된 수의 상태(예컨대, 종료점 검출)를 검사하거나 프로세스 전체를 통해 다수의 상태를 포괄적으로 감시하는지에 따라 변경될 수 있다.
본 특허는 플라즈마 프로세스의 지능 제어에 대한 두 개의 주요한 접근 방안, 즉 (1) 저장된 변조 내역(즉, 시운전 동안에 획득한 데이터베이스로부터의 내역)의 사용 또는 (2) 신경망을 제안한다. 두 가지 접근 방안은 플라즈마 시스템의 성향을 특정화하기 위해 일련의 시운전을 사용한다. 제1 접근 방안은 플라즈마 상태를 나타내는 변조 내역(이것은 나중에 운전 상태 동안 데이터베이스로부터 추출될 수 있음)을 저장한다. (변조 내역과 같은)시스템의 두 번째 전기적 특성은 신경망을 플라즈마 시스템의 정상 성향으로 향하게 하는 것이다.
본 발명에 따른 분석은 기술 프로세스 및 신경망 프로세스의 내역화를 이용하여 이하 설명될 것이다. 그러나, 당업자에게는 변조 내역이 퍼지(fuzzy) 논리회로 또는 전문가 시스템과 같은 다른 지능 제어 시스템에도 또한 적용될 수 있음이 자명할 것이다. 퍼리 논리회로 시스템의 출력은 예컨대 중간 가스 혼합물, 저압 또는 고압을 전반적으로 설명하는 이점을 갖는다.
본 발명의 내역 특징에 따르면, 프로세싱 운전 동안 변조 내역을 관측하여 이 관측된 내역을 이전 데이터베이스의 공지된 내역과 비교함으로써, 프로세스 상태 및/또는 프로세싱 단계의 진행에 관한 정보가 결정될 수 있다. 프로세싱 시스템의 특성인 변조 내역의 세트는 각각이 특정 세트의 파라미터 값에 대응하는 데이터 포인트의 어레이로서 저장된다. 프로세스 상태의 특정 세트를 나타내는 파라미터가 참조되는 변조 내역을 나타낸다. 참조되는 내역의 일부분을 규정하는 파라미터가 특정한 고조파 또는 기본 주파수, 신호가 변조되는 특정한 플라즈마 결합 소 자, 관측되는 특정한 플라즈마 결합 소자, RF 전력의 위상 및 진폭의 특정한 값 및/또는 정합 네트워크에서 가변 요소의 특정한 값을 나타낸다.
예에서, "이전" 또는 "이후" 파라미터 B는 변조 내역이 에칭 단계의 완료 이전(B=0) 또는 에칭 단계의 완료 이후(B=1) 프로세스에 대응하는지를 나타낸다. "고조파" 파라미터 H는 변조 내역에서 지칭되는 고조파를 나타낸다. 예를 들어, H=1은 기본 주파수를 지칭하는 반면에, H=2는 제2 고조파를 지칭한다. "측대역" 파라미터 S는 전술한 고조파 근방의 특정한 측대역을 지칭한다. 예를 들어, S=1은 특정한 고조파에 근접하고 이것보다 높은 측대역을 지칭하며, S=-1은 특정한 고조파에 근접하고 이것보다 낮은 측대역을 지칭하고, S=2는 특정한 고조파보다 높은 다음 측대역을 지칭하며, S=-2는 특정한 고조파보다 낮은 다음 측대역을 지칭한다. 이들 3 개의 파라미터를 규정함으로써, 데이터베이스 내의 데이터 포인트가 유일하게 식별되어 검색될 수 있다. 이 예에서, 데이터는 특정한 측대역의 진폭이다. 상세하게, 특정한 측대역의 진폭 |V(B,H,S)|은 어떤 측대역이 참조되고 어떤 프로세스 상태가 특정화되는 지에 따라 특정한 프로세스 상태에 대응한다. 예를 들어, |V(0,2,1)|는 1 mV일 수 있는 반면에, |V(1,2,1)|은 2 mV일 수 있다. 이것은 에칭 단계가 완료되기 이전에 제2 고조파 이상인 제1 측대역이 1 mV의 진폭을 갖는 반면에, 에칭 단계의 완료 후에는 제1 측대역이 2 mV의 진폭을 갖는다는 것을 나타낸다. 용이하게 알 수 있는 바와 같이, 이 예의 시스템은 3 개의 파라미터(B,H,S)를 고찰하기 때문에, 3 차원 어레이에서 이들 3 개의 파라미터에 따라 데이터가 편성될 수 있다. 가스 압력과 같은 부가적인 파라미터가 고찰된다 면, 데이터는 4 차원 어레이로 편성된다. 가스 혼합비 내에서 특정한 가스의 비율과 같은 또다른 파라미터가 고찰된다면, 데이터는 5 차원 어레이로 편성된다. 그러나, 이 데이터는 통상적으로 역방향 프로세스에서 사용된다. 예를 들어, 1.1 mV의 진폭을 측정한 후, 시스템은 고정된 쌍의 H와 S 값에 대해 모든 B 값을 순환시켜 현재 시스템과 일치하는 공지된 상태를 판단할 수 있다. 전술한 파라미터를 사용하여 수행함으로써, 시스템은 현재 진폭(1.1 mV)이 저장된 "이후"(2 mV) 상태보다 저장된 "이전" 상태(1 mV)에 더 가깝다고 판단할 수 있다.
다수의 어레이 차원은 프로세스 또는 시스템의 상황 혹은 상태에 관해 소망한 정보를 판단하기 위해 필요한 다수의 변조 파라미터에 의존한다. 예를 들어, 데이터가 압력, 두 개의 상이한 가스의 상대적인 비율, 각각의 플라즈마 결합 소자 E1, E2, E3에서의 RF 전력 레벨, 반응 프로세스 B, 고조파 H, 측대역 S의 모든 가능한 조합에 의해 편성되는 경우, 데이터베이스는 7 차원 어레이의 데이터를 포함할 것이다. 3 차원 예에서와 같이, 시스템은 데이터베이스를 인덱싱(indexing)하는 데 7 개의 파라미터보다 작은 파라미터를 사용하여 이들 특성과 연관된 모든 내역을 찾아낼 것이다. 그러면, 진폭 데이터는 어떤 내역이 가장 가깝게 정합하는지를 판단하여 현재 상태를 예측하는 데 사용될 수 있다. 전술한 파라미터는 예로서 개시한 것이며, 본 발명이 이들 파라미터로 한정되는 것으로서 이해되어서는 않된다. RF 주파수, 플라즈마 결합 소자에 의해 수신된 전력 파형의 연관된 위상, 정합 네트워크에서 가변 요소의 값을 포함하는 다른 파라미터가 사용될 수도 있지만 이에 한정되지는 않는다.
데이터베이스에서 데이터를 획득하고 저장하기 위한 예시적인 프로시져가 도 10에 도시되어 있다. 이 예에서, 3 개의 별개의 플라즈마 결합 소자를 구비하는 시스템이 3 개의 플라즈마 결합 소자에 공급되는 전력 레벨의 다수의 상이한 조합을 사용하여 프로세스가 완료되기 이전 및 이후에서 검사되고 특징화 된다. 설명을 간략화하기 위해, RF 정합과 같은 다른 입력 파라미터는 프로세스 동안에 일정한 것으로 가정되며, 온도, 청결도와 같이 관심있는 다른 출력 파라미터는 주기적으로 측정된다. 단계(700 및 701)에서, 시스템 파라미터는 초기값의 세트로 초기화된다. 다음에, 3 개의 플라즈마 결합 소자로 공급되는 전력 레벨은 사전결정된 양만큼씩 증가된다(단계(702, 703, 704)). 전력 레벨이 설정되면, 적어도 하나의 플라즈마 결합 소자로 전송된 RF 전력이 변조된 다음에 결과적인 측대역의 위상 및 진폭이 전술한 출력 파라미터와 함께 측정된다(단계(705)). 다음에, 단계(706)에서 측대역 정보, 출력 파라미터 중 어떤 것, 프로세스가 완료되었는지의 표시가 각각의 플라즈마 결합 소자에 공급되는 전력 레벨의 값과 함께 데이터베이스에 저장된다. 단계(710)에서, P1의 현재 값이 검사될 최종 값(즉, 검사될 P1의 최대 값)으로 판정되면, 프로세져는 단계(708)로 진행한다. P1의 현재 값이 검사될 최종 값이 아니면, 프로시져는 단계(704)로 복귀하여 이 포인트에서 제1 플라즈마 결합 소자로 공급되는 전력 레벨 P1이 사전결정된 단계만큼 증가되고, 측정 루프(loop)는 P1의 새로운 값으로 반복된다. 단계(708)에서, P1은 초기 값으로 설정되고, 현재 상태가 P2의 최종 레벨과 일치하지 않으면(단계(709)), 프로시져는 단계(703)로 복귀하여 이 포인트에서 P2가 선정된 양만큼 증가되어 측정 루프가 이 P2 값에 대 해 반복된다. 단계(709)에서 최종 값이면, 프로시져는 단계(730)로 진행하여 P2를 초기 값으로 설정한 다음 이 포인트에서 프로시져는 (P3의 현재 값이 최종 값인지를 판단하는) 단계(712)로 진행한다. P3가 최종값이 아니면, 프로시져는 단계(702)로 복귀하고 이 포인트에서 P3은 사전결정된 양만큼 증가되어 측정 루프가 P3의 새로운 값으로 반복된다. 단계(712)에서 P3이 최종 값이면, 프로시져는 단계(713)로 진행하여 이 포인트에서 검사될 다른 시스템 파라미터(즉, 다수의 RF 전력과 다른 파라미터)가 없으면 프로시져는 종료된다(단계(715)). 관심있는 다른 파라미터(예컨대, RF 정합 파라미터)가 있으면, 프로시져는 단계(714)로 진행하여 이 포인트에서 다른 파라미터가 변경되며 프로시저가 반복되어 단계(701)로부터 시작한다.
도 10의 프로시져는 RF 전력 레벨만이 변화되는 한 예를 도시하는 것을서 이해되어야 하며, 당업자에게는 다른 파라미터가 또한 변경될 수 있으며 다른 변경 시퀀스가 시스템의 특정화 동안에 사용될 수 있음을 이해할 수 있을 것이다. 예를 들어, 전력이 가스 전송 속도와 같은 다른 상태가 변경되는 동안에 일정하게 유지될 수 있다.
본 발명에 의해 분석될 수 있는 프로세싱 단계의 예는 알루미늄 금속층을 실리콘 기판 상에 에칭하는 단계이다. 제조 운전에서, 이 프로세스에 시스템을 사용하기 전에 프로세싱 시스템의 특성인 변조 내역이 시스템의 일련의 시운전을 수행함으로써 획득된다. 소정의 시운전은 에칭 단계 동안의 제조 운전의 상태와 동일한 상태하에서 수행되며, 다른 시운전은 에칭 단계 종료 후에 제조 운전의 상태와 동일한 상태하에서 수행된다. 이 데이터는 시스템의 재생 스테이지에서 시스템을 제조할 때 모니터/제어기(1)(도 1 및 도 3) 또는 중앙 처리 제어기(20)(도 1)내에 저장하기 데이터를 저장하기 위하여 이 데이터는 해당 시스템의 사전 preproduction stage 내에서 실험적으로 획득한다. 선택적으로, 장비 내에 존재하는 데이터가 장비의 타입용으로 획득할 수 있고 제어기/메모리 모듈 내에 제공할 수 있어 본 발명은 재개선된 기준으로 이용할 수 있다. 신경망을 이용하는 경우, 측정된 내역의 입력 파라미터는 도 9A에 도시된 바와 같이, 일련의 신경망으로 제공된다. 신경망은 저장된 변조 내역 및 알려진 요구 출력을 이용하여 사전 설정된 "노르마(norma)" 및 "종료" 상태를 훈련한다. 후방 전파(backpropagation)와 같은 특정한 훈련 알고리즘을 이용할 수 있다. 훈련된 신경망에 대한 부가적인 세부 내역은 Paul Werbos 박사에 의해 기술된 후방 전파의 근(The Roots of Backpropagation)에서 발견할 수 있다. 트레이닝 사이클은 입력으로부터 노멀 및 종료 상태를 적당히 신경망이 확인할 때까지 반복된다. 통상 기술 중 바와 같이, 입력 계층, 출력 계층 및 적어도 하나의 히든 계층(hidden layer)이다. 동일하게, 변조 내역은 최소 또는 간소 호와 같은 다른 상태를 검출하는데 이용된다.
해당 내역을 이용하는 경우, 측정된 변조 내역이 실제 조업시 데이터베이스에 저장된 내역과 비교된다. 이 예에서, 가스 유동율과 같은 대부분의 프로세스 파라미터, 및 RF 전력 레벨은 일정하게 유지된다. 단지 파라미터의 변화는 반응 진행 상태(즉, 종료되거나 그렇지 않는지)이다. 모니터/제어기(1)는 반응 진행 사태와 같이 프로세스의 변조 내역을 반복적으로 측정한다. 알루미늄을 에칭하는 동 안, 플라즈마 가스 혼합물은 어떤 퍼센티지의 알루미늄을 함유하는데 비하여 알루미늄 막의 일부를 완전히 제거한 후에는(이에 의해 실리콘을 노출한다), 가스 혼합물이 알루미늄을 함유하지 않는다. 사실, 프로세스에 의존하여 가스 혼합물은 특히 알루미늄 에칭 단계 종료 후에 실리콘이 노출되면 어떠한 퍼센티지의 실리콘을 함유한다.
플라즈마 가스의 조합에서 전술한 변화에 의해, 알루미늄을 에칭하는 동안의 프로세스는 에칭 종료 후 변조 내역과 프로세스는 상이하다. 또한, 모니터/제어기(1)는 이 프로세싱 단계가 종료될 때 판단할 수 있다. 조작자에게 해당 시간에서 지시하고 및/또는 신호가 중앙 처리 제어기(20)에 전송되고 해당 프로세스는 내역 또는 신경망 중 하나에 의해 또다른 실시예에서 또한 개별적으로 확인할 수 있는 분리가능한 중간 단계를 또한 포함한다.
내역을 이용하는 해당 본보기용 프로세스를 감시하는 프로시저가 도 9B의 플로우챠트에 도시되어 있다. 첫째, 에칭 프로세스가 시작되고(단계 900) RF 전력이 변조된다(단계 902). 이때, 측정된 변조 내역을 구성하기 위하여(단계 906) 측대역 위칭 및 진폭을 모니터/제어기가 측정한다(단계 904). 이 포인트에서, 가시기/제어기가 측정된 변조 내역과 정합되는 변조 내역용 데이터베이스를 검색한다(단계 908). 정합이 검색되면(단계 910), 프로시저가 단계(912)로 복귀한다. 정합이 검색되지 않으면, 경고 신호가 발생되거나 프로시저가 단계(916)으로 복귀한다. 정합 내역이 에칭의 단계의 종료와 일치하는 경우, 모니터/제어기는 조처하기 위하여 체크한다(단계 912). 이 경우, 프로시저는 단계(920)으로 회귀한다. 정합 내역이 에칭의 종료에 대하여 기대 상태에 일치하지 않는 경우, 프로시저는 단계 914를 수행한다. 부분적으로 모니터/제어기는 복잡한 에칭용 적용 가능한 프로세스 상태와 정합 내역이 일치하는 것을 보증함을 체크한다(단계 914). 그렇다면, 프로시저는 단계 904로 회귀하고 측정 시퀀스를 반복한다. 그렇지 않다면, 프로시저는 단계 922를 수행한다.
단계 916, 920 및 922에서, 중요 정보는 조작자(또는 도 1의 중앙 처리 제어기(20))에게 전달되고, 그에 의해 도 9B의 프로시저는 종료된다. 데이터베이스에서 적용가능한 정합 내역이 검색되지 않아 조작자에게 경고한다)단계 916). 에칭이 종료되면 조작자에게 통보한다(단계 920). 프로세스가 알려진 상태이더라도 적합한 상태가 아니라고 조작자에게 통보한다(단계 922). 이 프로시저가 프로세스 상태를 감시하는 실험 방법을 나타내지만, 그 변화는 통상 기술에 또한 나타나 있다.
본 발명의 다른 관점에 따라, 시스템을 특성화하는 데이터를 조절하는 (도 10에 도시된)프로시저를 판단한 초기 상태는 자동화되어 있다. 예를 들어 시스템의 현상(즉, 제조 모델이 제조되기 전) 동안, 시스템의 제조(시스템이 최종 사용자에게 전달되기 전) 동안, 또는 모니터/제어기가 재개선 기초 상에 제공되면 제조후에 이 프로시저가 수행된다. 이러한 경우 중, 전달되거나 재개시될 때 모니터/제어기는 전체 데이터베이스를 포함한다. 이러한 특징은 최종 사용자에게 부가적인 편리함을 제공하고, 품질 보증에 잇점이 있어 제조자가 데이터 수집 및 특성화 프로시저보다 더 제어한다. 필요한 해당 상태의 특성화를 피함으로써, 적합한 개인이 특성화 프로시저를 수행한다. 그 결과, 조작자 에러의 기회는 줄어들고 프로셋 스의 동일성 및 반복성이 향상된다. 이러한 관점은 특히 고가의 반도체 웨이퍼 또는 장비 구성 성분에 가해지는 손해를 방지하는 장점이 있다.
본 발명의 최적 관점에 따르면, 데이터는 데이터베이스의 변조 내역 간에 삽입할 수 있어 프로세스 운전 동안 프로세스/시스템 상태에 대한 더 상세한 정보를 획득한다. 신경망 시스템은 뉴우런 간의 중량에 기초하여 자동작으로 삽입을 수행한다. 내역을 이용함으로써 삽입 방법은 이하 기술된 바와 같이 이용된다. 프로세싱 운전동안, 전술한 변조 RF 전력이 시스템의 플라즈마 결합 소자에 입력된다. 프로세스의 현재 상태의 변조 내역이 전술한 측대역 성분을 측정함으로써 획득된다. 프로세스의 현재 상태를 결정할려면, 측정된 측대역 성분(즉, 측정된 변조 내역)으로부터 판단된 데이터 배열이 데이터베이스에 저장된 내역과 먼저 비교된다. 측정된 내역과 가장 근접된 저장 내역이 측정된 변조 내역과 관련되어 사용된다. 데이터베이스에 유지된 각 내역이 특정 프로세스 상태와 관련되고, 데이터베이스내에 또한 유지되어 있으므로, 실제 프로세스 상태를 판단하거나 추정할 수 있다. 그러나, 프로세싱 운전 동안 측정된 내역이 데이터베이스내에 저장된 둘 이상의 내역 사이에 입력된다. 이러한 경우에 있어서, 프로세스의 상태(즉, 상태)를 더 종확히 판단하는데 삽입이 이용된다. 프로세스 상태를 판단하거나 추정한 후에, 모니터/제어기는 데이터베이스 내의 이웃 값과 일치하는 프로세스 상태를 조사한다. 이웃한 프로세스 상태는 실제 프로세스 상태를 더 정확히 판단하는데 이용된다.
일예에 따르면, 측정된 변조 내역이 에칭 시스템 내에서 주어진 에칭률과 일치하고 데이터베이스 내의 두 개의 최근접 에칭률과 일치하는 저장된 변조 내역 간 에 이 에칭률이 직접 떨어지면, 가중된 평균이 두 개의 최근점 데이터 포인트를 인용하고 실제 에칭률를 정확히 판단할 수 있다. 다른 예에 따르면, 제1 저장 변조 내역이 에칭 종료 전에 에칭 프로세스에 일치하고 에칭 종료 후 동일한 에칭 프로세스에 일치하는 경우, 제1 및 제2 저장 변조 내역 사이의 중간 변조 내역은 에칭 단계가 종료되거나 종료되기 직전에 모멘트에 일치한다. 이러한 중간 변조 내역은 삽입 프로시저를 이용하여 제1 및 제2 저장된 변조 내역으로부터 연산될 수 있다. 측정된 변조 내역을 감시하고 전술한 중간 변조 내역이 정합될 때 판단함으로써, 에칭단계의 종료 모멘트가 정확히 판단될 수 있다. 시스템을 특정화하는 동안 해당 삽입 특정을 정확히 제공되어, 테스트된 프로세스 상태가 함께 폐쇄되기를 요구하지 않는다. 데이터에서의 차이는 삽입 프로시저에 의하여 "채워질 수" 있다. 이는 저장된 내역을 확인하지는 않는 측정된 내역을 시스템에 더 빨리 수행되고 적응하도록 한다.
추가로 프로세싱 단계의 종료를 검출하려면, 모니터/제어기는 각 플라즈마 결합 소자에 제공되는 RF 전력의 위상, 진폭 또는 주파수를 조정하도록 구성된다. 해당 시스템이 충분히 특성화되려면, 정보는 주어진 플라즈마 결합 소자에 제공되는 전력의 특성화 변화에 의해 효과를 얻을 수 있다. 운전 동안 변조 내역을 판단함으로써 부적당한 프로세스 상태를 모니터/제어기가 판단하는 경우, 이 차이를 보정하도록 하나 이상의 플라즈마 결합 소자에 제공되는 전력의 위상, 진폭 또는 주파수를 동조시킬 수 있다. RF 전력의 위상은 정합 네트워크에서 변화가능한 구성 성분을 동조함으로써 조정될 수 있다. 진폭 및 주파수는 RF 소스에서의 제어 회로 에 명령을 내림으로써 동조될 수 있다. 이러한 기술을 사용하여 더나은 프로세스의 동일성/반복성을 획득한다. 예를 들어, 다수의 기판을 처리하는 시스템은 최종 청결/유지 작업이 청결/유지를 견디는 시스템으로써 동일한 결과를 산출하도록 동작한다.
플라즈마 상태를 제어가능하게 조정하는 장점 및 프로셋스 상태는 이하 예를 참조하여 설명한다. 제1 예에 따라서, 일련의 시운전은 (1)전적으로 에칭 프로세스를 특성화하거나 (2)에칭 프로세스를 훈련하여 에칭 프로세스를 제어/예상한다. 이 프로세스의 생산 운전동안 모니터/제어기는 변조 내역의 측정을 기초로 하여 현재 프로세스 상태는 요구된 프로세스 상태를 정합하지 않고 하나의 플라즈마 결합 소자에 으해 수신된 다수의 전력이 너무 낮다. 그 결과, 모니터/제어기는 에칭 처리가 너무 느리다고 추론한다. 모니터/제어기는 (1)데이터베이스 내의 정보 또는 (2)신경망의 중량에 기초하여 하나의 RF 소스로부터 제공되는 전력 증가가 에칭률을 증가함을 또한 판단한다. 모니터/제어기는 적당한 RF 소스에 의해 제공되는 전력의 진폭을 또한 증가하고, 이에 저속의 에칭률을 보정한다. 선택적으로, 모니터/제어기는 하나의 플라즈마 결합 소자에 의해 수신된 RF 전력의 위상을 동조함으로써 에칭률이 증가함을 판단한다. 이러한 경우, 모니터/제어기는 적당한 정합 네트워크를 동조하여 필요한 위상 천이를 제공하고, 이에 의해 해당 문제를 보정한다. 명백히, 변조 응답 신호를 감시하는 기술 및 어떠한 불일치 보정은 향상된 제어와, 프로세스의 반복성을 제공하여 결과 산물의 동일성을 향상시킨다.
제2 예는 가스 혼합물, 예를 들어, 30%의 HCL과 70%의 Ar를 통상적으로 사용 하는 에칭 프로세스에 관한 것이다. 제2 예의 에칭 프로세스는 다른 가스 혼합물 범위, 예를 들어 15%에서 50% HCL로의 변화를 포함하는 다른 상태의 변화 하에서 일련의 시운전에 의해 전적으로 특성화된다. 이 프로세스의 생산 운전 동안, 모니터/제어기는 측정된 변조 응답신호/변조 내역에 기초를 두고 단지 20% HCl를 현재 프로세스 상태의 가스 혼합물이 함유한다는 것을 판단한다. 그 결과, 감시가/제어기는 너무 느린 에칭률을 판단하고, 하나의 RF 소스로부터 제공되는 전력을 증가함으로써 이를 보정한다. 선택적으로, HCl 가스 흐름이 증가될 수 있다.
전술한 예는 불충분한 전력 및 보정되지 않은 가스 혼합물의 효과를 기재했다. 그러나, 모니터/제어기는 한계가 없는 가스 압력, 시스템 청결도 및 RF 정합과 같은 다른 프로세스 파라미터의 변화에서 차이점을 보정할 수 있다. 전술한 예에서 모니터/제어기가 하나의 RF 소스에 의해 제조되는 RF 전력을 조정함으로써 프로세서 내의 문제를 보정하더라도, 다른 파라미터는 실험적 측정에 의해 혼합물 내의 특정 가스의 유동률(가스 흐름 제어기에 신호를 전송하여 조정되는)과 가스 압력(하나이상의 가스 흐름 제어기에 신호를 전송하거나 챔버의 아웃렛 포트상의 조정가능한 밸브에 신호를 전송하여 조정되는)을 포함하여 또한 조정될 수 있다.
이후 명백해지는 바와 같이, 본 발명에 따라서, 플라즈마 상태 및 시스템 상태에 관한 상세 데이터를 획득할 수 있다. 프로세스를 수행하는 동안, 데이터는 프로세스의 상태 및/또는 진행 과정을 감시하고 및/또는 문제를 검사하는데 이용된다. 프로세스의 상태/진행 과정의 정확한 감시는 더 조화/신뢰 가능한 산출물을 시스템에서 제조하도록 한다. 또한, 초기 문제 검출은 적당하지 않는 기능을 하는 시스템에서 웨이퍼/기판의 처리를 방지하도록 적절히 수행하여 유지된다. 이는 더 나은 품질 보증에 기여한다.
본 발명의 또다른 관점에 따라서, 모니터/제어기 디스플레이는 조작자를 다른 데이터의 변화를 이용하지 않도록 하는데 유용하게 이용됨을 인지한다. 디스플레이되는 데이터의 예는 정합 네트워크에서 동조가능한 요소인 유지 값 또는 상태, 각 플라즈마 결합 소자에서 측정된 조화 또는 측대역의 진폭 또는 위상, 두 개 이상의 조화 또는 측대역의 상대 위창(위상의 차이), 조화도 간의 신호의 진폭 또는 위상, 조화도 간의 두 개 이상의 상대 위상, 각 정합 네트워크의 입력 임피던스의 매그니튜드 또는 위상, 플라즈마로부터 유입되는 다양한 관찰 파장에서의 발광, 또는 시간 함수에 대하여 이러한 변화의 그래프를 포함한다. 이러한 그래프는 "실시간"(즉, 시간 진행 상황)으로 디스플레이할 수 있거나, "이벤트 타임"으로 디스플레이할 수 있다. 이벤트 타임은 데이터의 샘플을 시스템으로 전달하도록 시동하는 동작 모드이다. 이 이벤트는 호 투사, 프로세스 단계의 종료, 또는 다른 사용자 정의 이벤트이다. 감시하고 디스플레이하는 다른 파라미터는 관찰된 특정 프로세스에 의존하고, 각 플라즈마 결합 소자로 전달되는 중앙 처리 제어기에 의해 요구되는 많은 전력, 그 각각의 정합 네트워크 또는 각 플라즈마 결합 소자에서 실제 측정되 많은 전력, 광대역 주파수 스펙트럼(즉, 주파수 저장부)의 다양한 세그먼크 또는 조화 비, 또다른 노드에서 측정된 조화의 진폭과 비교되는 한 노드에서 측정된 조호의 진폭 비, 또는 한 노드에서 측정된 주파수 스펙트럼의 부분에서 광대역 신호 전력와 다른 노드에서 측정된 주파수 스펙트럼의 동일 부분에서의 신호 전력 의 비교 비를 포함한다.
본 발명의 바람직한 실시예에서, 기본, 조화 및 측대역을 포함하는 전력 송신 회로의 노드로부터 신호의 스펙트럼 그래프는 도 6A에 도시된 바와 같이 디스플레이된다. 정보는 사용자에 의해 지정된 비율(query mode : 질의 모드), 제어기에 의해 지정된 비율(push mode : 푸시 모드), 또는 두 모드의 조합을 사용하여 보상된다. 또한, 정보의 몇몇 타입(예를 들어, 에러 정보)은 항상 푸시 모드로 지정되어 있어 가능한 한 빨리 조작자의 주의를 요구한다. 질의 모드에서, 셀프 리프레싱 WWW 브라우저 또는 ActiveX 제어는 정기적으로 표준 형식으로 데이터를 수집하고 디스플레이하는데 이용할 수 있다. 또다른 설비에 있어서, 도 6B에 도시된 바와 같이, 다양한 조화도의 매그니튜드의 비율표는 텍스트 형식으로 디스플레이될 수 있다. 선택적으로, 분할 스크린 형식(예를 들어, WWW 브라우저내의 프레임을 이용하여)으로 도 6A와 도 6B, 또는 다른 도면을 조합하여 디스플레이된다. 또한, 도 6A와 도 6B, 또는 다른 도면은 순차적으로 번갈아 간다. 이러한 디스플레이 설비는 예이고, 다른 디스플레이 형식의 변화를 이용함을 교시한다.
전술한 데이터 디스플레이 방법은 프로세스 상태를 조작자가 더 용이하게 이해되도록 하여 조작자가 시스템을 감시하거나 제어할 수 있고 손해를 입기전에 문제를 검출하되, 해당 손해가 발생했더라도 그 효과를 최소화한다. 그 결과, 향상된 생산물의 동일성을 획득하고, 시스템에 가해지는 손상을 방지하고, 그에 의해 유지 비용을 절감한다.
이후, 본 발명의 다양한 관점 및 특징은 종래의 시스템보다 더 몇몇 잇점을 제공한다. 예를 들어, 프로세스의 상황/상태를 상세하고 정확하게 판단함으로써 향상된 프로세스 제어를 획득한다. 또한, 프로세스를 철저히 감시하고, 중요한 데이터를 디스플레이함으로써, 손해 문제는 손해가 발생하기 전에 잠정적으로 방지하여 손해를 최소화한다. 그 결과, 품질 제어/보증 및 산출량을 향상시키고, 유지 비용을 줄일 수 있다.
최근, 플라즈마 에칭 챔버로부터 추출된 실험 결과는 플라즈마 결합 소자로부터 추출된 전기 신호에서의 조화 내역이 임피던스 정합 네트워크용 변화 진단(또는 제어 진단)임을 나타낸다. 조사된 플라즈마 에칭 챔버는 각각의 임피던스 정합 네트워크에 대한 두 개의 독립 RF 입력을 포함한다. 제1 RF 입력은 나선형 코일을 경유하여 플라즈마로 RF 에너지를 전자기적으로 결합하고, 제2 RF 입력은 기판 유지 척을 경유하여 기판으로 RF 바이어스(및 DC 자기-바이어스)를 제공한다.
전압 측정은 임피던스 정합 네트워크로부터 Tektronix 고전압 프로우브를 이용하는 척의 베이스로 RF 전력을 전달하는 일차 콘턱터를 이용한다. 전압은 13.56MHz 입력의 15 RF 주기에 대한 200MHz이 표본이다. 표본 주파수는 제5 조화도를 제외하여 다시 해결하게에 충분하다. 도 11A와 도 11B는 기본 구동 주파수에서 전압 시간 트레이싱의 전형적인 푸리에 급수(주파수 스펙트럼)를 도시할 뿐만 아니라 제5 조화도가 미리 확정가능하다. 도 11A에서는 잡음이 분명하게 제거되었다. 도 11B에서는 잡음을 포함하는 원신호가 도시되어 있다. 분명하게, 5 번째 고조파가 적어도 10의 신호 대 잡음비(signal-to-noise : S/N)를 갖더라도, 고조파 진폭은 고조파 갯수가 증가함에 따라 감소한다. 프로세싱 챔버 내에 존재하는 주 어진 세트의 상태에 있어서, 고유한 고조파 비율의 세트가 기록될 수 있으며, 이 고조파 비율은 기본(제1) 구동 주파수(즉, 이 예에서는 13.56 MHz)의 진폭으로 정규화된 각각의 고조파 주파수에서의 고조파 진폭으로서 정의된다. 척 상에서의 고조파 진폭 비율의 측정값은 (상태, 챔버 보수 등 사이에서) 매우 반복적인 것으로 판단되었으며, 이 측정값에서의 오차는 제2 고조파에 대해서는 5 내지 10%이고 기수 고조파에 대해서는 2.5% 이하인 것으로 판단되었다.
고전압 프로브를 사용하여 척 상의 고조파 진폭 비율을 감시함으로써 사용자 및/또는 궤환 제어기가 RF 척에 대한 임피던스 정합 네트워크를 동조시켜 전송된 전력을 극대화시키고 반사된 전력을 최소화시킬 수 있음이 판명되었다. 도 12A-13D는 3 차원 파라미터 공간에 대한 고조파 진폭 비율을 도시하고 있는데, 챔버 압력, 헬리컬(helical) 코일로 입력되는 RF 소스 전력, 척으로 입력되는 RF 바이어스 전력은 변경된다. 고조파 진폭 비율 En:1은 기본 주파수의 진폭에 대한 n번째 고조파 주파수 진폭의 비율로서 정의되었다. 예를 들어, 도 12A-12C는 1 내지 20 mTorr의 범위인 챔버 압력을 갖는 3 개의 상이한 RF 바이어스 전력 입력, 즉 120W, 80W, 40W 각각에서의 RF 소스 전력의 함수로서 (즉, 두 번째 고조파에 대한)비율 E2:1의 변동을 도시하고 있다. 도 12A는 높은(120W) RF 바이어스 전력에서 챔버 압력에 대한 측정값의 (특히 E2:1에 대한)감도가 무시될 수 있거나 오차 한계 내에 있음을 나타내고 있다. 그러나, (도 12B 및 12C에서와 같이)RF 바이어스 전력이 감소됨에 따라, 압력 의존성이 관측될 수 있다. 상세하게, 20 mTorr의 챔버 압력은 10 mTorr와 비교할 때 상당한 변화를 발생한다. 이것은 1 mTorr에서의 평균 자유 경로보다 상당히 큰 진폭 오더(order)인 20 mTorr에서의 감소된 평균 자유 경로와 플라즈마 외장(sheath)과 연관된 평균 자유 경로의 스케일(scale)를 결합한 상당한 충돌 효과에 기인할 것이다. 또한, 낮은 RF 바이어스 전력(40 W)은 제외하고 RF 바이어스 전력 상에서는 E2:1의 임피던스가 작다. 따라서, (이전의 챔버 특성으로부터의)일련의 측정값은 E2:1에 근거하여 나선형 코일에 입력되는 RF 소스 전력을 판단할 수 있다.
도 13A-13C는 제3 고조파, 즉 E3:1에 대한 측정값을 나타내고 있다. 제3 고조파가 챔버 압력에 민감하다는 것이 분명하다. 도 13D는 3 개의 RF 바이어스 전력 입력값(각각 40 W, 80 W, 120 W임)에서 1 kW의 RF 소스 전력에 대한 압력의 함수로서 고조파 진폭 비율 E3:1을 나타내고 있다. 압력에 대한 E3:1의 의존성을 갖는 RF 바이어스 전력이 분명하게 관측된다. 따라서, 도 12A-12C의 정보를 사용하면, 도 13D로부터 챔버 압력 및 RF 바이어스 전력 입력이 판단할 수 있는 바와 같이, E2:1로부터 RF 소스 전력이 판단될 수 있다. 그러나, 부가적인 데이터 관련성은 관측된 조화도에 근거하여 입력 파라미터를 분리할 수 있다. 예를 들어, 고조파 진폭 비율에서의 차이가 평가되어 챔버 상태를 식별하는 것을 잘 수행하도록 판단된다. 실제로, 상당한 어려움을 초래하는 복잡한 연관성을 제외하고는 파라미터 공간의 크기를 제한하는 것은 없다. 특히, 3 차원 공간은 데이터가 분석될 수 있는 범위까지 도달한다. 이때, 챔버 특성의 사전결정된 데이터베이스나 신경망을 통합 하는 컴퓨터 및 검출 디바이스를 포함하는 지능형 제어 시스템이 필요하게 된다.
요약하면, 플라즈마의 상태와 기판 홀딩 척 상의 고조파 진폭의 비율 사이의 직접적인 연관성이 존재한다는 것이 증명되었다. 더욱이, 주어진 시스템에 있어서, 고조파 정보의 서브세트(subset)는 소스에 대한 플라즈마 프로세스 입력, 예컨대 압력, RF 입력 전력과 척에 대한 RF 입력 전력을 분리하는 데 사용될 수 있음이 증명되었다. 그러므로, 고조파 기호(signature)는 프로세스 입력과 이들 상태에 의해 기술되는 플라즈마 상태를 식별할 수 있다. 고조파 정보의 서브세트는 임의의 고조파 진폭 비율, 고조파 진폭 비율의 선형 조합 및/또는 고조파 진폭 비율의 비선형 조합으로 구성될 수 있다. 더욱 중요하게는, 플라즈마 결합 소자 또는 요소의 조합 상의 고조파 기호가 프로세스, 즉 이온 에너지, 이온 에너지 분포, 플라즈마 밀도, 화학물 종류 등에 직접적으로 영향을 미치는 물리적 파라미터를 연관시키는 데 사용될 수 있다. 플라즈마 결합 소자의 조합을 참조하는 경우, 기본 주파수의 진폭에 대한 고조파 진폭 및 이들 비율의 사용은 다수의 전기적 플라즈마 결합 소자, 예컨대 나선형 코일, 바이어스 차폐물 등에 적용될 수 있다.
전술한 내용에 견주어볼 때, 본 발명의 다양한 변형 및 변경이 가능함을 알 수 있다. 따라서, 첨부한 특허 청구 범위의 범주 내에서 본 발명이 본 명세서에서 특정하게 설명된 바와 다르게 실행될 수 있음을 이해하여야 한다.

Claims (18)

  1. 전력원과,
    상기 전력원으로부터 플라즈마(plasma)로 전력을 공급하기 위한 제1 플라즈마 결합 소자와,
    상기 전력의 진폭, 주파수, 위상 중 적어도 하나를 변조시키기 위한 전력 가변 제어기와,
    상기 전력을 변조하는 상기 전력 가변 제어기에 의해 발생되는 응답신호로서, 상기 제1 플라즈마 결합 소자의 응답 신호를 수신하기 위한 감시 센서(sensor)를 구비하는 플라즈마 시스템.
  2. 제1항에 있어서,
    상기 감시 센서는, 제1 주파수로 상기 응답 신호의 제1 성분 중 제1 검출 특성 및 제2 주파수로 상기 응답 신호의 제2 성분 중 제2 검출 특성을 측정하기 위한 주파수 센서를 포함하며,
    상기 시스템은,
    상기 제1 선정 주파수에 대응하는 제1 저장 특성 및 상기 제2 선정 주파수에 대응하는 제2 저장 특성을 포함하는 저장 데이터를 저장하기 위한 메모리와,
    상기 제1 검출 특성과 상기 제2 검출 특성 중 적어도 하나와 상기 제1 저장 특성과 상기 제2 저장 특성 중 적어도 하나를 비교하는 중앙 처리 유니트로서, 상기 제1 검출 특성 및 상기 제1 저장 특성 모두는 진폭과 위상 중 하나이고, 상기 제2 검출 특성 및 상기 제2 저장 특성 모두는 진폭과 위상 중 하나인 중앙처리유니트를 더욱 포함하여 구성되는 플라즈마 시스템.
  3. 제1항에 있어서,
    상기 응답 신호 성분의 진폭과,
    상기 응답 신호 성분의 위상과,
    (1) 상기 응답 신호의 제1 성분 중 제1 진폭과 (2) 상기 응답 신호의 제2 성분 중 제2 진폭과의 비율과,
    상기 응답 신호의 제2 성분에 대한 상기 응답 신호의 제1 성분의 상대적인 위상으로서, 상기 상대적인 위상은 (1) 상기 제1 성분의 위상과 (2) 상기 제2 성분의 위상의 차이인 상대적인 위상 중에서 하나를 디스플레이하기 위한 영상 디스플레이를 더욱 포함하여 구성되는 플라즈마 시스템.
  4. 제1항에 있어서,
    상기 감시 센서는, 검출 시간에 제1 선정 주파수로 상기 응답 신호의 제1 성분 중 제1 검출 특성을 측정하는 주파수 센서를 포함하며,
    상기 시스템은,
    상기 제1 선정 주파수에 대응하는 제1 및 제2 저장 특성을 포함하는 저장 데이터를 저장하기 위한 메모리와,
    상기 제1 검출 특성을 상기 제1 및 제2 저장 특성과 비교하는 중앙 처리 유니트를 더욱 포함하여 구성되며,
    상기 제1 검출 특성과 상기 제1 및 제2 저장 특성은 모두 진폭 및 위상 중 하나이며,
    상기 제1 저장 특성은 플라즈마 프로세스의 프로세스 파라미터 중 제1 상태에 대응하고 상기 제2 저장 특성은 상기 플라즈마 프로세스의 상기 프로세스 파라미터 중 제2 상태에 대응하며,
    상기 프로세스 파라미터는,
    상기 프로세스의 진행과;
    상기 플라즈마의 이온 밀도와;
    상기 플라즈마의 가스 혼합물과;
    상기 플라즈마의 가스 압력과;
    상기 플라즈마에 접속된 전기적 구성요소의 적절한 조립체와;
    상기 챔버의 청결도와;
    상기 챔버 내부 표면 상의 피복의 두께와;
    상기 전력원에 대한 플라즈마 결합 소자의 정합도 중의 하나인 플라즈마 시스템.
  5. 제1항에 있어서,
    상기 플라즈마 결합 소자는,
    전극과;
    유도성 코일(coil)과;
    바이어스 차폐물(bias shield)과;
    정전형 척(electro chuck) 중의 하나를 구비하는 플라즈마 시스템.
  6. 제1항에 있어서,
    상기 응답 신호는 상기 전력 가변 제어기에 의해 발생되는 측대역 성분을 포함하며,
    상기 측대역 성분은, (a) 상기 전력원의 기본 주파수의 측대역 주파수와, (b) 상기 기본 주파수의 고조파 주파수의 측대역 주파수 중 하나에 대응하는 주파수인 플라즈마 시스템.
  7. 제2항에 있어서,
    상기 응답 신호는 상기 전력 가변 제어기에 의해 발생되는 측대역 성분을 포함하며,
    상기 측대역 성분은, (a) 상기 전력원의 기본 주파수의 측대역 주파수와, (b) 상기 기본 주파수의 고조파 주파수의 측대역 주파수 중 하나에 대응하는 주파수에서 발생하는 플라즈마 시스템.
  8. 제3항에 있어서,
    상기 응답 신호는 상기 전력 가변 제어기에 의해 발생되는 측대역 성분을 포함하며,
    상기 측대역 성분은, (a) 상기 전력원의 기본 주파수의 측대역 주파수와, (b) 상기 기본 주파수의 고조파 주파수의 측대역 주파수 중 하나에 대응하는 주파수에서 발생하는 플라즈마 시스템.
  9. 제4항에 있어서,
    상기 응답 신호는 상기 전력 가변 제어기에 의해 발생되는 측대역 성분을 포함하며,
    상기 측대역 성분은, (a) 상기 전력원의 기본 주파수의 측대역 주파수와, (b) 상기 기본 주파수의 고조파 주파수의 측대역 주파수 중 하나에 대응하는 주파수에서 발생하는 플라즈마 시스템.
  10. 제1항에 있어서,
    상기 플라즈마에 접속된 제2 플라즈마 결합 소자를 더욱 포함하여 구성되는 플라즈마 시스템.
  11. 제10항에 있어서,
    상기 응답 신호는 상기 전력 가변 제어기에 의해 발생되는 측대역 성분을 포함하며,
    상기 측대역 성분은, (a) 상기 전력원의 기본 주파수의 측대역 주파수와, (b) 상기 기본 주파수의 고조파 주파수의 측대역 주파수 중 하나에 대응하는 주파수에서 발생하는 플라즈마 시스템.
  12. 플라즈마 시스템을 제어하는 방법에 있어서,
    전력원을 제공하는 단계와,
    플라즈마 결합 소자를 제공하는 단계와,
    상기 전력원으로부터 플라즈마로 전력을 공급하는 단계와,
    상기 플라즈마 결합 소자의 응답 신호를 발생하기 위해 상기 전력의 진폭, 주파수, 위상 중 적어도 어느 하나를 변경시켜 상기 전력을 변조하는 단계와,
    상기 응답 신호를 수신하는 단계를 포함하여 구성되는 플라즈마 시스템 제어 방법.
  13. 제12항에 있어서,
    제1 주파수로 상기 응답 신호의 제1 성분 중 제1 검출 특성을 측정하는 단계와,
    제2 주파수로 상기 응답 신호의 제2 성분 중 제2 검출 특성을 측정하는 단계와,
    상기 제1 주파수에 대응하는 제1 저장 특성과 상기 제2 주파수에 대응하는 제2 저장 특성을 포함하는 저장 데이터를 저장하는 단계와,
    상기 제1 및 제2 검출 특성과 상기 제1 및 제2 저장 특성은 진폭 및 위상 중 하나로서, 상기 제1 검출 특성과 제2 검출 특성 중 적어도 하나를 상기 제1 저장 특성과 상기 제2 저장 특성 중 적어도 하나와 비교하는 단계를 더욱 포함하여 구성되는 플라즈마 시스템 제어 방법.
  14. 제12항에 있어서,
    상기 응답 신호 성분의 진폭과;
    상기 응답 신호 성분의 위상과;
    (1) 상기 응답 신호의 제1 성분 중 제1 진폭과 (2) 상기 응답 신호의 제2 성분 중 제2 진폭과의 비율과;
    상기 응답 신호의 제2 성분에 대한 상기 응답 신호의 제1 성분의 상대적인 위상으로서, 상기 상대적인 위상은 (1) 상기 제1 성분의 위상과 (2) 상기 제2 성분의 위상의 차이인 상대적인 위상 중 하나를 디스플레이하기 위한 단계를 더욱 포함하여 구성되는 플라즈마 시스템 제어 방법.
  15. 제12항에 있어서,
    상기 제1 기판을 프로세싱하는 단계와,
    (1) 프로세스의 진행과, (2) 상기 플라즈마의 이온 농도와, (3) 상기 플라즈마의 혼합물과, (4) 상기 플라즈마의 가스 압력과, (5) 상기 플라즈마에 접속된 전기적 구성요소의 적절한 조립체와, (6) 챔버의 청결도와, (7) 상기 챔버 내부 표면 피복 두께와, (8) 상기 전력원에 대한 플라즈마 결합 소자의 정합도 중 하나의 프로세스 파라미터의 제1 값에 대응하는 주파수로 상기 응답 신호 성분의 제1 검출 특성을 측정하는 단계와,
    상기 제1 검출 특성을 저장하는 단계와,
    제2 기판을 프로세싱하는 단계와,
    상기 프로세스 파라미터의 제2 값에 대응하는 상기 주파수로 상기 응답 신호의 성분 중 제2 검출 특성을 측정하는 단계와,
    상기 제2 검출 특성을 저장하는 단계와,
    제3 기판을 프로세싱하는 단계와,
    상기 주파수로 상기 응답 신호의 제3 검출 특성을 측정하는 단계와,
    상기 제3 기판 대한 상기 응답 신호를 분석하기 위하여 상기 제1 및 제2 검출 특성과 상기 제3 검출 특성을 비교하는 단계로서, 상기 제1, 제2, 제3 검출 특성은 진폭 및 위상 중 하나인 비교단계를 더욱 포함하여 구성되는 플라즈마 시스템 제어 방법.
  16. 제12항에 있어서,
    상기 전력의 진폭, 주파수, 위상 중 적어도 하나를 변조하는 단계는 상기 플라즈마 결합 소자의 상기 응답 신호의 측대역 성분을 제공하며,
    상기 측대역 성분은 (a) 상기 전력원의 기본 주파수의 측대역 주파수와 (b) 상기 전력원의 기본 주파수의 고조파 주파수의 측대역 주파수 중 하나에 대응하는 주파수인 플라즈마 시스템 제어 방법.
  17. 제13항에 있어서,
    상기 전력의 진폭, 주파수, 위상 중 적어도 하나를 변조하는 단계는 상기 플라즈마 결합 소자의 상기 응답 신호의 측대역 성분을 제공하며,
    상기 측대역 성분은 (a) 상기 전력원의 기본 주파수의 측대역 주파수와 (b) 상기 전력원의 기본 주파수의 고조파 주파수의 측대역 주파수 중 하나에 대응하는 주파수인 플라즈마 시스템 제어 방법.
  18. 제15항에 있어서,
    상기 전력의 진폭, 주파수, 위상 중 적어도 하나를 변조하는 단계는 상기 플라즈마 결합 소자의 상기 응답 신호의 측대역 성분을 제공하며,
    상기 측대역 성분이 (a) 상기 전력원의 기본 주파수의 측대역 주파수와 (b) 상기 전력원의 기본 주파수의 고조파 주파수의 측대역 주파수 중 하나에 대응하는 주파수인 플라즈마 시스템 제어 방법.
KR1020007002772A 1997-09-17 1998-09-17 가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템및 방법 KR100560886B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US5915197P 1997-09-17 1997-09-17
US60/059,151 1997-09-17
PCT/US1998/018498 WO1999014699A1 (en) 1997-09-17 1998-09-17 System and method for monitoring and controlling gas plasma processes

Publications (2)

Publication Number Publication Date
KR20010015589A KR20010015589A (ko) 2001-02-26
KR100560886B1 true KR100560886B1 (ko) 2006-03-13

Family

ID=22021168

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007002772A KR100560886B1 (ko) 1997-09-17 1998-09-17 가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템및 방법

Country Status (6)

Country Link
US (2) US6351683B1 (ko)
EP (1) EP1018088A4 (ko)
JP (1) JP2001516963A (ko)
KR (1) KR100560886B1 (ko)
CN (1) CN1299226C (ko)
WO (1) WO1999014699A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101214861B1 (ko) * 2005-07-21 2012-12-24 주성엔지니어링(주) 진폭변조를 이용하여 플라즈마를 생성하는 방법 및 이를위한 플라즈마 발생장치

Families Citing this family (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1018088A4 (en) * 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
DE19956733A1 (de) * 1999-11-25 2001-06-28 Fraunhofer Ges Forschung Verfahren zur Regelung von Sputterprozessen
TW518686B (en) * 1999-12-29 2003-01-21 Tokyo Electron Ltd System for automatic control of the wall bombardment to control wall deposition
AU2001224729A1 (en) * 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
EP1252652A1 (de) * 2000-01-25 2002-10-30 Infineon Technologies AG Verfahren zur überwachung eines herstellungsprozesses
JP2001244162A (ja) * 2000-02-25 2001-09-07 Promos Technologies Inc 実験結果を自動的に評価する方法
US6543459B1 (en) * 2000-04-07 2003-04-08 Koninklijke Philips Electronics N.V. Method of determining an end point for a remote microwave plasma cleaning system
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
AU2001267913A1 (en) * 2000-07-04 2002-01-14 Tokyo Electron Limited Operation monitoring method for treatment apparatus
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
TW529085B (en) * 2000-09-22 2003-04-21 Alps Electric Co Ltd Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system
JP2002132986A (ja) * 2000-10-18 2002-05-10 Canon Inc 情報提供方法及び情報提供システム
JP3657872B2 (ja) * 2000-10-30 2005-06-08 株式会社日立製作所 プラズマ利用機器の制御装置
JP3657873B2 (ja) * 2000-10-30 2005-06-08 株式会社日立製作所 プラズマ利用機器の制御装置
JP3670209B2 (ja) * 2000-11-14 2005-07-13 アルプス電気株式会社 プラズマ処理装置の性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
US6627464B2 (en) * 2001-02-07 2003-09-30 Eni Technology, Inc. Adaptive plasma characterization system
AU2002245519A1 (en) * 2001-03-02 2002-09-19 Tokyo Electron Limited Apparatus and method of improving impedance matching between an rf signal and a multi-segmented electrode
JP4657473B2 (ja) * 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6868310B2 (en) * 2001-04-06 2005-03-15 Eni Technology, Inc. Predictive failure scheme for industrial thin films processing power delivery system
EP1384008B1 (en) * 2001-05-01 2006-07-19 GKN Sinter Metals Inc. Surface densification of powder metal bearing caps
US6920312B1 (en) * 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
JP3778842B2 (ja) * 2001-10-30 2006-05-24 パール工業株式会社 高周波検出方法および高周波検出回路
JP2003204237A (ja) * 2001-11-05 2003-07-18 Daihen Corp インピーダンス整合装置
JP3964198B2 (ja) * 2001-12-21 2007-08-22 東京エレクトロン株式会社 プラズマ処理装置及びプロセス処理システム
US7480571B2 (en) * 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7988833B2 (en) 2002-04-12 2011-08-02 Schneider Electric USA, Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
US7981257B2 (en) 2002-04-12 2011-07-19 Schneider Electric USA, Inc. Current-based method and apparatus for detecting and classifying arcs
US6879870B2 (en) * 2002-04-16 2005-04-12 Steven C. Shannon Method and apparatus for routing harmonics in a plasma to ground within a plasma enhanced semiconductor wafer processing chamber
WO2003098677A1 (fr) * 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de prediction d'etat de dispositif de traitement ou de resultat de traitement
JP2006510918A (ja) * 2002-09-23 2006-03-30 ターナー エンタープライジーズ アンド アソシエイツ プロセス制御のためのトランスデューサパッケージ
TWI264043B (en) * 2002-10-01 2006-10-11 Tokyo Electron Ltd Method and system for analyzing data from a plasma process
US7254453B2 (en) * 2002-11-21 2007-08-07 Advanced Micro Devices, Inc. Secondary process controller for supplementing a primary process controller
US20040126906A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US6985787B2 (en) * 2002-12-31 2006-01-10 Tokyo Electron Limited Method and apparatus for monitoring parts in a material processing system
EP1589793B1 (en) * 2003-01-16 2014-06-04 Japan Science and Technology Agency Plasma generation device
US6781317B1 (en) * 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7910013B2 (en) * 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US6791274B1 (en) * 2003-07-15 2004-09-14 Advanced Energy Industries, Inc. RF power control device for RF plasma applications
US7314537B2 (en) * 2003-09-30 2008-01-01 Tokyo Electron Limited Method and apparatus for detecting a plasma
JP4448335B2 (ja) * 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
DE102004015090A1 (de) 2004-03-25 2005-11-03 Hüttinger Elektronik Gmbh + Co. Kg Bogenentladungserkennungseinrichtung
JP4975291B2 (ja) * 2004-11-09 2012-07-11 株式会社ダイヘン インピーダンス整合装置
US7676295B2 (en) * 2005-02-18 2010-03-09 Lam Research Corporation Processing information management in a plasma processing tool
US7783455B1 (en) * 2005-03-04 2010-08-24 Globalfoundries Inc. Methods and systems for analyzing process equipment processing variations using sensor data
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
EP1889279B1 (en) * 2005-06-10 2009-08-26 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
US20060278524A1 (en) * 2005-06-14 2006-12-14 Stowell Michael W System and method for modulating power signals to control sputtering
US7511936B2 (en) * 2005-07-20 2009-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for dynamic plasma treatment of bipolar ESC system
US7451646B2 (en) * 2005-07-28 2008-11-18 The Regents Of The University Of California Device and method for resonant high-speed microscopic impedance probe
US7341954B2 (en) * 2005-08-24 2008-03-11 Tokyo Electron Limited Method and apparatus for determining an operation status of a plasma processing apparatus, program and storage medium storing same
KR100784824B1 (ko) * 2005-11-04 2007-12-14 한국표준과학연구원 플라즈마 진단장치 및 진단방법
US7459899B2 (en) * 2005-11-21 2008-12-02 Thermo Fisher Scientific Inc. Inductively-coupled RF power source
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
JP4704905B2 (ja) * 2005-12-21 2011-06-22 新電元工業株式会社 インピーダンス整合装置
EP1801946B1 (de) * 2005-12-22 2009-01-21 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zur Arcerkennung in einem Plasmaprozess
JPWO2007086140A1 (ja) * 2006-01-30 2009-06-18 株式会社島津製作所 分析装置稼働状況表示システム
JP5107056B2 (ja) * 2006-03-24 2012-12-26 株式会社日立国際電気 基板処理装置の管理方法、基板処理システムおよび集中管理装置
US20080003702A1 (en) 2006-06-28 2008-01-03 Cruse James P Low Power RF Tuning Using Optical and Non-Reflected Power Methods
WO2008034092A2 (en) * 2006-09-15 2008-03-20 Schneider Automation Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
US20080084650A1 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
EP1926122B1 (de) * 2006-11-23 2009-11-11 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) * 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
EP1928009B1 (de) * 2006-11-28 2013-04-10 HÜTTINGER Elektronik GmbH + Co. KG Bogenentladungs-Erkennungseinrichtung, Plasma-Leistungsversorgung und Verfahren zum Erkennen von Bogenentladungen
EP1933362B1 (de) * 2006-12-14 2011-04-13 HÜTTINGER Elektronik GmbH + Co. KG Bogenentladungs-Erkennungseinrichtung, Plasma-Leistungsversorgung und Verfahren zum Erkennen von Bogenentladungen
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
KR100870121B1 (ko) * 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US8120259B2 (en) * 2007-04-19 2012-02-21 Plasmart Co., Ltd. Impedance matching methods and systems performing the same
US8110416B2 (en) * 2007-12-24 2012-02-07 Texas Instruments Incorporated AC impedance spectroscopy testing of electrical parametric structures
US7822565B2 (en) * 2007-12-31 2010-10-26 Advanced Energy Industries, Inc. System, method, and apparatus for monitoring characteristics of RF power
US8022718B2 (en) * 2008-02-29 2011-09-20 Lam Research Corporation Method for inspecting electrostatic chucks with Kelvin probe analysis
EP2122657B8 (en) 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
UY31825A (es) * 2008-05-13 2010-01-05 Res And Innovation Inc Método de iniciación para descarga de plasma luminiscente anormal en un medio de fase líquida y dispositivo para su implementación
JP2010090434A (ja) * 2008-10-08 2010-04-22 Renesas Technology Corp 半導体集積回路装置の製造方法および半導体集積回路装置の製造装置
DE102009016701A1 (de) * 2009-04-06 2010-10-14 Forschungsverbund Berlin E.V. Prozesskammer mit modulierter Plasmaversorgung
US8502455B2 (en) * 2009-05-29 2013-08-06 Agilent Technologies, Inc. Atmospheric inductively coupled plasma generator
DE102010004083A1 (de) * 2009-06-03 2010-12-09 Global Navigation Systems Gns - Gmbh Vorrichtung zum zerstörungsfreien Bestimmen von Gaseigenschaften in einem geschlossenen Gefäß, zugehöriges Verfahren und Gefäß, welches mit dem Verfahren bearbeitet wurde
KR101888324B1 (ko) * 2009-11-19 2018-09-06 램 리써치 코포레이션 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9234930B2 (en) 2010-02-10 2016-01-12 Lattice Semiconductor Corporation Determination of physical connectivity status of devices based on electrical measurement
JP5595134B2 (ja) * 2010-06-11 2014-09-24 富士フイルム株式会社 ドライエッチング装置及びドライエッチング方法
JP5718124B2 (ja) * 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US20120258555A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode and Systems Implementing the Same
DE102011007597B4 (de) * 2011-04-18 2014-07-10 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Impendanzanpassung und Hochfrequenz-Leistungsversorgung
DE102011007598B4 (de) * 2011-04-18 2014-06-26 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Impedanzanpassung
US8980760B2 (en) * 2011-04-29 2015-03-17 Applied Materials, Inc. Methods and apparatus for controlling plasma in a process chamber
DE102011077152B4 (de) * 2011-06-07 2015-08-13 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Erzeugung eines Arcerkennungssignals und Arcerkennungsanordnung
KR101314667B1 (ko) * 2012-01-04 2013-10-04 최대규 자속 채널 결합 플라즈마 반응기
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10128090B2 (en) * 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US8952765B2 (en) * 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
CN104685365B (zh) * 2012-07-25 2017-05-17 安平丹斯有限责任公司 分析来自等离子体系统的rf信号
JP5841917B2 (ja) * 2012-08-24 2016-01-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9871540B2 (en) 2012-10-16 2018-01-16 Qualcomm Incorporated Integrated real power detector
US8736377B2 (en) * 2012-10-30 2014-05-27 Mks Instruments, Inc. RF pulse edge shaping
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
JP6078419B2 (ja) * 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
US9107284B2 (en) * 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US10821542B2 (en) * 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9184029B2 (en) * 2013-09-03 2015-11-10 Lam Research Corporation System, method and apparatus for coordinating pressure pulses and RF modulation in a small volume confined process reactor
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10083818B2 (en) * 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
CN104597955B (zh) * 2015-01-08 2016-08-24 聚光科技(杭州)股份有限公司 双路射频电源的调整装置及方法
US10395895B2 (en) 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US20170084426A1 (en) * 2015-09-23 2017-03-23 Lam Research Corporation Apparatus for determining process rate
US9735069B2 (en) 2015-09-23 2017-08-15 Lam Research Corporation Method and apparatus for determining process rate
JP6925044B2 (ja) * 2015-12-10 2021-08-25 イオニアー エルエルシーIoneer, Llc 処理運転のパラメータを決定する装置および方法
KR20170075887A (ko) * 2015-12-23 2017-07-04 삼성전자주식회사 플라즈마 처리 장치, 그의 플라즈마 처리 방법, 및 플라즈마 식각 방법
JP6817889B2 (ja) * 2016-05-10 2021-01-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10026592B2 (en) * 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing
GB201615114D0 (en) 2016-09-06 2016-10-19 Spts Technologies Ltd A Method and system of monitoring and controlling deformation of a wafer substrate
JP7213828B2 (ja) 2017-05-25 2023-01-27 エリコン メテコ(ユーエス)インコーポレイテッド リアルタイム電圧監視を用いたプラズマガン診断
US10734195B2 (en) * 2017-06-08 2020-08-04 Lam Research Corporation Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching
WO2019014002A1 (en) * 2017-07-13 2019-01-17 Applied Materials, Inc. METHOD AND APPARATUS FOR TREATING SUBSTRATE
JP6772117B2 (ja) 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
US10536130B2 (en) 2017-08-29 2020-01-14 Mks Instruments, Inc. Balancing RF circuit and control for a cross-coupled SIMO distribution network
US10505348B2 (en) * 2017-09-15 2019-12-10 Mks Instruments, Inc. Apparatus and method for ignition of a plasma system and for monitoring health of the plasma system
US10784174B2 (en) 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
KR20200101919A (ko) * 2017-12-27 2020-08-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 박막 제조 장치, 및 신경망을 사용한 박막 제조 장치
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10930478B2 (en) * 2018-05-24 2021-02-23 Lam Research Corporation Apparatus with optical cavity for determining process rate
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102043884B1 (ko) * 2019-04-11 2019-12-02 주식회사 에프엔에스 플라즈마 공정챔버 모니터링 장치 및 이를 이용한 플라즈마 공정챔버 모니터링 방법
CN112119485B (zh) 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
US11670488B2 (en) * 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
JP7110492B2 (ja) 2020-06-16 2022-08-01 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
WO2022221446A1 (en) * 2021-04-13 2022-10-20 Verity Instruments, Inc. System, apparatus, and method for spectral filtering
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4043889A (en) 1976-01-02 1977-08-23 Sperry Rand Corporation Method of and apparatus for the radio frequency sputtering of a thin film
IT1065003B (it) 1976-03-23 1985-02-25 Anic Spa Procedimento per il recupero di elementi a valenza variabile da acque di scarico contenenti loro composti e depurazione delle acque stesse
US4207137A (en) 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
DE3821208C1 (ko) * 1988-06-23 1989-11-02 Leybold Ag, 6450 Hanau, De
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5160402A (en) 1990-05-24 1992-11-03 Applied Materials, Inc. Multi-channel plasma discharge endpoint detection method
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
US5523955A (en) * 1992-03-19 1996-06-04 Advanced Energy Industries, Inc. System for characterizing AC properties of a processing plasma
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
US5347460A (en) * 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
JPH07191764A (ja) 1993-12-27 1995-07-28 Fujitsu Ltd 高周波電源装置及びプラズマ発生装置
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5535906A (en) * 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US5629653A (en) * 1995-07-07 1997-05-13 Applied Materials, Inc. RF match detector circuit with dual directional coupler
US5621331A (en) 1995-07-10 1997-04-15 Applied Science And Technology, Inc. Automatic impedance matching apparatus and method
US5573595A (en) * 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US5824606A (en) * 1996-03-29 1998-10-20 Lam Research Corporation Methods and apparatuses for controlling phase difference in plasma processing systems
EP1018088A4 (en) * 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
CN1186476C (zh) * 1997-09-17 2005-01-26 东京电子株式会社 检测并防止射频等离子体系统中电弧放电的装置和方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101214861B1 (ko) * 2005-07-21 2012-12-24 주성엔지니어링(주) 진폭변조를 이용하여 플라즈마를 생성하는 방법 및 이를위한 플라즈마 발생장치

Also Published As

Publication number Publication date
US6535785B2 (en) 2003-03-18
CN1299226C (zh) 2007-02-07
JP2001516963A (ja) 2001-10-02
EP1018088A1 (en) 2000-07-12
US20020026251A1 (en) 2002-02-28
KR20010015589A (ko) 2001-02-26
EP1018088A4 (en) 2006-08-16
WO1999014699A1 (en) 1999-03-25
CN1270684A (zh) 2000-10-18
US6351683B1 (en) 2002-02-26

Similar Documents

Publication Publication Date Title
KR100560886B1 (ko) 가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템및 방법
US6332961B1 (en) Device and method for detecting and preventing arcing in RF plasma systems
US7695987B2 (en) Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US5939886A (en) Plasma monitoring and control method and system
US6972840B1 (en) Method of reducing process plasma damage using optical spectroscopy
US10262910B2 (en) Method of feature exaction from time-series of spectra to control endpoint of process
US6313584B1 (en) Electrical impedance matching system and method
US5458732A (en) Method and system for identifying process conditions
KR101047971B1 (ko) 적응형 다변량 해석을 이용한 프로세싱 시스템의 진단 방법및 장치
US7054786B2 (en) Operation monitoring method for treatment apparatus
US6985215B2 (en) Plasma processing method and plasma processing apparatus
EP1023771B1 (en) Electrical impedance matching system and method
KR100709360B1 (ko) 플라즈마처리장치 및 처리방법
US20040181299A1 (en) Prediction method and apparatus of a processing result
JP2009295658A (ja) 半導体製造装置の校正方法、ならびに半導体装置の製造システムおよび製造方法
JP4220378B2 (ja) 処理結果の予測方法および処理装置
JP2002018274A (ja) 処理装置の運転方法及び処理装置の異常検出方法
JP3577163B2 (ja) アクティブ・ニューラル・ネットワークによるプラズマ・エッチング・プロセスの終点の決定
JPH07258853A (ja) プロセスの状態を識別する方法および装置
Splichal et al. Application of chemometrics to optical emission spectroscopy for plasma monitoring
US20220196558A1 (en) Apparatus and method for sensing rf signals from rf plasma processing equipment
IES84859Y1 (en) Method and apparatus for measuring the wafer etch rate and etch depth in a plasma etch process.

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140220

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160219

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee