KR101888324B1 - 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치 - Google Patents

플라즈마 프로세싱 시스템을 제어하는 방법 및 장치 Download PDF

Info

Publication number
KR101888324B1
KR101888324B1 KR1020177019598A KR20177019598A KR101888324B1 KR 101888324 B1 KR101888324 B1 KR 101888324B1 KR 1020177019598 A KR1020177019598 A KR 1020177019598A KR 20177019598 A KR20177019598 A KR 20177019598A KR 101888324 B1 KR101888324 B1 KR 101888324B1
Authority
KR
South Korea
Prior art keywords
voltage
transfer function
signal
digital
wafer
Prior art date
Application number
KR1020177019598A
Other languages
English (en)
Other versions
KR20170086674A (ko
Inventor
주니어 존 씨 발코어
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170086674A publication Critical patent/KR20170086674A/ko
Application granted granted Critical
Publication of KR101888324B1 publication Critical patent/KR101888324B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Physical Vapour Deposition (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

정전 척 (ESC), 용량성 분할기, 신호 프로세싱 및 신호 컨디셔닝 네트워크를 적어도 포함하여 RF 드라이브형 플라즈마에서의 RF 전압 신호들을 측정함으로써 웨이퍼에서의 바이어스 전압을 보상하기 위한 방법 및 장치가 개시되어 있다. 바이어스 보상 디바이스는 ESC 에서의 RF 전압을 검출하기 위한 용량성 분할기, 관심 있는 특정 RF 신호들을 필터링하기 위한 신호 컨디셔닝 네트워크, 및 필터링된 RF 신호들로부터 DC 웨이퍼 전위를 연산하기 위한 신호 프로세싱 유닛을 포함한다.

Description

플라즈마 프로세싱 시스템을 제어하는 방법 및 장치{METHODS AND APPARATUS FOR CONTROLLING A PLASMA PROCESSING SYSTEM}
반도체 산업에 있어서, 실리콘 웨이퍼들을 제조하기 위해 RF 드라이브형 플라즈마 챔버들을 이용하는 것은 아주 흔한 일이다. 이러한 애플리케이션들 내에는 시스 전압 (sheath voltage), 그리고 특히 시스 전압이 어떻게 웨이퍼 자체의 DC 바이어스 전위에 관련되는지를 모니터링하는 공통의 필요성이 존재한다.
현재, 시스 및 벌크 플라즈마 전위 뿐만 아니라, 웨이퍼 전위를 확인하는 몇가지 기법들이 있다. 웨이퍼 DC 바이어스 전위에 대해, 하나의 모니터링 방법은, 정전 척 (electrostatic chuck; ESC) 에 인가된 DC 전압을 변화시키면서 웨이퍼와 ESC 사이의 누설 전류를 검출함으로써 웨이퍼의 자기-바이어스 전압 (self-bias voltage) 을 측정하는 것을 포함한다. 이러한 기법이 일부의 현재 제조 설정들 내에서 이용되고 있지만, 그 연산된 값은, 시스템에서의 ESC 의 타입에 커플링되는 누설 전류의 크기에 매우 의존적이다. 또한, 웨이퍼를 통한 ESC 로의 누설 전류를 검출하는 방법은 상이한 타입의 이면 웨이퍼 필름들에 매우 의존적이다.
웨이퍼 바이어스 전위를 확인하기 위한 또 다른 방법은, ESC 의 외측 에지에 부착되고 플라즈마와 접촉하는 실리콘 카바이드 핀들의 이용을 통해서이다. 그러나, 이러한 핀들은 소모적이어서, 제조 환경들 내에서 자주 교체되어야 한다.
웨이퍼 상의 DC 바이어스를 검출하는 세번째 방법은, 피크간 RF 전압으로부터 웨이퍼 전압을 연산하는 신호 프로세싱 유닛 및 ESC 에서의 RF 전압 프로브를 통해서이다. 이러한 방법은, 교정된 이득 및 오프셋의 이용을 통해 ESC 에서의 RF 전압을 DC 값으로 스케일링함으로써 프로브가 플라즈마와 직접 접촉하는 일 없이 웨이퍼 DC 바이어스 전압을 검출하는 수단을 제공한다. 이러한 방법은, 다중-주파수 플라즈마들에 대한 경우가 아닌, 웨이퍼의 DC 전위 및 RF 피크간 전압에 대한 순수 선형 관계를 가정한다. 도 1 은 웨이퍼 바이어스 대 RF Vpp 의 상관 관계를 도시한 것이다. 도 1 에서, 선형 피트 (linear fit) 가 적용되는 경우, R 의 제곱값은 1 보다 상당히 작다 [예를 들어, R-sq: .90].
본 발명은 첨부 도면들에서 제한의 방식이 아닌 예시의 방식으로 예시되고, 도면에서 동일한 참조 부호는 동일한 엘리먼트를 나타낸다.
도 1 은 웨이퍼 바이어스 대 RF Vpp 의 상관 관계를 도시한 것이다.
도 2 는 웨이퍼 바이어스 대 개시된 RF 전압 전달 함수의 출력의 상관 관계를 도시한 것이고, R 의 제곱값은 1 과 상당히 가깝다.
도 3 은 Si 카바이드 핀에 의해 확인되는 플라즈마 전위와, 와이어드 웨이퍼 (wired wafer) 에 의해 측정되는 웨이퍼 전위 사이의 관계를 확인하는 테스팅을 나타낸 것이다.
도 4 는 Lam DFC2300 Flex45 플랫폼 상에서 2 ㎒, 27 ㎒, 및 60 ㎒ 를 이용하는 HARC (High Aspect Ratio Contact) 프로세스 동안 Si HER (Hot Edge Ring) 에 의해 확인되는 전압 대 Si 카바이드 핀에 의해 확인되는 전압을 도시한 것이다.
도 5a 는 3 개의 RF 생성기들에 의한 ESC 전력으로 이루어지는 RF 드라이브형 플라즈마를 갖는 시스템을 나타낸 것이다.
도 5b 는 용량성 분할기 네트워크로 이루어지는 전압 프로브가, 신호 컨디셔닝 및 신호 프로세싱 장치 뿐만 아니라 ESC 베이스 플레이트에 매우 근접하게 RF 로드 (rod) 에 부착되는, 개시된 본 발명의 일 실시형태를 예시한 것이다.
도 5c 는 디지털 신호 프로세싱 유닛을 갖는 아날로그 RF 전압 신호 컨디셔닝 네트워크의 일 실시형태이다.
도 6 내지 도 10 은 주파수 의존적 RF 전압 신호들에 기초하여 웨이퍼 전위를 연산하기 위한 고 임피던스 RF 전압 프로브를 설명한 것이다.
이하, 본 발명은 첨부 도면들에 예시된 몇몇 실시형태들을 참조하여 상세히 기술될 것이다. 다음의 설명에서, 다수의 특정 상세들이 본 발명의 전체 이해를 제공하기 위해서 설명된다. 그러나, 본 발명이 이들 특정 상세들의 일부 또는 전부 없이 실시될 수도 있음이 당업자에게 자명하다. 다른 경우에서, 본 발명을 불필요하게 모호하게 하지 않기 위해서 널리 공지된 프로세스 단계들 및/또는 구조들은 상세히 기술되지 않는다.
방법들 및 기법들을 포함하는 다양한 실시형태들이 여기에 후술된다. 또한, 본 발명은 발명 기법의 실시형태들을 수행하기 위한 컴퓨터 판독가능 명령들이 저장된 컴퓨터 판독가능 매체를 포함하는 제조물을 커버할 수도 있음을 유념해야 한다. 컴퓨터 판독가능 매체는, 예를 들어, 반도체, 자기, 광자기, 광학 또는 컴퓨터 판독가능 코드를 저장하기 위한 다른 형태의 컴퓨터 판독가능 매체를 포함할 수도 있다. 또한, 본 발명은 본 발명의 실시형태들을 실시하기 위한 장치도 또한 커버할 수도 있다. 이러한 장치는 전용 및/또는 프로그램가능한 회로들을 포함하여, 본 발명의 실시형태들에 관한 태스크들을 수행할 수도 있다. 이러한 장치의 예들은 적절하게 프로그램되는 경우 범용 컴퓨터 및/또는 전용 컴퓨팅 디바이스를 포함하고, 본 발명의 실시형태들에 관한 다양한 태스크들에 적용된 전용/프로그램가능 회로들 및 컴퓨터/컴퓨팅 디바이스의 결합을 포함할 수도 있다.
개시된 본 발명의 실시형태들은, ESC 에서의 RF 전압을 적절하게 필터링 및 컨디셔닝하고, 웨이퍼를 바이어싱함에 있어서 다수의 RF 주파수들의 기여를 설명하는 전달 함수를 이용함으로써, 최종 사용자에게 웨이퍼 바이어스 전위를 측정하는 능력을 제공한다. 도 2 는 웨이퍼 바이어스 대 개시된 RF 전압 전달 함수의 출력의 상관 관계를 도시한 것이고, R 의 제곱값은 1 에 상당히 가깝다 [예를 들어, R-sq: .97].
본 발명은 실리콘 카바이드 핀을 이용하여 DC 바이어스 전위를 측정하는 기존 방법을 개선시키려고 시도하는 중에 도출되었다. 이러한 핀 뿐만 아니라 이러한 핀이 하우징된 석영 절연 재료의 마모율이 제조 스루풋에 대한 제한 인자인 것임을 알았다. 다중-주파수 플라즈마에서의 RF 전압과 웨이퍼 전위 사이에 비선형 관계가 존재하더라도, 플라즈마를 드라이브하는 각각의 개별 주파수의 기여에 기초하여 웨이퍼 전위를 유도하는데 전달 함수가 이용될 수 있음이 판별되었다.
도 3 에 나타낸 바와 같이, Si 카바이드 핀에 의해 확인되는 플라즈마 전위와, 와이어드 웨이퍼에 의해 측정되는 웨이퍼 전위 사이의 관계를 확인하기 위해 테스팅이 행해졌다. 그 후에, 개시된 전달 함수가, 기존 Si 카바이드 핀에 의해 확인되는 전압 신호에 상당히 상관되어 있다는 것을 보여준다.
도 4 는 (캘리포니아, 프리몬트 소재의 램 리써치 코포레이션 (Lam Research Corporation) 으로부터 입수가능한) Lam DFC2300 Flex45 플랫폼 상에서 2 ㎒, 27 ㎒, 및 60 ㎒ 를 이용하는 HARC (High Aspect Ratio Contact) 프로세스 동안 Si HER (Hot Edge Ring) 에 의해 확인되는 전압 대 Si 카바이드 핀에 의해 확인되는 전압을 도시한 것이다. Si 카바이드 핀 대 와이어드 웨이퍼의 상술된 상관 관계를 고려하면, 교환 법칙 (commutative property) 은, 플라즈마를 드라이브하는 개별 RF 전압들을 수반하는 전달 함수가 또한 웨이퍼 인터페이스에서의 플라즈마 시스 전압의 유효한 표현이라는 진술에 신뢰성을 제공한다. 하나 이상의 실시형태에서, 전달 함수들을 유도하기 위해 RF 전압에 대해 상태-공간 분석이 수행될 수도 있다. 상태-공간 모델링은 널리 공지된 것이어서 여기에서는 상세히 설명하지 않는다. 하나 이상의 실시형태에서, 공지된 과도현상 핸들링 기법들이 제공되어 강건성을 개선시킬 수도 있다.
*다음의 도면들 및 설명들을 참조하여 본 발명의 실시형태들의 특징들 및 이점들이 더 양호하게 이해될 수도 있다.
일반적으로 말하면, RF 드라이브형 플라즈마 내에서 플라즈마 시스 전위의 DC 성분을 간접적으로 측정하는 능력은 ESC 에 매우 근접하게 RF 로드에 연결된 전압 프로브에 의존한다. 도 5a 는 임피던스 정합 네트워크 (506) 를 통한 3 개의 RF 생성기들 (504A, 504B, 및 504C) 에 의한 ESC (502) 전력으로 이루어지는 RF 드라이브형 플라즈마를 갖는 시스템을 나타낸 것이다. 채용된 RF 생성기들의 개수 뿐만 아니라 채용된 주파수들의 개수, 채용된 정확한 주파수들이 애플리케이션들에 따라 변화할 수도 있지만, 이들 RF 생성기들은 도시된 상이한 RF 주파수들을 제공한다. 베이스 플레이트 (508) (또는 ESC 와 연관된 또 다른 비플라즈마 노출 컴포넌트 (non-plasma exposing component)) 에 매우 근접한 (즉, RF 전압을 감지하는 것이 가능하도록 상당히 가까운) RF 로드 또는 또 다른 적합한 RF 감지 메커니즘은 RF 전압을 용량성 분할기 네트워크 (530) 에 제공한다. 용량성 분할기 네트워크 (530) 를 도 5b 와 관련하여 여기에 더 상세히 설명한다. 그 후에, 용량성 분할기 네트워크 (530) 의 출력이 신호 컨디셔닝 및 프로세싱 블록 (532) 에 제공되고, 그 신호 컨디셔닝 및 프로세싱 블록 (532) 은 도 5c 와 관련하여 여기에 더 상세히 후술된다.
도 5b 는 용량성 분할기 네트워크 (530) 를 포함하는 전압 프로브가, 신호 컨디셔닝 및 신호 프로세싱 장치에 커플링될 뿐만 아니라 ESC 베이스 플레이트에 근접하게 RF 로드 (또는 또 다른 적합한 RF 감지 메커니즘) 에 커플링되는, 개시된 본 발명의 일 예시적인 실시형태를 예시한 것이다. 도 5b 의 예에서, 커패시터 (C1) 는 커패시터 (C2) 와 직렬로 되어 있고, 그 후에 커패시터 (C2) 는 병렬 커패시터들 (C3/C4) 과 직렬로 되어 있다. 도 5b 에 도시된 바와 같이, 전압 신호가 획득된다. 용량성 분할기 전압 프로브는, 플라즈마를 드라이브하는 RF 전력을 과도하게 섭동을 일으키는 일 없이 감지되는 RF 전압에 대해 고 임피던스 경로를 제공한다.
용량성 분할기의 특정 구조는 플라즈마를 드라이브하는 RF 생성기들에 의존하고, 가장 높은 주파수 생성기가 지배적인 인자이다. 또한, 용량성 분할기는, 신호 컨디셔닝 및 신호 프로세싱 장치에 전압 신호를 반송하는 것을 담당하는 50 Ω 동축 케이블과 병렬로 접지에 대해 저 임피던스 경로를 제공함으로써 전압 신호를 상당히 감쇠시킨다. 이들 값들은 예로서 참조만을 위해 제공된 것이며 제한적이지 않다는 것에 주목한다. 도 5b 의 예에서, 입력 임피던스가 상당히 높고 [Z = 1/(ω*C), 여기서 ω = 60 ㎒ 이고 C = .5 ㎊ 이면 Z ~ 33 ㏀], 접지에 대한 임피던스는 낮다 (60 ㎒ 에서 ~31 Ω).
그 후에, 아날로그 또는 디지털 필터들의 네트워크를 통해 RF 전압 신호가 컨디셔닝된다. 도 5c 는 도 5a 의 블록 532 에서 구현되는 타입의 아날로그 RF 전압 신호 컨디셔닝 네트워크의 일 예시적인 실시형태이다. 아날로그 RF 전압 신호 컨디셔닝 네트워크의 입력에서는, 신호가 복수의 개별 채널들 (도 5c 의 예에서는 4개) 로 나뉘어서, 플라즈마를 드라이브하는 것을 담당하는 특정 RF 주파수들의 RF 필터링을 허용한다. 이러한 실시형태에서, RF 생성기 주파수들은 2 ㎒, 27 ㎒, 및 60 ㎒ 이다. 하나의 채널은 필터링되지 않고, 광대역 전압 주파수 스펙트럼을 유지한다. 이러한 실시형태에서, 패시브 피크 검출기 [예를 들어, 1N5711 다이오드] 를 통해 4 개의 채널들이 DC 신호로 변환되지만, 액티브 피크 검출기가 또한 이용될 수 있다.
그 후에, DC 신호들이 디지털 신호들로 변환되어 디지털 신호 프로세서에 의해 프로세싱되고, 개별 신호들이 교정되어 웨이퍼 바이어스 전달 함수에 입력된다. 그 후에, 전달 함수의 출력이 바이어스 보상 네트워크에 피드백된다. 개시된 아날로그 신호 컨디셔닝 네트워크가 전적으로 디지털 도메인 내에서 달성될 수 있다는 것에 주목해야 한다. 이 경우, 신호 스플리터 또는 아날로그 필터들, 단순하게는 아날로그 디지털 컨버터 (ADC) 및 신호 프로세싱 유닛 (DSP, FPGA, CPLD 등) 에 대한 필요성이 존재하지 않고, 이러한 신호 프로세싱 유닛은 필터링, 피크 검출, 교정, 및 전달 함수 연산 모두를 담당한다.
하나 이상의 실시형태에서, 이득 및 오프셋을 각각의 채널에 제공함으로써 각각의 전압 채널의 교정이 수행된다. 각각의 채널 (도 5a 내지 도 5c 의 예에서는 2 ㎒, 27 ㎒, 60 ㎒ 및 광대역 미필터링된 전압들) 에 대한 이득 및 오프셋이 소정 채널의 신호 응답 및 웨이퍼 바이어스에 대한 그의 상관 관계에 의해 결정된다. 필터링된 채널들의 경우, 이것은 이러한 고유의 단일 주파수에 대해 웨이퍼 바이어스에 대한 소정의 필터링된 채널 응답을 특성화함으로써 달성된다. 예를 들어, 2 ㎒ 채널의 응답은 2 ㎒ 만으로 드라이브되는 플라즈마에 대한 웨이퍼 바이어스와 상관되고, 후속의 이득 및 오프셋은 이러한 채널을 교정하는데 이용된다. 이것은 27 ㎒ 및 60 ㎒ 에 대해 반복된다. 3 개의 주파수들 모두에 의해 드라이브되는 플라즈마에 대한 웨이퍼 바이어스와 각각의 후속 변화량을 상관시킴으로써 (오직 2 ㎒ 만, 2 ㎒ 와 27 ㎒, 오직 60 ㎒ 만, 27 ㎒ 와 60 ㎒ 등 …) 광대역 신호가 교정된다.
또한, 교정에 대한 중요한 인자는 용량성 분할기 전압 프로브 (530) 의 응답이다. 용량성 분할기는 각각의 주파수에 대해 고유의 신호 응답을 가지려는 경향이 있다. 제안된 솔루션으로서, 용량성 분할기 전압 센서의 응답을 특성화하는데 네트워크 분석기가 이용될 수 있고, 그 후에, 상술된 교정 계수들을 이에 따라 변경시키는데 이러한 응답이 이용될 수 있다. 이것은 정확하고 반복가능한 기구들을 제조함에 있어서 중요한 컴포넌트이다.
웨이퍼 바이어스를 연산하기 위한 전달 함수는 4 개의 입력들, 즉, 2 ㎒, 27 ㎒, 및 60 ㎒ 에 대한 교정된 DC 전압 신호들 뿐만 아니라 미필터링된 광대역 신호로 이루어져 있다. 그 후에, 전압 신호들이 계수들의 세트로 승산된다. 각각의 계수는 플라즈마를 드라이브하는 소정의 주파수 혼합의 함수이다. 예를 들어, 웨이퍼 바이어스와 4 개의 전압 신호들 (2 ㎒, 27 ㎒, 60 ㎒, 및 광대역) 로 이루어진 매트릭스의 주성분 분석 (principal component analysis; PCA) 을 통해 계수들이 유도된다. 계수 승산의 개별 결과들이 그 후에 합산되어, 웨이퍼 바이어스 값을 산출한다. 계수들을 최적화하여 전달 함수 결과를 최적화하는데 조건문들 및 가중 인수들이 또한 이용될 수 있다.
도 6 내지 도 10 은 주파수 의존적 RF 전압 신호들에 기초하여 웨이퍼 전위를 연산하기 위한 고 임피던스 RF 전압 프로브를 추가 설명한 것이다. 도 6 에서는, 선형 전달 함수가 생성되고, 예시적인 흐름도가 이러한 선형 전달 함수가 어떻게 채용되어 웨이퍼 바이어스 값을 산출할 수도 있는지를 예시하고 있다. 도 7 은 웨이퍼 바이어스 값을 산출하기 위한 비선형 전달 함수의 이용을 예시한 흐름도이다. 대부분이 아닌 경우의 일부 상황들에서는, 비선형 전달 함수들이 RF 전압으로부터 웨이퍼 바이어스 값을 더 양호하게 근사시키는 것이 가능한 것으로 생각된다. 도 8, 도 9 및 도 10 은 각각의 접근법 (선형 대 비선형) 의 이점들을 추가 설명한 것이다. 양쪽의 접근법들은, 특히 다중-주파수 애플리케이션들에서, 본 발명의 실시형태들에 따라, 감지된 RF 전압을 웨이퍼 바이어스 전압과 상관시키는 방법을 제공한다. 그 후에, 웨이퍼 바이어스 전압은 ESC 전원 및/또는 바이어스 보상 네트워크 및/또는 플라즈마 프로세싱 시스템에서의 다른 서브시스템들에 대한 피드백 또는 제어 신호로서 이용될 수도 있다.
본 발명은 여러 바람직한 실시형태들의 관점에서 기술되었으나, 본 발명의 범위 내에 있는 변경물, 치환물, 및 등가물이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 다른 방법들이 존재한다는 것에 주목해야 한다. 다양한 예들이 여기에 제공되지만, 이들 예들은 본 발명에 대해 제한적이지 않고 예시적인 것으로 의도된다.

Claims (12)

  1. 플라즈마 프로세싱 챔버를 포함하는 플라즈마 프로세싱 시스템을 제어하는 장치에 있어서,
    RF (radio frequency) 감지 메커니즘으로서, 상기 RF 감지 메커니즘은 RF 전압 신호를 획득하기 위해 상기 플라즈마 프로세싱 시스템 내에 배치된 정전 척 (ESC) 의 비플라즈마 노출 컴포넌트에 인접한, 상기 RF 감지 메커니즘;
    상기 플라즈마 프로세싱 시스템 내에서 플라즈마를 구동하는 RF 전력의 섭동 (perturbation) 을 감소시키는 동안 상기 RF 전압 신호의 획득을 용이하게 하도록 상기 RF 감지 메커니즘에 커플링된 전압 프로브;
    상기 전압 프로브로부터 수신된 RF 전압 신호를 필터링하기 위한 안티-앨리어싱 (anti-aliasing) 필터를 포함하는 신호 프로세싱 장치;
    상기 필터링된 RF 전압 신호를 복수의 채널들로 분할하고 상기 복수의 채널들 각각에 대한 상기 RF 전압 신호를 대응하는 DC (direct current) 신호로 변환하는 RF 스플리터;
    디지털 도메인의 상기 복수의 채널들 각각의 상기 DC 신호를 대응하는 디지털 신호로 변환하기 위한 ADC (analog-to-digital converter);
    상기 디지털 도메인의 상기 디지털 신호들을 프로세싱하기 위한 디지털 프로세싱 블록으로서,
    상기 프로세싱은,
    (a) 상기 디지털 신호의 필터링되지 않은 광대역 버전으로서 상기 디지털 신호들 중 하나를 유지하는 단계;
    (b) 상기 복수의 채널들의 주파수 각각의 피크 전압 및 합성 광대역 신호의 피크 전압을 검출하는 것을 포함하는, 상기 디지털 신호들을 필터링하는 단계;
    (c) 상기 복수의 디지털 신호들 각각을 교정하는 단계로서, 상기 교정은 상기 복수의 디지털 신호들 각각에 이득 및 오프셋을 적용함으로써 수행되는, 상기 교정하는 단계;
    (d) 전달 함수 출력을 획득하기 위한 입력으로서 상기 디지털 신호들을 사용하여 전달 함수를 연산하는 단계로서, 상기 전달 함수 출력은 웨이퍼 바이어스에서 상기 RF 전압 신호의 상기 복수의 채널들 각각의 주파수의 기여도 (contribution) 를 설명하는, 상기 전달 함수를 연산하는 단계를 포함하는, 상기 디지털 프로세싱 블록; 및
    상기 플라즈마 프로세싱 시스템을 제어하기 위한 피드백 신호로서 상기 전달 함수 출력을 수신하도록 구성된 ESC 전력 공급 서브시스템을 포함하는, 플라즈마 프로세싱 시스템 제어 장치.
  2. 제 1 항에 있어서,
    상기 피크 전압을 검출하는 단계는 포지티브 피크 전압, 네거티브 피크 전압 또는 상기 포지티브 피크 전압과 상기 네거티브 피크 전압 양자의 조합 중 하나를 검출하는 것을 포함하는, 플라즈마 프로세싱 시스템 제어 장치.
  3. 제 1 항에 있어서,
    상기 ADC는 멀티-채널 ADC인, 플라즈마 프로세싱 시스템 제어 장치.
  4. 제 1 항에 있어서,
    상기 비플라즈마 노출 컴포넌트는 베이스 플레이트인, 플라즈마 프로세싱 시스템 제어 장치.
  5. 제 1 항에 있어서,
    상기 RF 감지 메커니즘은 RF 로드 (rod) 인, 플라즈마 프로세싱 시스템 제어 장치.
  6. 제 1 항에 있어서,
    상기 전압 프로브는 적어도 커패시터 분할 네트워크에 의해 구현되는, 플라즈마 프로세싱 시스템 제어 장치.
  7. 제 1 항에 있어서,
    상기 전달 함수는 선형 전달 함수를 나타내는, 플라즈마 프로세싱 시스템 제어 장치.
  8. 제 1 항에 있어서,
    상기 전달 함수는 비선형 전달 함수를 나타내는, 플라즈마 프로세싱 시스템 제어 장치.
  9. 제 1 항에 있어서,
    상기 안티-앨리어싱 필터의 필터 주파수는 상기 ADC의 샘플링 레이트의 1/2로 설정되는, 플라즈마 프로세싱 시스템 제어 장치.
  10. 제 1 항에 있어서,
    상기 디지털 도메인에서의 상기 프로세싱은 FPGA (field programmable gate array) 에 의해 수행되는, 플라즈마 프로세싱 시스템 제어 장치.
  11. 제 1 항에 있어서,
    상기 전달 함수를 연산하는 단계는 웨이퍼 바이어스에 영향을 주는 상기 전달 함수 출력을 생성하기 위해 적어도 하나의 플라즈마 프로세싱 챔버 파라미터를 사용하는 것을 더 포함하는, 플라즈마 프로세싱 시스템 제어 장치.
  12. 제 11 항에 있어서,
    상기 플라즈마 프로세싱 챔버 파라미터는 챔버 압력, 전극들 간의 거리에 의해 규정된 챔버 갭, 전달된 RF 전력, RF 주파수, 가변 LC (inductance-capacitance) 네트워크의 커패시터 위치들을 갖는 RF 생성기 임피던스 매칭 네트워크 탭 (tap) 위치들, 챔버 화학물질, 바닥 면적 비를 포함하는 챔버 토폴로지, 웨이퍼 타입, 및 웨이퍼 저항률 중 적어도 하나를 포함하는, 플라즈마 프로세싱 시스템 제어 장치.
KR1020177019598A 2009-11-19 2010-11-19 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치 KR101888324B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US26288609P 2009-11-19 2009-11-19
US61/262,886 2009-11-19
US30362810P 2010-02-11 2010-02-11
US61/303,628 2010-02-11
PCT/US2010/057450 WO2011063246A2 (en) 2009-11-19 2010-11-19 Methods and apparatus for controlling a plasma processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020127012987A Division KR101760536B1 (ko) 2009-11-19 2010-11-19 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20170086674A KR20170086674A (ko) 2017-07-26
KR101888324B1 true KR101888324B1 (ko) 2018-09-06

Family

ID=44010843

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127012987A KR101760536B1 (ko) 2009-11-19 2010-11-19 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치
KR1020177019598A KR101888324B1 (ko) 2009-11-19 2010-11-19 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020127012987A KR101760536B1 (ko) 2009-11-19 2010-11-19 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치

Country Status (7)

Country Link
US (1) US8909365B2 (ko)
JP (2) JP2013511814A (ko)
KR (2) KR101760536B1 (ko)
CN (1) CN102612864B (ko)
SG (1) SG10201406954SA (ko)
TW (1) TWI531023B (ko)
WO (1) WO2011063246A2 (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9588152B2 (en) * 2013-01-09 2017-03-07 Flextronics Ap, Llc Digital signal processing method for measurement of AC voltage with power converters at light load operation
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
JP6224958B2 (ja) * 2013-02-20 2017-11-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102168064B1 (ko) * 2013-02-20 2020-10-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
US9741543B2 (en) 2014-07-21 2017-08-22 Lam Research Corporation Multi-range voltage sensor and method for a voltage controlled interface of a plasma processing system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10969370B2 (en) * 2015-06-05 2021-04-06 Semilab Semiconductor Physics Laboratory Co., Ltd. Measuring semiconductor doping using constant surface potential corona charging
US10636630B2 (en) * 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
US10505348B2 (en) * 2017-09-15 2019-12-10 Mks Instruments, Inc. Apparatus and method for ignition of a plasma system and for monitoring health of the plasma system
US10741363B1 (en) * 2019-10-08 2020-08-11 Mks Instruments, Inc. Extremum seeking control apparatus and method for automatic frequency tuning for RF impedance matching
CN110752137B (zh) * 2019-11-01 2022-04-22 北京北方华创微电子装备有限公司 偏压控制方法及装置、半导体加工设备
CN112858878B (zh) * 2021-01-08 2021-12-21 胜达克半导体科技(上海)有限公司 一种用于晶圆测试的晶粒加权补偿计算方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040135590A1 (en) 2001-03-16 2004-07-15 Quon Bill H. Impedance monitoring system and method
US20060088655A1 (en) 2004-10-23 2006-04-27 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP2008244429A (ja) 2007-02-13 2008-10-09 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4420790A (en) * 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
DE3923662A1 (de) * 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
JPH06232088A (ja) * 1993-01-29 1994-08-19 Tokyo Electron Ltd プラズマ装置及びプラズマ処理方法
US5479340A (en) * 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
JPH1081968A (ja) * 1996-09-03 1998-03-31 Nippon Hoso Kyokai <Nhk> 非晶質シリコン膜の作製法
US5737177A (en) * 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5894400A (en) * 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
EP1018088A4 (en) * 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
US6198616B1 (en) * 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
EP1098359A4 (en) * 1998-06-02 2003-11-19 Nikon Corp SCANNING ALIGNMENT MEMBER, MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD
US6361645B1 (en) * 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6563076B1 (en) * 1999-09-30 2003-05-13 Lam Research Corporation Voltage control sensor and control interface for radio frequency power regulation in a plasma reactor
JP4240259B2 (ja) * 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6522121B2 (en) * 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) * 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
JP2003045849A (ja) * 2001-07-27 2003-02-14 Sanyo Electric Co Ltd プラズマ処理装置
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) * 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
US7505879B2 (en) * 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
WO2004003969A2 (en) * 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) * 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) * 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW201041455A (en) * 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4448335B2 (ja) * 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US20060100824A1 (en) * 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) * 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
JP4920991B2 (ja) * 2006-02-22 2012-04-18 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP4657949B2 (ja) * 2006-03-01 2011-03-23 株式会社日立ハイテクノロジーズ エッチング処理装置および自己バイアス電圧測定方法ならびにエッチング処理装置の監視方法
US7264688B1 (en) * 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) * 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
JP5015517B2 (ja) * 2006-08-03 2012-08-29 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7728602B2 (en) * 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
JP2008258375A (ja) * 2007-04-04 2008-10-23 Hitachi High-Technologies Corp プラズマダメージ検出測定装置及びプラズマ処理装置
US7768269B2 (en) * 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US9074285B2 (en) * 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US9299539B2 (en) * 2009-08-21 2016-03-29 Lam Research Corporation Method and apparatus for measuring wafer bias potential
JP2011060984A (ja) * 2009-09-10 2011-03-24 Renesas Electronics Corp プラズマ処理装置及びプラズマ処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040135590A1 (en) 2001-03-16 2004-07-15 Quon Bill H. Impedance monitoring system and method
US20060088655A1 (en) 2004-10-23 2006-04-27 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP2008244429A (ja) 2007-02-13 2008-10-09 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置

Also Published As

Publication number Publication date
KR101760536B1 (ko) 2017-07-31
US8909365B2 (en) 2014-12-09
TWI531023B (zh) 2016-04-21
US20110118863A1 (en) 2011-05-19
JP2016054159A (ja) 2016-04-14
SG10201406954SA (en) 2014-11-27
KR20170086674A (ko) 2017-07-26
WO2011063246A2 (en) 2011-05-26
CN102612864A (zh) 2012-07-25
JP6279535B2 (ja) 2018-02-14
CN102612864B (zh) 2015-06-10
TW201138012A (en) 2011-11-01
WO2011063246A3 (en) 2011-09-29
JP2013511814A (ja) 2013-04-04
KR20120092133A (ko) 2012-08-20

Similar Documents

Publication Publication Date Title
KR101888324B1 (ko) 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치
KR101858265B1 (ko) Rf 전압에 기초한 플라즈마 프로세싱 시스템 제어
US6771481B2 (en) Plasma processing apparatus for processing semiconductor wafer using plasma
JP5643198B2 (ja) プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
EP2481074B1 (en) Apparatus and method for measuring plasma parameters
JP4928817B2 (ja) プラズマ処理装置
CN111383895B (zh) 一种等离子体刻蚀设备及其鞘层电压的测量方法
US20230335382A1 (en) Non-invasive measurement of plasma systems
Hwang et al. A systematic methodology for etch chamber matching to meet leading edge requirements
KR20090116391A (ko) 플라즈마 검사 방법 및 이를 수행하기 위한 장치
Martinez et al. Broadband RF process-state sensor for fault detection and classification

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant