CN102612864B - 用于控制等离子体处理系统的方法和装置 - Google Patents

用于控制等离子体处理系统的方法和装置 Download PDF

Info

Publication number
CN102612864B
CN102612864B CN201080052567.3A CN201080052567A CN102612864B CN 102612864 B CN102612864 B CN 102612864B CN 201080052567 A CN201080052567 A CN 201080052567A CN 102612864 B CN102612864 B CN 102612864B
Authority
CN
China
Prior art keywords
signal
voltage
frequency
plasma
transfer function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080052567.3A
Other languages
English (en)
Other versions
CN102612864A (zh
Inventor
约翰·C·小瓦尔科
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102612864A publication Critical patent/CN102612864A/zh
Application granted granted Critical
Publication of CN102612864B publication Critical patent/CN102612864B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Physical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electromagnetism (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Electrochemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)

Abstract

公开了一种用于通过测量RF驱动等离子体中的RF电压信号补偿晶片的偏压电压的方法和装置,其包括至少静电卡盘(ESC),电容分压器,信号处理和信号调整网络。该偏压补偿装置包括监测在ESC处的RF电压的电容分压器、滤波相关的具体的RF信号的信号调整网络以及根据经滤波的RF信号计算DC晶片电位的信号处理单元。

Description

用于控制等离子体处理系统的方法和装置
背景技术
在半导体行业,利用RF驱动等离子体室制造硅晶片是常见的。在这样的应用中,存在通常的监控鞘层电压的需求,特别是监控鞘层电压与晶片本身的DC偏置电位(potential)有怎样的相关性。
目前,有一些确定晶片电位以及鞘层和主体等离子体电位的技术。相对于晶片偏置电位,一种监控方法包括:通过检测晶片与静电卡盘(ESC)之间的漏电流以测量晶片的自偏压,同时改变施加到ESC上的DC电压。在一些电流产生设施中使用该技术时,计算所得值高度依赖于漏电流的强度,漏电流耦合到系统中的ESC类器件中。检测通过晶片流至ESC的漏电流的方法也高度依赖于不同类型的背部晶片膜。
确定晶片偏置电位的另一方法是通过使用附着在ESC的外部边缘并且与等离子体接触的碳化硅销(pin)。然而,这样的销是耗材产品,并且在生产环境中必须频繁更换。
检测晶片上的直流偏压的第三种方法是通过在ESC处的探针以及根据峰值到峰值RF电压计算晶片电压的信号处理单元。该方法提供了检测晶片DC偏置电压的装置,其中通过使用校准的增益和偏移(offset),将在ESC处的RF电压调整至DC值,不需要探针与等离子体的直接接触。该方法假定RF峰峰值电压与晶片的DC电位是纯粹的线性关系,这不适用于多频等离子体的情况。
图1所示为晶片与RF Vpp之间的相关性。在图1中,当线性拟合适用时,R平方值显著小于1(例如,R2=0.9)。
附图说明
本发明在附图中通过示例而非限制的方式说明,附图中相同的参考数字指代相似的元件,其中:
图1所示为晶片偏置电位与RF Vpp的相关性。
图2所示为晶片偏置电位与公开的RF电压传递函数的输出的相关性,R平方值显著接近1。
图3描绘了测试确定从碳化硅销所观测到的等离子体电位与从布线晶片上测得的晶片电位之间的相关性。
图4所示为在LamDFC2300Flex45平台上,在使用2MHz、27MHz和60MHz进行HARC(高的深宽比接触)工艺的过程中,通过硅HER(热边缘环)所观测到的电压与通过碳化硅销所观测到的电压的比较。
图5A描绘了具有由三个RF发生器供电的ESC电源组成的带有RF驱动等离子体的系统。。
图5B示出了本公开发明的一种实施方式,其中由电容分压器网络组成的电压探针连接到RF杆上,RF杆紧邻ESC基板以及信号调节和信号处理装置。
图5C是具有数字信号处理单元的模拟RF电压信号调节网络的一种实施方式。
图6-10阐述了基于频率相关RF电压信号计算晶片电位的高阻抗RF电压探针。
具体实施方式
现在将参考如附图中所阐释的本发明的一些优选的实施方式详细描述本发明。在以下的描述中,阐述了许多具体细节以提供对本发明的彻底的理解。然而对本领域技术人员而言,显而易见,没有这些具体细节的一些或者全部本发明也可以实现。在其它示例中,没有详细描述公知的工艺步骤和/或结构以免不必要地使本发明难以理解。
下文将描述各种实施方式,包括方法和技术。应当记住的是本发明也可涵盖包含有计算机可读介质的制品,在所述计算机可读介质上存储了用于实现本发明技术的实施方式的计算机可读指令。所述计算机可读介质可包括用于存储计算机可读代码的诸如半导体的、磁的、光磁的、光学的或其他形式的计算机可读介质。此外,本发明还可涵盖用于实行本发明实施方式的装置。这样的装置可包括执行与本发明实施方式有关的任务的专用和/或可编程电路。所述装置的实施例包括通用计算机和/或被适当编程的专用计算设备,且可包括适于执行与本发明实施方式有关的各种任务的计算机/计算设备和专用/可编程电路的组合。
本公开发明的实施方式将使终端用户具有这样的能力,即能通过在ESC处适当地滤波和调整RF电压并且使用计算多个RF频率在给晶片施加偏压中的贡献的传递函数,从而测量晶片的偏置电位。图2示出了晶片偏置电位与公开的RF电压传递函数的输出的相关性,R平方值相当靠近1(例如,R2=0.97)。
当试图用碳化硅销改进现存的测量DC偏置电位的方法时,构思出本发明。该销以及容纳该销的石英绝缘材料的磨损率被发现是生产产率的限制因素。已确定,尽管在多频等离子体中RF电压与晶片电位存在非线性关系,但是传递函数能够基于驱动等离子体的每一单个的频率的贡献而推导晶片电位。
已进行了测试以确定在通过碳化硅销所观测到的等离子体电位与通过布线晶片所测得的晶片电位之间的关系,其描绘在图3中。结果表明,公开的传递函数与通过现有的碳化硅销观测到的电压信号显著相关。
图4所示为在LamDFC2300Flex45平台(可从Lam ResearchCorporation of Fremont(加拿大)获取)上,在使用2MHz、27MHz和60MHz进行HARC(高的深宽比接触)工艺的过程中,通过硅HER(热边缘环)所观测到的电压相比于通过碳化硅销所观测到的电压。假定有前述的碳化硅销与布线晶片的相关性,可换性能确保涉及驱动等离子体的单个的RF电压传递函数也是在晶片接口处的等离子体鞘层电压的有效表示。在一种或多种实施方式中,可以在射频电压上进行状态空间分析,以推导传递函数。状态空间建模是公知的,并且在此不再详述。在一种或者多种实施方式中,可以使用公知的瞬态处理技术,以改善稳健性。
参考附图和下述阐述,可以更好地理解本发明的实施方式的特征和优点。
通常而言,间接测量RF驱动等离子体中的等离子体鞘层电位的DC成分的能力,取决于连接至紧邻ESC的RF杆的电压探针。图5A描绘了具有由三个RF发生器504A、504B、504C经由阻抗匹配网络506供电的ESC电源组成的带有RF驱动等离子体的系统。如图所示,这些RF发生器提供不同的RF频率,但所使用的其他的频率的数量、所使用的实际的频率以及所使用的RF发生器的数量可以根据应用情况而变化。紧邻(即足够靠近以便能够检测RF电压)基座板508(或其他的与ESC关联的非等离子体暴露部件)的RF杆或者其他合适的RF检测机构提供RF电压至电容分压器网络530。电容分压器530将结合图5B进行更详细的阐述。电容分压器网络530的输出然后被提供给信号调整和处理模块532,模块532将结合本发明的图5C在下文进行更详细的阐述。
图5B图解了本公开发明的示例性实施方式,其中包括电容分压器网络530的电压探针耦合到紧邻ESC基座板508的RF杆以及耦合到信号调整和信号处理装置。在图5B的示例中,电容器C1与电容器C2串联,电容器C2又与并联的电容器C3/C4串联。如图5B所示获取电压信号。电容分压器电压探针为将要检测的RF电压提供高阻抗路径,而没有不合适地干扰驱动等离子体的RF功率。
电容分压器的具体配置取决于驱动等离子体的RF发生器,其中最高频的发生器是主导因素。电容分压器还通过提供与50欧姆的同轴电缆并联的接地的低阻抗路径而充分地使电压信号衰减,该同轴电缆用于将电压信号传输到信号调整和信号处理装置。注意这些值仅仅提供作为示例,仅供参考,并非是限制性的。在图5B的示例中,输入阻抗相当高[Z=1/(ω*C),当ω=60MHz,C=0.5pF时,则Z约为33千欧]并且接地阻抗是低的(在60MHz时约为31欧姆)。
然后,RF电压信号通过模拟或者数字滤波器对RF电压信号进行调整。图5C是在图5A的块532中实施的模拟RF电压调整网络的示例性实施方式。在模拟RF电压调整网络的输入端,信号被分成多个分离的通道(在图5C的示例中为4个)以使得能对用于驱动等离子体的具体RF频率进行RF滤波。在该实施方式中,RF发生器频率为2MHz,27MHz和60MHz。一个通道不进行滤波,从而保持宽带电压频谱。在该实施方式中,4个通道通过无源峰监测器[例如1N5711二极管]转换成DC信号,但有源峰监测器也可以使用。
DC信号接着被转换成数据信号,以便通过数据信号处理器进行处理,在该处理器中,校准单个的信号,并将其输入晶片偏置传递函数。传递函数的输出然后被反馈至偏置补偿网络。应注意,所公开的模拟信号调整网络能在数字域内全部实现。在该示例中,将不需要信号滤波器或模拟滤波器,仅仅需要模数转换器(ADC)以及信号处理单元(DSP,FPGA,CPLD等),其中信号处理单元用于进行所有滤波、峰值检测、校准以及传递函数计算。
在一个或者多个实施方式中,通过向每个通道施加增益和偏移执行每一个电压通道的校准。每一个通道(图5A-C中的示例中的2MHz,27MHz,60MHz以及宽带未滤波电压)的增益和偏移由响应于给定通道及其与晶片偏压的相关性的信号来确定。对于经滤波的电压,这可以通过以响应于唯一的单通道的晶片偏压,将给定的经滤波的通道特征化来实现。例如2MHz通道的响应将相关于用于等离子体的晶片偏压,该等离子体仅仅由2MHz通道驱动,后续的增益和偏移将用于校准该通道。对于27MHz和60MHz重复这个过程。宽带信号通过相关于用于等离子体的晶片偏压来校准,该等离子体由所有的三个频率以及每个随后的变量(仅仅2MHz,2MHz与27MHz,仅仅60MHz,27MHz与60MHz,等等)驱动。
影响校准的重要因素也是电容分压器电压探针530的响应。电容分压器倾向于对于每一频率具有唯一的信号响应。作为建议的方案,网络分析器能用于特征化电容分压器电压传感器的响应,并且该响应接着能相应地用于修正前述的校准系数。这在制造准确的和可重复的仪器方面是重要的因素。
用于计算晶片偏压的传递函数由四个输入组成:2MHz,27MHz和60MHz的校准的DC电压信号以及未经滤波的宽带信号,电压信号然后乘以一组系数。每个系数是驱动等离子体的给定的混合频率的函数。该些系数通过由晶片偏压和四个电压信号(2MHz,27MHz,60MHz以及宽带)组成的矩阵的主成分分析(PCA)来推导。将系数乘积的单个结果相加,产生晶片偏压值。也能使用条件陈述和加权因素使系数最优化,进而使传递函数结果最优化。
图6-10进一步讨论了基于频率相关RF电压信号计算晶片电位的高阻抗RF电压探针。在图6中,产生线性传递函数,并且示例性的流程图图解了该线性传递函数可以怎样用于产生晶片偏压值。图7是图解非线性传递函数以产生晶片偏压值的流程图。假如不是在所有的情况下,也相信在一些情况下,非线性传递函数可以较好地由RF电压逼近晶片偏压。图8,9和10还进一步解释了每一种方法的优点(线性对非线性)。根据本发明的一些实施方式,两种方法都提供一种方式以使所检测到的RF电压与晶片偏置电压相关,尤其是在应用多频率的情况下。在等离子体处理系统中,该晶片偏置电压然后可以用作反馈或者控制信号,该反馈或者控制信号用于ESC电源和/或偏压补偿网络和/或其他的子系统。
虽然已经以若干优选实施方式描述了本发明,但是本发明的变化、置换和等同方式也都落在了本发明的范围之内。还应注意,有许多实现本发明的方法和装置的替代方式。尽管在此提供了各种实施例,但意在用这些实施例说明而非限制本发明。

Claims (15)

1.用于控制等离子体处理系统的方法,其包括:
通过高阻抗路径从RF检测机构获取RF电压信号,该RF电压信号具有与多个RF发生器相关的多个RF频率,所述多个频率包括第一频率和第二频率,所述RF检测机构是RF杆,其中所述RF杆邻近ESC子系统的部件,其中当形成在等离子体室内时,所述部件位于具有等离子体的区域以外,其中所述RF杆用于检测所述RF电压信号,所述RF杆提供所述RF电压信号至电容器分压器网络;
处理所述RF电压信号以产生多个信号,所述多个信号之一具有所述第一频率,并且所述多个信号中的另一个具有所述第二频率;
将传递函数应用至具有所述第一频率的所述信号和具有所述第二频率的另一个信号以获得晶片电位;以及
提供所述晶片电位作为控制信号以控制所述等离子体处理系统的至少子系统。
2.根据权利要求1所述的方法,其中所述部件是基座板。
3.根据权利要求1所述的方法,其中所述多个信号包括至少一个与所述RF电压信号的未经滤波的宽带信号相对应的信号。
4.根据权利要求1所述的方法,其中所述处理包括校准所述多个信号。
5.根据权利要求1所述的方法,其中所述传递函数是线性传递函数。
6.根据权利要求5所述的方法,其中所述线性传递函数通过使用多变量分析方法获得。
7.根据权利要求1所述的方法,其中所述传递函数是非线性传递函数。
8.根据权利要求7所述的方法,其中所述非线性函数通过使用功率方程获得。
9.根据权利要求1所述的方法,其中所述处理包括模拟滤波。
10.根据权利要求1所述的方法,其中所述处理包括数据信号处理。
11.被配置成用于处理设置在静电卡盘(ESC)上的至少一个晶片的等离子体处理系统,该等离子体处理系统包括:
RF检测机构,所述RF检测机构靠近所述ESC的部件以获得RF电压信号,其中,当形成在等离子体室内时,所述部件位于具有等离子体的区域以外,所述RF电压信号具有与多个RF发生器相关的多个RF频率,所述多个频率包括第一频率和第二频率,所述RF检测机构是RF杆,并且所述部件是基座板;
高阻抗电压探针装置,其与所述RF杆耦合,其中所述高阻抗电压装置至少通过电容器分压器网络实现以促进从所述RF杆获取所述RF电压信号,同时减少在所述等离子体处理系统中驱动等离子体的RF功率的干扰;
信号处理装置,其被配置为接收所述RF电压信号,该信号处理装置用于从所述RF电压信号产生多个信号,所述多个信号之一具有所述第一频率,并且所述多个信号中的另一个具有所述第二频率,所述信号处理装置用于应用具有所述第一频率的所述信号和具有所述第二频率的另一个信号的数字和模拟信号中的一种至传递函数,以获得晶片电位;以及
ESC电源子系统,其被配置为在所述至少一个晶片的处理期间,接收所述晶片电位作为反馈信号以控制所述等离子体处理系统。
12.根据权利要求11所述的等离子体处理系统,其中所述RF电压信号通过所述信号处理装置处理成所述多个信号,该多个信号至少包括响应于所述RF电压信号中的未经滤波信号的信号。
13.根据权利要求12所述的等离子体处理系统,其中所述信号处理装置配置成校准所述多个信号,其中所述校准响应于所述电容器分压器网络。
14.根据权利要求11所述的等离子体处理系统,其中所述传递函数是线性传递函数。
15.根据权利要求11所述的等离子体处理系统,其中所述传递函数是非线性传递函数。
CN201080052567.3A 2009-11-19 2010-11-19 用于控制等离子体处理系统的方法和装置 Active CN102612864B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US26288609P 2009-11-19 2009-11-19
US61/262,886 2009-11-19
US30362810P 2010-02-11 2010-02-11
US61/303,628 2010-02-11
PCT/US2010/057450 WO2011063246A2 (en) 2009-11-19 2010-11-19 Methods and apparatus for controlling a plasma processing system

Publications (2)

Publication Number Publication Date
CN102612864A CN102612864A (zh) 2012-07-25
CN102612864B true CN102612864B (zh) 2015-06-10

Family

ID=44010843

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080052567.3A Active CN102612864B (zh) 2009-11-19 2010-11-19 用于控制等离子体处理系统的方法和装置

Country Status (7)

Country Link
US (1) US8909365B2 (zh)
JP (2) JP2013511814A (zh)
KR (2) KR101760536B1 (zh)
CN (1) CN102612864B (zh)
SG (1) SG10201406954SA (zh)
TW (1) TWI531023B (zh)
WO (1) WO2011063246A2 (zh)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9588152B2 (en) * 2013-01-09 2017-03-07 Flextronics Ap, Llc Digital signal processing method for measurement of AC voltage with power converters at light load operation
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
JP6224958B2 (ja) * 2013-02-20 2017-11-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102168064B1 (ko) * 2013-02-20 2020-10-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
US9741543B2 (en) 2014-07-21 2017-08-22 Lam Research Corporation Multi-range voltage sensor and method for a voltage controlled interface of a plasma processing system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10969370B2 (en) * 2015-06-05 2021-04-06 Semilab Semiconductor Physics Laboratory Co., Ltd. Measuring semiconductor doping using constant surface potential corona charging
US10636630B2 (en) * 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
US10505348B2 (en) * 2017-09-15 2019-12-10 Mks Instruments, Inc. Apparatus and method for ignition of a plasma system and for monitoring health of the plasma system
US10741363B1 (en) * 2019-10-08 2020-08-11 Mks Instruments, Inc. Extremum seeking control apparatus and method for automatic frequency tuning for RF impedance matching
CN110752137B (zh) * 2019-11-01 2022-04-22 北京北方华创微电子装备有限公司 偏压控制方法及装置、半导体加工设备
CN112858878B (zh) * 2021-01-08 2021-12-21 胜达克半导体科技(上海)有限公司 一种用于晶圆测试的晶粒加权补偿计算方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1270684A (zh) * 1997-09-17 2000-10-18 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
CN1961402A (zh) * 2004-05-28 2007-05-09 拉姆研究有限公司 具有响应多个rf频率的等离子体处理器
CN101064238A (zh) * 2006-04-24 2007-10-31 应用材料股份有限公司 具有独立的电容及环形等离子体源的等离子体反应器设备

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4420790A (en) * 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
DE3923662A1 (de) * 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
JPH06232088A (ja) * 1993-01-29 1994-08-19 Tokyo Electron Ltd プラズマ装置及びプラズマ処理方法
US5479340A (en) * 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
JPH1081968A (ja) * 1996-09-03 1998-03-31 Nippon Hoso Kyokai <Nhk> 非晶質シリコン膜の作製法
US5737177A (en) * 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5894400A (en) * 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6198616B1 (en) * 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
KR100574208B1 (ko) * 1998-06-02 2006-04-27 가부시키가이샤 니콘 주사형 노광장치 및 그의 제조방법, 및 디바이스 제조방법
US6361645B1 (en) * 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP4408313B2 (ja) * 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6563076B1 (en) * 1999-09-30 2003-05-13 Lam Research Corporation Voltage control sensor and control interface for radio frequency power regulation in a plasma reactor
JP4240259B2 (ja) * 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) * 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) * 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
JP2003045849A (ja) * 2001-07-27 2003-02-14 Sanyo Electric Co Ltd プラズマ処理装置
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) * 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
US7505879B2 (en) * 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
AU2003245315A1 (en) * 2002-06-28 2004-01-19 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) * 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) * 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW201041455A (en) * 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4448335B2 (ja) * 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) * 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) * 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
JP4920991B2 (ja) * 2006-02-22 2012-04-18 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP4657949B2 (ja) * 2006-03-01 2011-03-23 株式会社日立ハイテクノロジーズ エッチング処理装置および自己バイアス電圧測定方法ならびにエッチング処理装置の監視方法
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) * 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
JP5015517B2 (ja) * 2006-08-03 2012-08-29 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
JP5014166B2 (ja) * 2007-02-13 2012-08-29 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US7728602B2 (en) * 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
JP2008258375A (ja) * 2007-04-04 2008-10-23 Hitachi High-Technologies Corp プラズマダメージ検出測定装置及びプラズマ処理装置
US7768269B2 (en) * 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US9074285B2 (en) * 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US9299539B2 (en) * 2009-08-21 2016-03-29 Lam Research Corporation Method and apparatus for measuring wafer bias potential
JP2011060984A (ja) * 2009-09-10 2011-03-24 Renesas Electronics Corp プラズマ処理装置及びプラズマ処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1270684A (zh) * 1997-09-17 2000-10-18 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
CN1961402A (zh) * 2004-05-28 2007-05-09 拉姆研究有限公司 具有响应多个rf频率的等离子体处理器
CN101064238A (zh) * 2006-04-24 2007-10-31 应用材料股份有限公司 具有独立的电容及环形等离子体源的等离子体反应器设备

Also Published As

Publication number Publication date
KR20120092133A (ko) 2012-08-20
SG10201406954SA (en) 2014-11-27
US8909365B2 (en) 2014-12-09
KR101888324B1 (ko) 2018-09-06
JP2013511814A (ja) 2013-04-04
TWI531023B (zh) 2016-04-21
KR20170086674A (ko) 2017-07-26
CN102612864A (zh) 2012-07-25
TW201138012A (en) 2011-11-01
KR101760536B1 (ko) 2017-07-31
WO2011063246A3 (en) 2011-09-29
JP2016054159A (ja) 2016-04-14
US20110118863A1 (en) 2011-05-19
WO2011063246A2 (en) 2011-05-26
JP6279535B2 (ja) 2018-02-14

Similar Documents

Publication Publication Date Title
CN102612864B (zh) 用于控制等离子体处理系统的方法和装置
CN102652266B (zh) 基于rf电压的等离子体处理系统控制
US10950421B2 (en) Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
KR20180062348A (ko) 범용 비침습성 챔버 임피던스 측정 시스템 및 연관된 방법들
JP4928817B2 (ja) プラズマ処理装置
US8242789B2 (en) Plasma system and measurement method
KR102102487B1 (ko) 플라즈마 에칭 챔버에서의 rf 전력 보상을 위한 장치, 방법 및 시스템
TW202102861A (zh) 高側電流監視器
KR102663698B1 (ko) 능동형 전류 보상 장치의 품질 검사 시스템
KR20240060080A (ko) 능동형 누설전류 결합 전자파 차단기

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant