CN102652266B - 基于rf电压的等离子体处理系统控制 - Google Patents

基于rf电压的等离子体处理系统控制 Download PDF

Info

Publication number
CN102652266B
CN102652266B CN201180004603.3A CN201180004603A CN102652266B CN 102652266 B CN102652266 B CN 102652266B CN 201180004603 A CN201180004603 A CN 201180004603A CN 102652266 B CN102652266 B CN 102652266B
Authority
CN
China
Prior art keywords
signal
frequency
plasma
voltage
plasma process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180004603.3A
Other languages
English (en)
Other versions
CN102652266A (zh
Inventor
约翰·C·小瓦尔科
亨利·S·波沃尔尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102652266A publication Critical patent/CN102652266A/zh
Application granted granted Critical
Publication of CN102652266B publication Critical patent/CN102652266B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • G05B15/02Systems controlled by a computer electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

提供了一种利用从RF电压信息推导出来的晶片偏压信息控制等离子体处理系统的方法。所述RF电压经由模拟到数字方法处理来获得至少每个基带频率和宽带频率的峰值电压信息。所述峰值电压信息然后使用来推导所述晶片偏压信息,以用作到所述等离子体处理系统的硬件/软件的反馈或控制信息。

Description

基于RF电压的等离子体处理系统控制
背景技术
在半导体工业中,用于制造硅晶片的RF驱动等离子体腔的使用是很常见的。通常需要在这些应用中监视鞘层电压,以及尤其是鞘层电压如何与晶片自身的DC偏压电势相关。
目前,具有多种技术来确定晶片电势,以及鞘层和主体等离子体电势。关于晶片DC偏压电势,一种监视方法包括通过检测在晶片与静电卡盘(ESC)之间的漏电流同时将施加的DC电压变换到ESC来测量晶片的自偏电压。虽然该技术使用在一些当前生产设置中,但是计算的值高度依赖于耦合到在系统中的ESC类型的漏电流的幅度。检测经过晶片到ESC的漏电流的方法也高度依赖于不同类型的背侧晶片膜片。
确定晶片偏压电势的另一种方法是通过使用附接到ESC的外部边缘并且与等离子体接触的碳化硅销针。然而,这种销针是消耗品,并且在生产环境中必须频繁更换。
检测在晶片上的DC偏压的第三种方法是通过在ESC处的RF电压探针以及由RF峰值到峰值电压计算晶片电压的信号处理单元。该方法通过使用校准增益和偏移量(offset)将在ESC处的RF电压按比例调整成DC值,提供不需要探针直接接触等离子体的检测晶片DC偏压电压的方式。该方法假设RF峰值到峰值电压与晶片的DC电势为纯线性关系,这不适用于多频率等离子体的情况。图1示出晶片偏压与RF Vpp的关系。在图1中,当应用线性拟合时,R平方值显著小于1[例如,R2:0.90]。
附图说明
本发明将借助在附图中的实例示出,并且不以限制的方式示出,并且其中相同的参考标记表示相似元件,并且其中:
图1表示晶片偏压与RF Vpp的关系。
图2表示晶片偏压与公开的RF电压传递函数(transfer function)的输出的关系,其中R平方值显著接近1。
图3描述测试确定由碳化硅销针观察的等离子体电势与由布线晶片测量的晶片电势之间的关系。
图4表示在利用在Lam DFC 2300 Flex45平台上的2MHz、27MHz、以及60MHz的HARC(高的深宽比接触)处理期间由Si HER(硅热边缘环)观察的电压与由碳化硅销针观察的电压之比。
图5A描述具有由三个RF发生器供电的ESC电源组成的带有RF驱动等离子体的系统。
图5B示出本公开发明的实施例,其中由电容分压器网络组成的电压探针附接到靠近ESC基板以及信号调理和信号处理装置的RF棒。
图5C是具有数字信号处理单元的模拟RF电压信号调整网络的实施例。
图6-10讨论用于基于频率相关RF电压信号计算晶片电势的高阻抗RF电压探针。
图11根据本发明的实施例表示示例数字实施例的方框图。
具体实施方式
现在将参考其中如在附图中所示的实施例详细描述本发明。在下面的描述中,提出多个具体细节以便提供对本发明的全面理解。然而,本领域的技术人员将会清楚,可以在没有一些或所有这些具体细节的情况下实践本发明。在其他情况下,不需要详细描述已知处理步骤和/或结构以免不必要地使本发明难以理解。
本文在下面描述各种实施例,包括方法和技术。应该认识到,本发明也可以覆盖制造的物品,包括用于实施本发明技术的实施例的计算机可读指令存储在其上的计算机可读介质。计算机可读介质可以包括,例如,半导体的、磁的、光磁的、光的、或者用于存储计算机可读代码的其他形式计算机可读介质。此外,本发明还可以覆盖用于实践本发明实施例的装置。这些装置可以包括专用和/或可编程电路以实施有关本发明实施例的任务。这些装置的实例包括通用计算机和/或经恰当编程的专用计算设备,并且可以包括适于有关本发明实施例的各种任务的计算机/计算设备以及专用/可编程电路的组合。
本公开发明的实施例,通过在ESC处恰当地滤波和调理RF电压以及使用计算多个RF频率在给晶片施加偏压中的贡献的传递函数,将给终端用户提供测量晶片偏压电势的能力。图2表示晶片偏压与公开的RF电压传递函数的输出相关性,其中R平方值显著靠近1[例如,R2:0.97]。
本发明可以构思为试图改进用碳化硅销针测量DC偏压电势的现有方法。发现该销针以及容纳在该销针内的晶体绝缘材料的磨损率是产品生产量的限制因素。已经确定虽然在多频率等离子体中的RF电压与晶片电势之间存在非线性关系,但是可以基于驱动等离子体的每个单个频率的贡献,使用传递函数来推导晶片电势。
已经完成测试来证明由碳化硅销针观察的等离子体电势与由布线晶片测量的晶片电势之间的关系,如图3所描述。然后示出,公开的传递函数显著地与由现有碳化硅销针观察的电压信号相关联。
图4表示在利用在Lam DFC 2300Flex45平台(可以从CA的FremontLam研究公司获得)上的2MHz、27MHz、以及60MHz的HARC(高的深宽比接触)处理期间由硅HER(硅热边缘环)观察的电压与由碳化硅销针观察的电压之比。给出前述碳化硅销针与布线晶片的相关性,可换特性对下面的陈述提供证明,即包含驱动等离子体的单个RF电压的函数也是在晶片接口处的等离子体鞘层电压的有效表示。在一个或多个实施例中,可以在RF电压上执行状态空间分析以推导传递函数。状态空间模型是已知的并且这里将不详述。在一个或多个实施例中,可以使用已知瞬态处理技术来提高鲁棒性。
参考下面的附图和讨论可以更好地理解本发明实施例的特征和优点。
总体而言,间接测量在RF驱动等离子体内的等离子体鞘层电势的DC成分的能力依赖于连接到靠近ESC的RF棒的电压探针。图5A描述具有由三个RF发生器504A、504B、504C经由阻抗匹配网络506供电的ESC电源组成的带有RF驱动等离子体的系统。虽然这些RF发生器提供如图所示的不同RF频率,但是使用的频率数量、使用的精确频率以及使用的多个RF发生器可以根据应用改变。靠近(即,足够靠近以便能够感应RF电压)基板508(或者与ESC关联的另外非等离子体暴露成分)的RF棒或另外的合适的RF感应机构提供RF电压给电容分压器网络530。本文下面将关联图5B更详细讨论电容分压器530。电容分压器网络530的输出然后将提供给信号调理和处理模块532,其将在本文下面中关联图5C更详细地讨论。
图5B示出本公开发明的示例实施例,其中包括电容分压器网络530的电压探针耦接到靠近ESC基板以及耦接到信号调理和信号处理装置的RF棒(或者另外的合适的RF感应机构)。在图5B的实例中,电容器C1与电容器C2串联,其然后与并联的C3/C4串联。如图5B所示获得电压信号。电容分压器电压探针给要感测的RF电压提供高阻抗路径,不会过度地扰乱驱动等离子体的RF功率。
电容分压器的具体组成依赖于驱动等离子体的RF发生器,其中最高频率发生器是主要因素。通过用于将电压信号携带到信号调理和信号处理装置而并联50欧姆同轴电缆来提供到地的低阻抗路径,电容分压器也充分地衰减电压信号。注意,这些值提供作为示例并且仅用于参考并且不作为限制。在图5B的示例中,输入阻抗是显著较高的[Z=1/(ω*C),当ω=60MHz时,C=0.5pF,那么Z约为33K欧姆],并且到地的阻抗是较低的(在60MHz为约31欧姆)。
RF电压信号然后通过模数滤波器的网络调理。图5C为在图5A的方框532中实施的模拟RF电压信号调理网络类型的示例实施例。在模拟RF电压信号调理网络的输入端,信号分成多个独立通道(在图5C中为4个)以能对用于驱动等离子体的具体RF频率进行RF滤波。在该实施例中,RF发生器频率为2MHz、27MHz、以及60MHz。一个通道不被滤波,其保持宽带电压频率频谱。在该实施例中,四个通道经由无源峰值检测器[例如,1N5711二极管]转化为DC信号,虽然同样可以使用有源峰值检测器。
DC信号转化为数字信号以便由数字信号处理器处理,其中单个信号被校准并且输入到晶片偏压传递函数。传递函数的输出然后反馈到偏压补偿网络。应该注意,公开的模拟信号调理网络完全可以在数字域内完成。在该情况下,可以不需要信号分离器或模拟滤波器,仅仅需要模数转换器(ADC)以及信号处理单元(DSP、FPGA、CPLD等),其中信号处理单元用于所有滤波、峰值检测、校准、以及传递函数计算。
在一个或多个实施例中,每个电压通道的校准通过施加增益和偏移量到每个通道来执行。用于每个通道(在图5A-5C的实例中的2MHz、27MHz、60MHz以及宽带非滤波电压)的增益和偏移量由响应于预定通道的信号以及它与晶片偏压的相关性确定。对于已滤波通道,通过响应于用于该唯一单个频率的晶片偏压特征化预定已滤波通道来完成该过程。例如,2MHz通道的响应将与用于仅由2MHz驱动的等离子体的晶片偏压相关,并且后续增益和偏移量将使用来校准这个通道。对于27MHz和60MHz重复该过程。宽带信号通过将用于由所有三个频率驱动的等离子体的晶片偏压与每一后续变量(仅2MHz、2MHz和27MHz、仅60MHz、27MHz和60MHz等)相关来校准。
影响校准的重要因素也是电容分压器电压探针530的响应。电容分压器趋于对于每个频率具有唯一的信号响应。作为建议解决方案,网络分析仪可以使用来特征化电容分压器电压传感器的响应,并且该响应然后可以相应地使用来修改前述校准系数。这在制造精确和可重复仪器方面是重要因素。
用于计算晶片偏压的传递函数包括四个输入:对于2MHz、27MHz、60MHz的经校准DC电压信号以及非滤波宽带信号。该电压信号然后乘以一组系数。每个系数是驱动等离子体的预定频率组合的函数。这些系数例如通过包括晶片偏压和四个电压信号(2MHz、27MHz、60MHz、以及宽带)的矩阵的主成分分析(PCA)来推导。然后相加系数乘积的单个结果,产生晶片偏压值。也可以使用条件语句和加权因子来优化这些系数并且因此优化传递函数结果。
图6-10基于频率相关RF电压信号进一步讨论用于计算晶片电势的高阻抗RF电压探针。在图6中,产生了线性传递函数,并且示例流程图示出该线性传递函数如何可以使用来产生晶片偏压值。图7为示出使用非线性传递函数来产生晶片偏压值的流程图。非线性传递函数被认为如果不是大多数情况下,也会在一些情况下,能够更好地由RF电压逼近晶片偏压值。图8、9和10为每个方法(线性与非线性之比)的价值的进一步解释。根据本发明的实施例,两种方法都提供将感测的RF电压与晶片偏压电压关联的方法,特别在多频率应用中。晶片偏压电压然后可以使用作为用于在等离子体处理系统中的ESC电源和/或偏压补偿网络和/或其他子系统的反馈或控制信号。
在另外的实施例中,ESC RF信号可以在数字域中处理以提高可配置性以及提供例如一些高的深宽比蚀刻等严格的处理应用所需要的更宽RF功率动态范围。图11示出了示例性的数字应用的实施方式的方框图。在方框1102中的输入ESC RF信号可以由电容传感器获得,例如举例而言由图5a的电容分压器网络530获得。在图11的示例中,输入RF信号的范围为从大约350KHz到120MHz,其中基带频率在大约400KHz、2MHz、13MHz、27MHz、以及60MHz处,但这些示例值及包含的多个频率不限制本发明的范围。
输入RF信号然后利用反混叠低通滤波器1104来滤波,其具有设置在后续使用来将模拟输入RF信号从方框1102转换为数字信号的模数转换器(ADC)的采样频率的大约1/2的滤波器频率。在图11的示例情况下,ADC采样率为250MHz,并且因此反混叠滤波器设置在大约120MHz,其小于ADC采样率(根据奈奎斯特理论用于符合要求的信号分辨率)的1/2。
来自反混叠滤波器1104的经滤波RF信号然后由RF分离器1106分离成两个通道(利用恰当的低通和高通滤波器)以馈送到双通道ADC 1112内。RF分离可选择来提高信号分辨率,并且实施为接受使用双通道ADC的优化。然而,如果必要,可以使用单通道ADC并且将来自反混叠滤波器1104的经滤波RF信号馈送到单通道ADC内。
因此,与图5C的模拟方法相比,模拟输入RF信号不需要在模拟域中分离和/或在模拟域中峰值检测。相反,RF信号由ADC 1112转换(在经过反混叠滤波器恰当滤波之后)到数字域内。这样既提高了可配置性又提高了动态范围响应,因为没有经受模拟峰值检测方案的限制。特别地,数字方法克服在模拟峰值检测方案中由整流二极管的非线性响应施加的限制。模拟峰值检测方案的另外限制因素是二极管输出趋于作为本质上为功率检测器的输入电压RMS的函数。这个特性进一步限制模拟检测器分辨实际RF峰值幅度而不是作为RMS信号的平均RF电压峰值的能力。数字方法回避了这些和其他问题。
数字处理通过在图11中由现场可编程门阵列(FPGA)1120表示的逻辑电路执行。在当前示例中FPGA部分地使用来获得FPGA在多通道上的并行处理能力的优点,但也可以使用其他形式的逻辑电路,包括微处理器、微控制器、专用硬件电路、数字信号处理器等。
其中一个改进涉及使用来自RF发生器(1122)的RF信号作为进入到数字处理方框1120的独立输入。可以包括来自RF发生器的每个RF信号的状态(on/off)、基带频率、驱动信号相位的该独立输入RF信号数据,其可以使用来抽取基音以在方框1120中调节数字滤波器(例如带通滤波器)。相位数据在分辨在各个频率的ESC RF信号中的调制效果以更精确地计算在任何预定频率处的电压方面是尤其有用的。
为了详细说明,相位信号使得RF信号能解调,该RF信号是等离子体动态性(作为有源非线性载荷)的函数。常规惯例将等离子体腔看作RF混合器,产生作为RF源的频率以及气体的相关/不相关率和等离子体鞘层的膨胀/收缩的函数的音调。通过使用用于提供源给等离子体和/或偏压等离子体的每个RF发生器的驱动信号的相位,可以更精确地分辨每个基带RF音调,即使在例如RF发生器的脉动等大多数动态等离子体情况中也是如此。
可替代地或附加地,利用从RF发生器的RF信号抽取的基带音调的这些数字滤波器的调节使得能够构造在基带频率周围的非常精细和较窄裁剪滤波器,从而大大地提高了数字处理方框1120的信号分辨率的精度。
来自数字处理方框1120的输出包括针对每个基带频率和针对合成宽带信号的峰值电压(V峰值)。峰值检测可以是正峰值、负峰值、或者两者。对于合成信号,负峰值信息在处理相位期间尤其是有用的,因为通常在作为每个RF源的谐波的函数的波形中存在不对称。因此,通过检测正峰值和负峰值,可以分辨等离子体中的谐波的相对度量(metric)。该谐波度量然后可以使用来进一步优化预定状态空间的晶片偏压电势传递函数。
如所讨论的,数字方法的优点是容易具有可配置性。例如,当使用不同的RF频率和/或附加RF频率和/或少数RF频率时,不需要改变硬件。数字滤波器、传递函数、以及其他优化可以在相同可编程逻辑电路上重新编程,当应用改变时,节省大量的时间和成本。
本发明的另外方面涉及使用附加的等离子体腔参数来提高在ESC RF电压与晶片偏压电势之间的相关性。这些附加腔参数包括腔压强、腔间隙(两个电极之间的距离)、RF发送功率、RF频率、RF发射器阻抗匹配网络档位(可变LC网络的电容器位置)、腔化学性、腔拓扑结构(即:接地面积比)、晶片类型(背侧膜片、基板膜片堆)、以及晶片电阻系数。在当前讨论中,讨论两个具体腔参数(压强和间隙距离)来帮助理解,虽然数字和精确参数会依赖于应用改变。
在本发明的另外方面中,办呢发明的发明人注意到,当涉及的腔压强不同时,在RF电压与晶片电压电势之间的相关性(例如,在趋势线的斜率方面的增加)有明显的改变。通过考虑室电压,可以从ESC RF电压获得晶片偏压电压的更精确的即时确定。补偿函数或方程或度量因子可以凭经验在用于例如后续生产设置使用的研究设置中确定(例如,通过在不同的腔压强映射RF电压与晶片偏压电压之比并且确定相关性变化)。在一实施例中,腔压强信息可以使用作为度量因子以度量不可知压强(pressure-agnostic)传递函数输出。附加地或可替代地,腔压强可以使用作为到传递函数的另一个输入以获得到传递函数的预定输入的具体压强输出。
在本发明的另外方面中,本发明的发明人注意到,当涉及的上电极和下电极之间的腔间隙不同时,在RF电压与晶片电压电势之间的相关性(例如,在趋势线的斜率方面的增加)有明显的改变。通过考虑电极间隙数据,可以从ESC RF电压获得晶片偏压电压的更精确的即时确定。补偿函数或方程或度量因子可以凭经验在用于例如后续生产设置使用的研究设置中确定(例如,通过在不同的腔间隙映射RF电压与晶片偏压电压之比并且确定相关性变化)。在一实施例中,腔间隙信息可以使用作为度量因子以度量不可知压强(pressure-agnostic)传递函数输出。附加地或可替代地,腔间隙可以使用作为到传递函数的另一个输入以获得到传递函数的预定输入的具体压强输出。可以可替代地或附加地关联腔压强信息的使用来实施该利用腔间隙信息的优化。相似的考虑应用到其他腔数据,如果它们用于传递函数的进一步优化。
回过来参考图11,附加的腔数据可以经由恰当的通信接口1152从处理模块1150接收。在图11的示例中,传递函数的实际应用以及利用腔数据的优化利用从方框1120接收的峰值电压(正的和负的)信息和从方框1150接收的腔数据信息在DSP方框1154中执行。然而,也可以在方框1120中执行该传递函数应用和优化,在该情况下,方框1120举例而言会直接从处理模块1150获得腔数据。DSP方框1154的输出表示经计算的晶片电势,并且经由DAC 1156转换回到模拟域以用作到ESC电源单元1160和/或RF发生器1162的反馈或控制信号。例如,由于经计算晶片偏压电势(如本文讨论的计算为RF电压的函数)的提高的精度和准度,可以使用该计算值作为用于输送功率的RF发生器的控制信号,以便对于预定晶片处理步骤保持期望的晶片电势。
注意,可以以讨论过的方式执行模拟分离和峰值检测(根据图5C)并且利用附加腔参数应用附加优化以获得经计算的晶片偏压电势。可替代地,输入RF信号可以如关联图11讨论的直接转换到数字域并且然后在数字域中处理和优化来获得经计算的晶片偏压电势。
通过在数字域中处理ESC RF信号和/或使用独立输入RF发生器信号和/或使用用于相关性优化的附加腔参数,本发明的实施例能够从ESC RF信号获得更精确的经计算晶片偏压电势。该更精确获得的晶片偏压电势继而提高监视等离子体状态的能力,以检测不期望的等离子体情况,例如等离子体不受约束等。
虽然已经考虑多个优选实施例描述了本发明,但是具有落入本发明的范围内的改变、排列、以及等同物。例如,虽然使用来计算晶片偏压电势的RF电压在本文中公开为从ESC或ESC基板获取或者从ESC组件或者ESC附近的其他部件获取的电压,但是RF电压实际上可以在RF输送路径上的任何点测量,并且使用于晶片偏压计算目的。因而,术语“RF电压”及“ESCRF电压”及“ESC RF信号”等不应该因它们在示例中的专有名词的使用而解释为限制性的。还应该注意,具有实施本发明的方法和装置的许多可替代方式。虽然在本文中提供了各个示例,但是旨在这些示例是示意性的并且不限制本发明。

Claims (18)

1.一种控制包括等离子体处理腔的等离子体处理系统的方法,包括:
接收来自所述等离子体处理腔的至少一个部件的RF信号;
在数字域中处理所述RF信号以获得所述RF信号的每个基带频率和宽带频率的峰值电压;以及
基于驱动等离子体的每个单个频率的贡献,通过使用传递函数由所述峰值电压推导晶片偏压信息,其中所述传递函数的输入包括所述每个基带频率和所述宽带频率的峰值电压,每个峰值电压乘以系数以实现用于产生单个结果的所述传递函数,每个系数通过晶片偏压和峰值电压的主成分分析来推导,将所述单个结果相加以便产生所述晶片偏压信息,其中所述晶片偏压信息使用作为用于所述控制所述等离子体处理系统的反馈和控制信号中的一个。
2.如权利要求1所述的方法,其中所述控制所述等离子体处理系统包括保持期望的晶片偏压电势。
3.如权利要求2所述的方法,其中所述RF信号通过电容性传感器部件接收。
4.如权利要求2所述的方法,其中所述推导至少考虑等离子体处理参数数据。
5.如权利要求4所述的方法,其中所述等离子体处理参数数据包括腔压强、腔间隙、RF发送功率、RF频率、RF发生器阻抗匹配网络档位、腔化学性、腔拓扑结构、以及晶片电阻系数中的至少一个。
6.如权利要求5所述的方法,其中所述处理包括考虑独立输入RF信号数据。
7.如权利要求6所述的方法,其中所述处理包括使用所述独立输入RF信号数据来执行数字滤波器的应用于所述处理的调谐。
8.如权利要求6所述的方法,其中所述独立输入RF信号数据包括基带频率和所述RF信号的驱动信号相位中的至少一个。
9.如权利要求4所述的方法,其中所述处理包括利用反混叠滤波器滤波所述RF信号。
10.如权利要求9所述的方法,其中处理还包括在所述滤波之后在所述RF信号上执行模数转换。
11.如权利要求10所述的方法,其中所述反混叠滤波器的滤波器频率小于应用于所述模数转换的模数转换器的采样频率的一半。
12.一种具有至少一个等离子体处理腔和用于从由所述等离子体处理腔的至少一个部件获得的RF信号推导晶片偏压信息的装置的等离子体处理系统,包括:
用于滤波所述RF信号的反混叠滤波器;
用于在所述滤波之后在所述RF信号上执行模数转换的模数转换器;
用于在所述转换之后在数字域中处理所述RF信号以获得所述RF信号的每个基带频率和宽带频率的峰值电压的逻辑电路;以及
用于基于驱动等离子体的每个单个频率的贡献通过使用传递函数从所述峰值电压推导晶片偏压信息的逻辑电路,其中所述传递函数的输入包括所述每个基带频率和所述宽带频率的峰值电压,每个峰值电压乘以系数以实现用于产生单个结果的所述传递函数,每个系数通过晶片偏压和峰值电压的主成分分析来推导,将所述单个结果相加以便产生所述晶片偏压信息,其中所述晶片偏压信息使用作为用于所述控制所述等离子体处理系统的反馈和控制信号中的一个。
13.如权利要求12所述的等离子体处理系统,还包括用于在所述滤波之前接收所述RF信号的电容性传感器部件。
14.如权利要求12所述的等离子体处理系统,其中用于推导的所述逻辑电路在计算所述晶片偏压信息中至少考虑等离子体处理参数数据。
15.如权利要求14所述的等离子体处理系统,其中所述等离子体处理参数数据包括腔压强、腔间隙、RF发送功率、RF频率、RF发生器阻抗匹配网络档位、腔化学性、腔拓扑结构、以及晶片电阻系数中的至少一个。
16.如权利要求15所述的等离子体处理系统,其中用于处理的所述逻辑电路在计算所述每个基带频率和所述宽带频率中考虑独立输入RF信号数据。
17.如权利要求16所述的等离子体处理系统,其中用于处理的所述逻辑电路使用所述独立输入RF信号数据来执行数字滤波器应用于所述处理的调谐。
18.如权利要求15所述的等离子体处理系统,其中所述独立输入RF信号数据包括基带频率和所述RF信号的驱动信号相位中的至少一个。
CN201180004603.3A 2010-12-07 2011-12-06 基于rf电压的等离子体处理系统控制 Active CN102652266B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/962,524 US8501631B2 (en) 2009-11-19 2010-12-07 Plasma processing system control based on RF voltage
US12/962,524 2010-12-07
PCT/US2011/063422 WO2012078569A1 (en) 2010-12-07 2011-12-06 Plasma processing system control based on rf voltage

Publications (2)

Publication Number Publication Date
CN102652266A CN102652266A (zh) 2012-08-29
CN102652266B true CN102652266B (zh) 2015-10-21

Family

ID=46207474

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180004603.3A Active CN102652266B (zh) 2010-12-07 2011-12-06 基于rf电压的等离子体处理系统控制

Country Status (6)

Country Link
US (4) US8501631B2 (zh)
JP (1) JP6104813B2 (zh)
KR (1) KR101858265B1 (zh)
CN (1) CN102652266B (zh)
TW (3) TWI541854B (zh)
WO (1) WO2012078569A1 (zh)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9530620B2 (en) * 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10325759B2 (en) * 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
CN103869769B (zh) * 2012-12-14 2017-04-12 朗姆研究公司 用于统计数据抽取的统计计算
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) * 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) * 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
TWI647735B (zh) * 2013-03-15 2019-01-11 美商蘭姆研究公司 使用模型化以建立與電漿系統相關的離子能量
US10469108B2 (en) * 2013-05-09 2019-11-05 Lam Research Corporation Systems and methods for using computer-generated models to reduce reflected power towards a high frequency RF generator during a cycle of operations of a low frequency RF generator
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10153143B2 (en) * 2014-03-14 2018-12-11 Applied Materials, Inc. Smart chamber and smart chamber components
CN104934340B (zh) * 2014-03-21 2017-10-20 北京北方华创微电子装备有限公司 一种射频传感器及阻抗匹配装置
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
US9741543B2 (en) 2014-07-21 2017-08-22 Lam Research Corporation Multi-range voltage sensor and method for a voltage controlled interface of a plasma processing system
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
US9652567B2 (en) * 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
DE102015212242A1 (de) * 2015-06-30 2017-01-05 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Abtasten eines mit einem Plasmaprozess in Beziehung stehenden Signalgemischs
US10297422B2 (en) * 2015-11-04 2019-05-21 Lam Research Corporation Systems and methods for calibrating conversion models and performing position conversions of variable capacitors in match networks of plasma processing systems
CN108353493B (zh) * 2016-01-22 2020-05-19 Spp科技股份有限公司 等离子体控制装置
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
EP3472268A4 (en) 2016-06-17 2020-02-19 Uop Llc METHOD FOR PRODUCING A FUEL FUEL FROM A BIOMASS FLOW
US9805919B1 (en) * 2017-01-13 2017-10-31 Lam Research Corporation RF detector with double balanced linear mixer and corresponding method of operation
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
KR102421625B1 (ko) * 2017-06-27 2022-07-19 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
WO2019003312A1 (ja) * 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
SG11201912569UA (en) * 2017-06-27 2020-01-30 Canon Anelva Corp Plasma processing apparatus
PL3648551T3 (pl) 2017-06-27 2021-12-06 Canon Anelva Corporation Urządzenie do obróbki plazmowej
US10636630B2 (en) * 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
US11664206B2 (en) 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
EP3711082A4 (en) 2017-11-17 2021-09-29 AES Global Holdings, Pte. Ltd. IMPROVED USE OF MODULATION SUPPLIES IN A PLASMA PROCESSING SYSTEM
CN111868873B (zh) 2017-11-17 2023-06-16 先进工程解决方案全球控股私人有限公司 等离子体处理源和衬底偏置的同步的脉冲化
WO2019112903A1 (en) 2017-12-05 2019-06-13 Lam Research Corporation System and method for edge ring wear compensation
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP6688440B1 (ja) 2018-06-26 2020-04-28 キヤノンアネルバ株式会社 プラズマ処理装置、プラズマ処理方法、プログラムおよびメモリ媒体
CN108712813B (zh) * 2018-09-13 2019-01-04 中微半导体设备(上海)有限公司 一种可切换匹配网络及电感耦合等离子处理器
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20200060624A (ko) 2018-11-22 2020-06-01 삼성전자주식회사 기판 처리 장치, 기판 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11587773B2 (en) 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
US12002611B2 (en) 2019-08-28 2024-06-04 COMET Technologies USA, Inc. High power low frequency coils
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
CN113820531B (zh) * 2020-06-19 2024-07-12 拓荆科技股份有限公司 一种射频系统状态受控的半导体设备
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
CN112345814B (zh) * 2020-10-30 2024-07-23 北京北方华创微电子装备有限公司 直流偏压检测方法、装置、治具以及下电极系统
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US12057296B2 (en) 2021-02-22 2024-08-06 COMET Technologies USA, Inc. Electromagnetic field sensing device
US11749505B2 (en) * 2021-02-23 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN116981744A (zh) 2021-03-16 2023-10-31 东洋纺Mc株式会社 粘接剂组合物及含有其的粘接片材、层叠体和印刷线路板
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
JP2023001473A (ja) * 2021-06-21 2023-01-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US12106938B2 (en) 2021-09-14 2024-10-01 Applied Materials, Inc. Distortion current mitigation in a radio frequency plasma processing chamber
US12046448B2 (en) 2022-01-26 2024-07-23 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
WO2023167887A1 (en) * 2022-03-03 2023-09-07 Advanced Energy Industries, Inc. Adaptive predictive control system
US11990324B2 (en) * 2022-03-03 2024-05-21 Advanced Energy Industries, Inc. Adaptive predictive control system
US12040139B2 (en) 2022-05-09 2024-07-16 COMET Technologies USA, Inc. Variable capacitor with linear impedance and high voltage breakdown
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US12020902B2 (en) 2022-07-14 2024-06-25 Tokyo Electron Limited Plasma processing with broadband RF waveforms
US12051549B2 (en) 2022-08-02 2024-07-30 COMET Technologies USA, Inc. Coaxial variable capacitor
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
US12111341B2 (en) 2022-10-05 2024-10-08 Applied Materials, Inc. In-situ electric field detection method and apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5894400A (en) * 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6522121B2 (en) * 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system

Family Cites Families (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) * 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
JP3116762B2 (ja) * 1995-01-27 2000-12-11 住友金属工業株式会社 プラズマエッチング装置
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
KR100574208B1 (ko) 1998-06-02 2006-04-27 가부시키가이샤 니콘 주사형 노광장치 및 그의 제조방법, 및 디바이스 제조방법
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6424232B1 (en) * 1999-11-30 2002-07-23 Advanced Energy's Voorhees Operations Method and apparatus for matching a variable load impedance with an RF power generator impedance
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) * 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
WO2002075332A1 (en) 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
JP2002343774A (ja) * 2001-05-18 2002-11-29 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) * 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
WO2003083911A1 (en) 2002-03-28 2003-10-09 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
CN100360704C (zh) * 2002-06-28 2008-01-09 东京电子株式会社 用于在等离子体加工系统中电弧抑制的方法和系统
US20050252884A1 (en) 2002-06-28 2005-11-17 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US6664166B1 (en) * 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
JP4359521B2 (ja) * 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
US6972524B1 (en) * 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
EP2477207A3 (en) * 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) * 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7885774B2 (en) 2005-06-10 2011-02-08 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8920600B2 (en) * 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7626544B2 (en) * 2006-10-17 2009-12-01 Ut-Battelle, Llc Robust low-frequency spread-spectrum navigation system
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) * 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) * 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
US8073646B2 (en) * 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
JP5026916B2 (ja) * 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
DK2599506T3 (en) 2007-11-06 2018-10-08 Creo Medical Ltd Microwave Plasma Masterization Applicator
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8264154B2 (en) 2008-05-14 2012-09-11 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
WO2010019430A2 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
AR073128A1 (es) * 2008-08-26 2010-10-13 Spx Corp Modulo de osciloscopio digital
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) * 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
KR101312695B1 (ko) * 2009-08-21 2013-09-27 맷슨 테크놀로지, 인크. 유도 플라즈마 소스
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8909365B2 (en) 2009-11-19 2014-12-09 Lam Research Corporation Methods and apparatus for controlling a plasma processing system
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
KR102153246B1 (ko) 2012-10-30 2020-09-07 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5894400A (en) * 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6522121B2 (en) * 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system

Also Published As

Publication number Publication date
US20130345847A1 (en) 2013-12-26
TW201237923A (en) 2012-09-16
TWI541854B (zh) 2016-07-11
US20160351375A1 (en) 2016-12-01
TW201737300A (zh) 2017-10-16
US9455126B2 (en) 2016-09-27
JP6104813B2 (ja) 2017-03-29
US9911577B2 (en) 2018-03-06
WO2012078569A1 (en) 2012-06-14
US9128473B2 (en) 2015-09-08
JP2014502027A (ja) 2014-01-23
KR101858265B1 (ko) 2018-05-15
CN102652266A (zh) 2012-08-29
US20110137446A1 (en) 2011-06-09
US20150332894A1 (en) 2015-11-19
TWI603369B (zh) 2017-10-21
TW201637069A (zh) 2016-10-16
KR20130137070A (ko) 2013-12-16
US8501631B2 (en) 2013-08-06

Similar Documents

Publication Publication Date Title
CN102652266B (zh) 基于rf电压的等离子体处理系统控制
CN102612864B (zh) 用于控制等离子体处理系统的方法和装置
EP3450995B1 (en) Calibration system for voltage measurement devices
US11209480B2 (en) Non-contact DC voltage measurement device with oscillating sensor
CN104296786A (zh) 数字电桥电容测量模块
EP3567384B1 (en) Multi-sensor configuration for non-contact voltage measurement devices
CN113994221A (zh) 电力测定装置以及电力测定方法
JP2015094598A (ja) 距離センサー

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant