KR101858265B1 - Rf 전압에 기초한 플라즈마 프로세싱 시스템 제어 - Google Patents

Rf 전압에 기초한 플라즈마 프로세싱 시스템 제어 Download PDF

Info

Publication number
KR101858265B1
KR101858265B1 KR1020127014754A KR20127014754A KR101858265B1 KR 101858265 B1 KR101858265 B1 KR 101858265B1 KR 1020127014754 A KR1020127014754 A KR 1020127014754A KR 20127014754 A KR20127014754 A KR 20127014754A KR 101858265 B1 KR101858265 B1 KR 101858265B1
Authority
KR
South Korea
Prior art keywords
signal
processing
chamber
signals
voltage
Prior art date
Application number
KR1020127014754A
Other languages
English (en)
Other versions
KR20130137070A (ko
Inventor
주니어 존 씨 발코어
헨리 에스 포볼니
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130137070A publication Critical patent/KR20130137070A/ko
Application granted granted Critical
Publication of KR101858265B1 publication Critical patent/KR101858265B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • G05B15/02Systems controlled by a computer electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

RF 전압 신호로부터 유도된 웨이퍼 바이어스 정보를 이용하여 플라즈마 프로세싱 시스템을 제어하는 방법을 제안한다. 아날로그 또는 디지털 방법론을 통해 RF 전압이 프로세싱되어 적어도 기본 주파수들 각각과 광대역 주파수에 대한 피크 전압 정보를 획득한다. 그 후에, 웨이퍼 바이어스 정보를 유도하기 위해 피크 전압 정보가 채용되어 플라즈마 프로세싱 시스템의 하드웨어/소프트웨어에 대한 피드백 또는 제어 신호로서 기능한다.

Description

RF 전압에 기초한 플라즈마 프로세싱 시스템 제어{PLASMA PROCESSING SYSTEM CONTROL BASED ON RF VOLTAGE}
반도체 산업에 있어서, 실리콘 웨이퍼들을 제조하기 위해 RF 드라이브형 플라즈마 챔버들을 이용하는 것은 아주 흔한 일이다. 이러한 애플리케이션들 내에는 시스 전압 (sheath voltage), 그리고 특히 시스 전압이 어떻게 웨이퍼 자체의 DC 바이어스 전위에 관련되는지를 모니터링하는 공통의 필요성이 존재한다.
현재, 시스 및 벌크 플라즈마 전위 뿐만 아니라, 웨이퍼 전위를 확인하는 몇가지 기법들이 있다. 웨이퍼 DC 바이어스 전위에 대해, 하나의 모니터링 방법은, 정전 척 (electrostatic chuck; ESC) 에 인가된 DC 전압을 변화시키면서 웨이퍼와 ESC 사이의 누설 전류를 검출함으로써 웨이퍼의 자기-바이어스 전압 (self-bias voltage) 을 측정하는 것을 포함한다. 이러한 기법이 일부의 현재 제조 설정들 내에서 이용되고 있지만, 그 연산된 값은, 시스템에서의 ESC 의 타입에 커플링되는 누설 전류의 크기에 매우 의존적이다. 또한, 웨이퍼를 통한 ESC 로의 누설 전류를 검출하는 방법은 상이한 타입의 이면 웨이퍼 필름들에 매우 의존적이다.
웨이퍼 바이어스 전위를 확인하기 위한 또 다른 방법은, ESC 의 외측 에지에 부착되고 플라즈마와 접촉하는 실리콘 카바이드 핀들의 이용을 통해서이다. 그러나, 이러한 핀들은 소모적이어서, 제조 환경들 내에서 자주 교체되어야 한다.
웨이퍼 상의 DC 바이어스를 검출하는 세번째 방법은, 피크간 RF 전압으로부터 웨이퍼 전압을 연산하는 신호 프로세싱 유닛 및 ESC 에서의 RF 전압 프로브를 통해서이다. 이러한 방법은, 교정된 이득 및 오프셋의 이용을 통해 ESC 에서의 RF 전압을 DC 값으로 스케일링함으로써 프로브가 플라즈마와 직접 접촉하는 일 없이 웨이퍼 DC 바이어스 전압을 검출하는 수단을 제공한다. 이러한 방법은, 다중-주파수 플라즈마들에 대한 경우가 아닌, 웨이퍼의 DC 전위 및 RF 피크간 전압에 대한 순수 선형 관계를 가정한다. 도 1 은 웨이퍼 바이어스 대 RF Vpp 의 상관 관계를 도시한 것이다. 도 1 에서, 선형 피트 (linear fit) 가 적용되는 경우, R 의 제곱값은 1 보다 상당히 작다 [예를 들어, R-sq: .90].
본 발명은 첨부 도면들에서 제한의 방식이 아닌 예시의 방식으로 예시되고, 도면에서 동일한 참조 부호는 동일한 엘리먼트를 나타낸다.
도 1 은 웨이퍼 바이어스 대 RF Vpp 의 상관 관계를 도시한 것이다.
도 2 는 웨이퍼 바이어스 대 개시된 RF 전압 전달 함수의 출력의 상관 관계를 도시한 것이고, R 의 제곱값은 1 과 상당히 가깝다.
도 3 은 Si 카바이드 핀에 의해 확인되는 플라즈마 전위와, 와이어드 웨이퍼 (wired wafer) 에 의해 측정되는 웨이퍼 전위 사이의 관계를 확인하는 테스팅을 나타낸 것이다.
도 4 는 Lam DFC2300 Flex45 플랫폼 상에서 2 ㎒, 27 ㎒, 및 60 ㎒ 를 이용하는 HARC (High Aspect Ratio Contact) 프로세스 동안 Si HER (Hot Edge Ring) 에 의해 확인되는 전압 대 Si 카바이드 핀에 의해 확인되는 전압을 도시한 것이다.
도 5a 는 3 개의 RF 생성기들에 의한 ESC 전력으로 이루어지는 RF 드라이브형 플라즈마를 갖는 시스템을 나타낸 것이다.
도 5b 는 용량성 분할기 네트워크로 이루어지는 전압 프로브가, 신호 컨디셔닝 및 신호 프로세싱 장치 뿐만 아니라 ESC 베이스 플레이트에 매우 근접하게 RF 로드 (rod) 에 부착되는, 개시된 본 발명의 일 실시형태를 예시한 것이다.
도 5c 는 디지털 신호 프로세싱 유닛을 갖는 아날로그 RF 전압 신호 컨디셔닝 네트워크의 일 실시형태이다.
도 6 내지 도 10 은 주파수 의존적 RF 전압 신호들에 기초하여 웨이퍼 전위를 연산하기 위한 고 임피던스 RF 전압 프로브를 설명한 것이다.
도 11 은 본 발명의 일 실시형태에 따른 일 예시적인 디지털 구현 실시형태의 블록도를 도시한 것이다.
이하, 본 발명은 첨부 도면들에 예시된 몇몇 실시형태들을 참조하여 상세히 기술될 것이다. 다음의 설명에서, 다수의 특정 상세들이 본 발명의 전체 이해를 제공하기 위해서 설명된다. 그러나, 본 발명이 이들 특정 상세들의 일부 또는 전부 없이 실시될 수도 있음이 당업자에게 자명하다. 다른 경우에서, 본 발명을 불필요하게 모호하게 하지 않기 위해서 널리 공지된 프로세스 단계들 및/또는 구조들은 상세히 기술되지 않는다.
방법들 및 기법들을 포함하는 다양한 실시형태들이 여기에 후술된다. 또한, 본 발명은 발명 기법의 실시형태들을 수행하기 위한 컴퓨터 판독가능 명령들이 저장된 컴퓨터 판독가능 매체를 포함하는 제조물을 커버할 수도 있음을 유념해야 한다. 컴퓨터 판독가능 매체는, 예를 들어, 반도체, 자기, 광자기, 광학 또는 컴퓨터 판독가능 코드를 저장하기 위한 다른 형태의 컴퓨터 판독가능 매체를 포함할 수도 있다. 또한, 본 발명은 본 발명의 실시형태들을 실시하기 위한 장치도 또한 커버할 수도 있다. 이러한 장치는 전용 및/또는 프로그램가능한 회로들을 포함하여, 본 발명의 실시형태들에 관한 태스크들을 수행할 수도 있다. 이러한 장치의 예들은 적절하게 프로그램되는 경우 범용 컴퓨터 및/또는 전용 컴퓨팅 디바이스를 포함하고, 본 발명의 실시형태들에 관한 다양한 태스크들에 적용된 전용/프로그램가능 회로들 및 컴퓨터/컴퓨팅 디바이스의 결합을 포함할 수도 있다.
개시된 본 발명의 실시형태들은, ESC 에서의 RF 전압을 적절하게 필터링 및 컨디셔닝하고, 웨이퍼를 바이어싱함에 있어서 다수의 RF 주파수들의 기여를 설명하는 전달 함수를 이용함으로써, 최종 사용자에게 웨이퍼 바이어스 전위를 측정하는 능력을 제공한다. 도 2 는 웨이퍼 바이어스 대 개시된 RF 전압 전달 함수의 출력의 상관 관계를 도시한 것이고, R 의 제곱값은 1 에 상당히 가깝다 [예를 들어, R-sq: .97].
본 발명은 실리콘 카바이드 핀을 이용하여 DC 바이어스 전위를 측정하는 기존 방법을 개선시키려고 시도하는 중에 도출되었다. 이러한 핀 뿐만 아니라 이러한 핀이 하우징된 석영 절연 재료의 마모율이 제조 스루풋에 대한 제한 인자인 것임을 알았다. 다중-주파수 플라즈마에서의 RF 전압과 웨이퍼 전위 사이에 비선형 관계가 존재하더라도, 플라즈마를 드라이브하는 각각의 개별 주파수의 기여에 기초하여 웨이퍼 전위를 유도하는데 전달 함수가 이용될 수 있음이 판별되었다.
도 3 에 나타낸 바와 같이, Si 카바이드 핀에 의해 확인되는 플라즈마 전위와, 와이어드 웨이퍼에 의해 측정되는 웨이퍼 전위 사이의 관계를 확인하기 위해 테스팅이 행해졌다. 그 후에, 개시된 전달 함수가, 기존 Si 카바이드 핀에 의해 확인되는 전압 신호에 상당히 상관되어 있다는 것을 보여준다.
도 4 는 (캘리포니아, 프리몬트 소재의 램 리써치 코포레이션 (Lam Research Corporation) 으로부터 입수가능한) Lam DFC2300 Flex45 플랫폼 상에서 2 ㎒, 27 ㎒, 및 60 ㎒ 를 이용하는 HARC (High Aspect Ratio Contact) 프로세스 동안 Si HER (Hot Edge Ring) 에 의해 확인되는 전압 대 Si 카바이드 핀에 의해 확인되는 전압을 도시한 것이다. Si 카바이드 핀 대 와이어드 웨이퍼의 상술된 상관 관계를 고려하면, 교환 법칙 (commutative property) 은, 플라즈마를 드라이브하는 개별 RF 전압들을 수반하는 전달 함수가 또한 웨이퍼 인터페이스에서의 플라즈마 시스 전압의 유효한 표현이라는 진술에 신뢰성을 제공한다. 하나 이상의 실시형태에서, 전달 함수들을 유도하기 위해 RF 전압에 대해 상태-공간 분석이 수행될 수도 있다. 상태-공간 모델링은 널리 공지된 것이어서 여기에서는 상세히 설명하지 않는다. 하나 이상의 실시형태에서, 공지된 과도현상 핸들링 기법들이 제공되어 강건성을 개선시킬 수도 있다.
다음의 도면들 및 설명들을 참조하여 본 발명의 실시형태들의 특징들 및 이점들이 더 양호하게 이해될 수도 있다.
일반적으로 말하면, RF 드라이브형 플라즈마 내에서 플라즈마 시스 전위의 DC 성분을 간접적으로 측정하는 능력은 ESC 에 매우 근접하게 RF 로드에 연결된 전압 프로브에 의존한다. 도 5a 는 임피던스 정합 네트워크 (506) 를 통한 3 개의 RF 생성기들 (504A, 504B, 및 504C) 에 의한 ESC (502) 전력으로 이루어지는 RF 드라이브형 플라즈마를 갖는 시스템을 나타낸 것이다. 채용된 RF 생성기들의 개수 뿐만 아니라 채용된 주파수들의 개수, 채용된 정확한 주파수들이 애플리케이션들에 따라 변화할 수도 있지만, 이들 RF 생성기들은 도시된 상이한 RF 주파수들을 제공한다. 베이스 플레이트 (508) (또는 ESC 와 연관된 또 다른 비플라즈마 노출 컴포넌트 (non-plasma exposing component)) 에 매우 근접한 (즉, RF 전압을 감지하는 것이 가능하도록 상당히 가까운) RF 로드 또는 또 다른 적합한 RF 감지 메커니즘은 RF 전압을 용량성 분할기 네트워크 (530) 에 제공한다. 용량성 분할기 네트워크 (530) 를 도 5b 와 관련하여 여기에 더 상세히 설명한다. 그 후에, 용량성 분할기 네트워크 (530) 의 출력이 신호 컨디셔닝 및 프로세싱 블록 (532) 에 제공되고, 그 신호 컨디셔닝 및 프로세싱 블록 (532) 은 도 5c 와 관련하여 여기에 더 상세히 후술된다.
도 5b 는 용량성 분할기 네트워크 (530) 를 포함하는 전압 프로브가, 신호 컨디셔닝 및 신호 프로세싱 장치에 커플링될 뿐만 아니라 ESC 베이스 플레이트에 근접하게 RF 로드 (또는 또 다른 적합한 RF 감지 메커니즘) 에 커플링되는, 개시된 본 발명의 일 예시적인 실시형태를 예시한 것이다. 도 5b 의 예에서, 커패시터 (C1) 는 커패시터 (C2) 와 직렬로 되어 있고, 그 후에 커패시터 (C2) 는 병렬 커패시터들 (C3/C4) 과 직렬로 되어 있다. 도 5b 에 도시된 바와 같이, 전압 신호가 획득된다. 용량성 분할기 전압 프로브는, 플라즈마를 드라이브하는 RF 전력을 과도하게 섭동을 일으키는 일 없이 감지되는 RF 전압에 대해 고 임피던스 경로를 제공한다.
용량성 분할기의 특정 구조는 플라즈마를 드라이브하는 RF 생성기들에 의존하고, 가장 높은 주파수 생성기가 지배적인 인자이다. 또한, 용량성 분할기는, 신호 컨디셔닝 및 신호 프로세싱 장치에 전압 신호를 반송하는 것을 담당하는 50 Ω 동축 케이블과 병렬로 접지에 대해 저 임피던스 경로를 제공함으로써 전압 신호를 상당히 감쇠시킨다. 이들 값들은 예로서 참조만을 위해 제공된 것이며 제한적이지 않다는 것에 주목한다. 도 5b 의 예에서, 입력 임피던스가 상당히 높고 [Z = 1/(ω*C), 여기서 ω = 60 ㎒ 이고 C = .5 ㎊ 이면 Z ~ 33 ㏀], 접지에 대한 임피던스는 낮다 (60 ㎒ 에서 ~31 Ω).
그 후에, 아날로그 또는 디지털 필터들의 네트워크를 통해 RF 전압 신호가 컨디셔닝된다. 도 5c 는 도 5a 의 블록 532 에서 구현되는 타입의 아날로그 RF 전압 신호 컨디셔닝 네트워크의 일 예시적인 실시형태이다. 아날로그 RF 전압 신호 컨디셔닝 네트워크의 입력에서는, 신호가 복수의 개별 채널들 (도 5c 의 예에서는 4개) 로 나뉘어서, 플라즈마를 드라이브하는 것을 담당하는 특정 RF 주파수들의 RF 필터링을 허용한다. 이러한 실시형태에서, RF 생성기 주파수들은 2 ㎒, 27 ㎒, 및 60 ㎒ 이다. 하나의 채널은 필터링되지 않고, 광대역 전압 주파수 스펙트럼을 유지한다. 이러한 실시형태에서, 패시브 피크 검출기 [예를 들어, 1N5711 다이오드] 를 통해 4 개의 채널들이 DC 신호로 변환되지만, 액티브 피크 검출기가 또한 이용될 수 있다.
그 후에, DC 신호들이 디지털 신호들로 변환되어 디지털 신호 프로세서에 의해 프로세싱되고, 개별 신호들이 교정되어 웨이퍼 바이어스 전달 함수에 입력된다. 그 후에, 전달 함수의 출력이 바이어스 보상 네트워크에 피드백된다. 개시된 아날로그 신호 컨디셔닝 네트워크가 전적으로 디지털 도메인 내에서 달성될 수 있다는 것에 주목해야 한다. 이 경우, 신호 스플리터 또는 아날로그 필터들, 단순하게는 아날로그 디지털 컨버터 (ADC) 및 신호 프로세싱 유닛 (DSP, FPGA, CPLD 등) 에 대한 필요성이 존재하지 않고, 이러한 신호 프로세싱 유닛은 필터링, 피크 검출, 교정, 및 전달 함수 연산 모두를 담당한다.
하나 이상의 실시형태에서, 이득 및 오프셋을 각각의 채널에 제공함으로써 각각의 전압 채널의 교정이 수행된다. 각각의 채널 (도 5a 내지 도 5c 의 예에서는 2 ㎒, 27 ㎒, 60 ㎒ 및 광대역 미필터링된 전압들) 에 대한 이득 및 오프셋이 소정 채널의 신호 응답 및 웨이퍼 바이어스에 대한 그의 상관 관계에 의해 결정된다. 필터링된 채널들의 경우, 이것은 이러한 고유의 단일 주파수에 대해 웨이퍼 바이어스에 대한 소정의 필터링된 채널 응답을 특성화함으로써 달성된다. 예를 들어, 2 ㎒ 채널의 응답은 2 ㎒ 만으로 드라이브되는 플라즈마에 대한 웨이퍼 바이어스와 상관되고, 후속의 이득 및 오프셋은 이러한 채널을 교정하는데 이용된다. 이것은 27 ㎒ 및 60 ㎒ 에 대해 반복된다. 3 개의 주파수들 모두에 의해 드라이브되는 플라즈마에 대한 웨이퍼 바이어스와 각각의 후속 변화량을 상관시킴으로써 (오직 2 ㎒ 만, 2 ㎒ 와 27 ㎒, 오직 60 ㎒ 만, 27 ㎒ 와 60 ㎒ 등 …) 광대역 신호가 교정된다.
또한, 교정에 대한 중요한 인자는 용량성 분할기 전압 프로브 (530) 의 응답이다. 용량성 분할기는 각각의 주파수에 대해 고유의 신호 응답을 가지려는 경향이 있다. 제안된 솔루션으로서, 용량성 분할기 전압 센서의 응답을 특성화하는데 네트워크 분석기가 이용될 수 있고, 그 후에, 상술된 교정 계수들을 이에 따라 변경시키는데 이러한 응답이 이용될 수 있다. 이것은 정확하고 반복가능한 기구들을 제조함에 있어서 중요한 컴포넌트이다.
웨이퍼 바이어스를 연산하기 위한 전달 함수는 4 개의 입력들, 즉, 2 ㎒, 27 ㎒, 및 60 ㎒ 에 대한 교정된 DC 전압 신호들 뿐만 아니라 미필터링된 광대역 신호로 이루어져 있다. 그 후에, 전압 신호들이 계수들의 세트로 승산된다. 각각의 계수는 플라즈마를 드라이브하는 소정의 주파수 혼합의 함수이다. 예를 들어, 웨이퍼 바이어스와 4 개의 전압 신호들 (2 ㎒, 27 ㎒, 60 ㎒, 및 광대역) 로 이루어진 매트릭스의 주성분 분석 (principal component analysis; PCA) 을 통해 계수들이 유도된다. 계수 승산의 개별 결과들이 그 후에 합산되어, 웨이퍼 바이어스 값을 산출한다. 계수들을 최적화하여 전달 함수 결과를 최적화하는데 조건문들 및 가중 인수들이 또한 이용될 수 있다.
도 6 내지 도 10 은 주파수 의존적 RF 전압 신호들에 기초하여 웨이퍼 전위를 연산하기 위한 고 임피던스 RF 전압 프로브를 추가 설명한 것이다. 도 6 에서는, 선형 전달 함수가 생성되고, 예시적인 흐름도가 이러한 선형 전달 함수가 어떻게 채용되어 웨이퍼 바이어스 값을 산출할 수도 있는지를 예시하고 있다. 도 7 은 웨이퍼 바이어스 값을 산출하기 위한 비선형 전달 함수의 이용을 예시한 흐름도이다. 대부분이 아닌 경우의 일부 상황들에서는, 비선형 전달 함수들이 RF 전압으로부터 웨이퍼 바이어스 값을 더 양호하게 근사시키는 것이 가능한 것으로 생각된다. 도 8, 도 9 및 도 10 은 각각의 접근법 (선형 대 비선형) 의 이점들을 추가 설명한 것이다. 양쪽의 접근법들은, 특히 다중-주파수 애플리케이션들에서, 본 발명의 실시형태들에 따라, 감지된 RF 전압을 웨이퍼 바이어스 전압과 상관시키는 방법을 제공한다. 그 후에, 웨이퍼 바이어스 전압은 ESC 전원 및/또는 바이어스 보상 네트워크 및/또는 플라즈마 프로세싱 시스템에서의 다른 서브시스템들에 대한 피드백 또는 제어 신호로서 이용될 수도 있다.
또 다른 실시형태에서, 일부의 높은 애스팩트비 에칭들과 같은 프로세싱 애플리케이션들을 요구함으로써 필요한 더 넓은 RF 전력 동적 범위를 수용하기 위해 그리고 설정성 (configurability) 을 개선시키기 위해 ESC RF 신호가 디지털 도메인에서 프로세싱될 수도 있다. 도 11 은 일 예시적인 디지털 구현 실시형태의 블록도를 도시한 것이다. 예를 들어, 도 5a 의 용량성 분할기 네트워크 (530) 와 같은 용량성 픽업으로부터 블록 1102 에서의 입력 ESC RF 신호가 획득될 수도 있다. 도 11 의 예에서, 입력 RF 신호의 범위가 약 400 ㎑, 2 ㎒, 13 ㎒, 27 ㎒, 및 60 ㎒ 에서의 기본 주파수들을 갖는, 약 350 ㎑ 에서 120 ㎒ 까지로 정해지지만, 이들 예시적인 값들 뿐만 아니라 포함된 주파수들의 개수가 본 발명의 범위를 제한하는 것은 아니다.
그 후에, 블록 1102 로부터의 아날로그 입력 RF 신호를 디지털 신호로 변환하도록 추후에 채용되는 아날로그 디지털 컨버터 (ADC) 의 샘플링 레이트의 약 1/2 에서 설정된 필터 주파수를 갖는 안티 알리아싱 로우 패스 필터 (anti-aliasing low-pass filter; 1104) 를 이용하여 입력 RF 신호가 필터링된다. 도 11 의 예의 경우, ADC 샘플링 레이트가 250 ㎒ 이어서, 안티 알리아싱 필터는, (나이키스트의 이론에 따른 만족스러운 신호 분해능을 위해) ADC 샘플링 레이트의 1/2 보다 작은 약 120 ㎒ 에서 설정된다.
그 후에, 안티 알리아싱 필터 (1104) 로부터의 필터링된 RF 신호가 RF 스플리터 (1106) 에 의해 (적절한 로우 패스 필터 및 하이 패스 필터를 이용하는) 2 개의 채널로 나뉘어져서 듀얼 채널 ADC (1112) 에 공급된다. RF 분할은 신호 분해능을 개선시키는 것에 대해 옵션적이고, 듀얼 채널 ADC 의 이용을 도모하기 위한 최적화로서 수행된다. 그러나, 원한다면, 단일 채널 ADC 를 채용하여 안티 알리아싱 필터 (1104) 로부터의 필터링된 RF 신호를 단일 채널 ADC 로 공급하는 것이 가능하다.
따라서, 도 5c 의 아날로그 접근법과 대조를 이루어, 아날로그 입력 RF 신호가 아날로그 도메인에서 나뉘어지고/나뉘어지거나 아날로그 도메인에서 피크 검출될 필요는 없다. 오히려, (안티 알리아싱 필터를 통한 적절한 필터링 이후에) ADC 컨버터 (1112) 에 의해 RF 신호가 디지털 도메인으로 변환된다. 이것은, 아날로그 피크 검출 방식의 제한들이 예상되지 않기 때문에 설정성과 동적 범위 응답 양쪽 모두를 개선시킨다. 상세하게는, 디지털 접근법은 아날로그 피크 검출 방식에서의 정류용 다이오드의 비선형 응답에 의해 부과되는 제한을 극복한다. 아날로그 피크 검출 방식의 또 다른 제한 요인은 다이오드 출력이 입력 전압 RMS 의 기능부, 특히, 전력 검출기로 되는 경향이 있다는 점이다. 이러한 특징은, RMS 신호의 함수로서, 평균화된 RF 전압 피크보다는 실제 RF 피크 진폭을 분석하는 아날로그 검출기들의 능력을 또한 제한한다. 디지털 접근법은 이들 이슈들 및 다른 이슈들 양쪽 모두를 방지한다.
FPGA (field programmable gate array; 1120) 에 의해 도 11 에 나타낸 로직에 의해 디지털 프로세싱이 수행된다. FPGA 가 본 예에서 부분적으로 채용되어 다수의 채널들에 대한 FPGA 의 병렬 프로세싱 능력을 이용하도록 하지만, 마이크로프로세서들, 마이크로제어기들, 전용 하드웨어 회로, 디지털 신호 프로세서들 등을 포함하는 다른 형태의 로직이 또한 채용될 수도 있다.
구현들 중 하나는 디지털 프로세싱 블록 1120 으로의 독립적인 입력들로서 RF 생성기들 (1122) 로부터의 RF 신호들의 이용에 관한 것이다. RF 생성기들로부터의 RF 신호 각각에 대한 상태 (온/오프), 기본 주파수, 및 구동 신호 위상을 포함할 수도 있는, 독립적으로 입력된 RF 신호 데이터는, 블록 1120 에서 기본 톤들을 추출하여 (밴드 패스 필터들과 같은) 디지털 필터들을 튜닝하는데 이용될 수도 있다. 임의의 소정 주파수에서의 전압을 더 정확하게 연산하기 위해 다양한 주파수들의 ESC RF 신호들 간의 변조 영향들을 분석함에 있어서 위상 데이터가 특히 유용하다.
부연하기 위해, 위상 신호는 (액티브 비선형 로드로서의) 플라즈마 역학 (plasma dynamics) 의 함수인 RF 신호들의 복조를 허용한다. 플라즈마 시스들의 팽창/수축 및 가스들의 결합/분리의 레이트들 뿐만 아니라 RF 소스(들) 의 주파수의 함수로서의 톤들을 생성하는 플라즈마 챔버를 RF 믹서로서 간주하는 것은 흔히 있는 일이다. 플라즈마를 공급받고/받거나 바이어싱하는 RF 생성기 각각에 대한 구동 신호의 위상을 이용함으로써, RF 생성기들의 펄싱 (pulsing) 과 같은 가장 동적인 플라즈마 조건에서도, 기본 RF 톤 각각을 더 정확하게 분석할 수 있다.
대안적으로 또는 부가적으로, RF 생성기들로부터의 RF 신호들로부터 추출된 기본 톤들을 이용하는 이들 디지털 필터들의 튜닝은, 대략 기본 주파수들로 매우 정밀하고 엄밀하게 조정된 필터들의 구성을 가능하게 하여, 디지털 프로세싱 블록 1120 에 의한 신호 분해능의 정확도를 매우 개선시킨다.
디지털 프로세싱 블록 1120 으로부터의 출력들은 합성 광대역 신호와 기본 주파수 각각에 대한 피크 전압 (Vpeak) 을 포함한다. 피크 검출은 포지티브 피크, 네거티브 피크, 또는 양쪽 모두일 수 있다. 합성 신호의 경우, 각각의 RF 소스의 고조파의 함수로서의 파형에 비대칭이 종종 있기 때문에, 네거티브 피크 정보가 프로세싱 페이즈 동안 특히 유용하다. 따라서, 포지티브 피크와 네거티브 피크 양쪽 모두를 검출함으로써, 플라즈마에서의 고조파의 상대적 메트릭을 분석할 수 있다. 그 후에, 소정의 상태 공간에 대한 웨이퍼 바이어스 전위 전달 함수를 더 최적화하기 위해 이러한 고조파 메트릭이 이용될 수 있다.
설명한 바와 같이, 디지털 접근법의 이점은 설정성의 용이함이다. 예를 들어, 상이한 RF 주파수들 및/또는 부가적인 RF 주파수들 및/또는 보다 소수의 RF 주파수들이 채용되는 경우, 하드웨어가 변경될 필요는 없다. 동일한 프로그래밍가능 로직 상에 디지털 필터들, 전달 함수들, 및 다른 최적화들이 재프로그래밍될 수도 있어서, 애플리케이션들이 변경될 때 상당한 양의 시간 및 비용을 절약할 수 있다.
본 발명의 또 다른 양태는 ESC RF 전압과 웨이퍼 바이어스 전위 사이의 상관 관계를 개선시키기 위한 부가적인 플라즈마 챔버 파라미터들의 이용에 관한 것이다. 이들 부가적인 챔버 파라미터들은 챔버 압력, 챔버 갭 (전극들 사이의 거리), RF 전달 전력, RF 주파수, RF 생성기 임피던스 정합 네트워크 탭 위치들 (가변 LC 네트워크의 커패시터 위치들), 챔버 화학물질, 챔버 토폴로지 (즉, 접지 면적비), 웨이퍼 타입 (이면 필름, 기판 필름 스택), 및 웨이퍼 저항률을 포함한다. 본 설명에서는, 개수 및 정확한 파라미터들이 애플리케이션들에 따라 변화할 수도 있지만, 이해를 용이하게 하기 위해 2 개의 특정 챔버 파라미터들 (압력 및 갭 거리) 을 설명한다.
본 발명의 또 다른 양태에서, 여기서의 본 발명자는, 상이한 챔버 압력들이 수반되는 경우 RF 전압과 웨이퍼 바이어스 전압 사이의 상관 관계 (예를 들어, 추세선의 기울기에서의 이득) 에 현저한 변화가 있다는 것에 주목한다. 챔버 압력을 고려함으로써, 웨이퍼 바이어스 전압의 더 정확한 온 더 플라이 결정 (on-the-fly determination) 이 ESC RF 전압으로부터 얻어질 수도 있다. 예를 들어, 제조 설정에서의 추후의 이용을 위해 (예를 들어, 상이한 챔버 압력들에서 웨이퍼 바이어스 전압에 대해 RF 전압을 매핑시켜서 상관 관계 변화를 결정함으로써) 보상 함수 또는 방정식 또는 스케일링 인자가 연구 설정에서 경험적으로 결정될 수도 있다. 일 실시형태에서, 압력 불가지론적 전달 함수 출력을 스케일링하기 위한 스케일링 인자로서 챔버 압력 정보가 채용될 수도 있다. 부가적으로 또는 대안적으로, 전달 함수로의 소정의 입력을 위한 압력 특정 출력을 획득하기 위해 전달 함수로의 또 다른 입력으로서 챔버 압력이 채용될 수도 있다.
본 발명의 또 다른 양태에서, 여기서의 본 발명자는, 상부 전극과 하부 전극 사이의 상이한 챔버 갭들이 수반되는 경우 ESC RF 전압과 웨이퍼 바이어스 전압 사이의 상관 관계 (예를 들어, 추세선의 기울기에서의 이득) 에 현저한 변화가 있다는 것에 주목한다. 전극 갭 데이터를 고려함으로써, 웨이퍼 바이어스 전압의 더 정확한 온 더 플라이 결정이 ESC RF 전압으로부터 얻어질 수도 있다. 예를 들어, 제조 설정에서의 추후의 이용을 위해 (예를 들어, 상이한 챔버 갭들에서 웨이퍼 바이어스 전압에 대해 RF 전압을 매핑시켜서 상관 관계 변화를 결정함으로써) 보상 함수 또는 방정식 또는 스케일링 인자가 연구 설정에서 경험적으로 결정될 수도 있다. 일 실시형태에서, 압력 불가지론적 전달 함수 출력을 스케일링하기 위한 스케일링 인자로서 챔버 갭 정보가 채용될 수도 있다. 부가적으로 또는 대안적으로, 전달 함수로의 소정의 입력을 위한 압력 특정 출력을 획득하기 위해 전달 함수로의 또 다른 입력으로서 챔버 갭이 채용될 수도 있다. 챔버 갭 정보를 이용한 이러한 최적화가 챔버 압력 정보의 이용과 관련하여 대안적으로 또는 부가적으로 채용될 수도 있다. 유사한 고려사항들이 전달 함수의 추가의 최적화를 위해 채용되는 경우 다른 챔버 데이터에 적용된다.
도 11 을 다시 참조하면, 프로세스 모듈 (1150) 로부터 적절한 통신 인터페이스 (1152) 를 통해 부가적인 챔버 데이터가 수신될 수도 있다. 도 11 의 예에서, 챔버 데이터를 이용하는 최적화 및 전달 함수의 실제 애플리케이션은, 블록 1120 으로부터 수신된 피크 전압 (포지티브 및 네거티브) 정보 및 블록 1150 으로부터 수신된 챔버 데이터 정보를 이용하여 DSP 블록 1154 에서 수행된다. 그러나, 블록 1120 에서 이러한 전달 함수 애플리케이션 및 최적화를 수행하는 것이 또한 가능하고, 그 경우 블록 1120 은 예를 들어 챔버 데이터를 프로세스 모듈 (1150) 로부터 직접 획득한다. DSP 블록 1154 의 출력은 연산된 웨이퍼 전위를 나타내고, DAC (1156) 을 통해 아날로그 도메인으로 다시 변환되어 ESC 전원 유닛 (1160) 및/또는 RF 생성기 (1162) 에 대한 피드백 또는 제어 신호로서 기능한다. 예를 들어, (여기에 설명된 바와 같이 RF 전압의 함수로서 연산되는) 연산된 웨이퍼 바이어스 전위의 정확도 및 정밀도가 증가됨에 따라, 이러한 연산된 값을 RF 생성기 전달 전력에 대한 제어 신호로서 이용하여 소정의 웨이퍼 프로세스 단계 동안 원하는 웨이퍼 전위를 유지시킬 수 있다.
(도 5c 에 따라서) 아날로그 분할 및 피크 검출을 수행하고, 설명한 방식으로 부가적인 챔버 파라미터들을 이용한 부가적인 최적화를 제공하여 연산된 웨이퍼 바이어스 전위를 획득하는 것이 가능하다는 것에 주목한다. 대안적으로, 도 11 과 관련하여 설명된 바와 같이 입력 RF 신호가 디지털 도메인으로 직접 변환된 후에, 디지털 도메인에서 프로세싱되고 최적화되어 연산된 웨이퍼 바이어스 전위를 획득할 수도 있다.
디지털 도메인에서의 ESC RF 신호를 프로세싱하고/하거나 독립적으로 입력된 RF 생성기 신호들을 채용하고/하거나 상관 관계 최적화를 위한 부가적인 챔버 파라미터들을 채용함으로써, 본 발명의 실시형태들은 ESC RF 전압으로부터 더 정확한 연산된 웨이퍼 바이어스 전압이 획득될 수 있게 한다. 결국, 더 정확하게 획득된 웨이퍼 바이어스 전압은, 플라즈마 활성도 (plasma health) 를 모니터링하고 플라즈마 비한정과 같은 바람직하지 않은 플라즈마 조건들을 검출하는 등의 능력을 개선시킨다.
본 발명은 여러 바람직한 실시형태들의 관점에서 기술되었으나, 본 발명의 범위 내에 있는 변경물, 치환물, 및 등가물이 존재한다. 예를 들어, 웨이퍼 바이어스 전위를 연산하기 위해 채용된 RF 전압이 ESC 또는 ESC 베이스 플레이트로부터 또는 ESC 어셈블리의 또 다른 컴포넌트 또는 ESC 의 부근 가까이에서 취득된 것으로 여기에 설명되어 있지만, 사실상 RF 전압이 RF 전달 경로에서의 임의의 지점에서 측정되어 웨이퍼 바이어스 계산 목적을 위해 채용될 수도 있다. 따라서, "RF 전압" 과 "ESC RF 전압" 및 "ESC RF 신호" 등의 용어는 이 예들에서의 기술적 이용에 의해 제한적인 것으로 해석되지 않아야 한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 다른 방법들이 존재한다는 것에 주목해야 한다. 다양한 예들이 여기에 제공되지만, 이들 예들은 본 발명에 대해 제한적이지 않고 예시적인 것으로 의도된다.

Claims (18)

  1. 플라즈마 프로세싱 시스템을 제어하는 방법으로서,
    챔버의 적어도 하나의 컴포넌트로부터 RF 신호를 수신하는 단계;
    상기 RF 신호의 광대역 주파수 및 기본 주파수 각각에 대한 피크 전압 정보를 획득하기 위해 상기 RF 신호를 디지털 도메인에서 프로세싱하는 단계로서, 상기 프로세싱하는 단계는 상기 RF 신호를 상기 광대역 주파수 및 상기 기본 주파수 각각에 대응하는 DC 신호들로 컨버팅하는 단계를 포함하는, 상기 프로세싱하는 단계; 및
    상기 피크 전압 정보로부터 웨이퍼 바이어스 정보를 유도하는 단계를 포함하고,
    상기 웨이퍼 바이어스 정보는, 상기 플라즈마 프로세싱 시스템의 상기 제어를 위해 피드백과 제어 신호 중 하나로서 채용되는, 플라즈마 프로세싱 시스템을 제어하는 방법.
  2. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 시스템의 상기 제어는 미리결정된 웨이퍼 바이어스 전위를 유지시키는 것을 포함하는, 플라즈마 프로세싱 시스템을 제어하는 방법.
  3. 제 2 항에 있어서,
    상기 RF 신호는 용량성 픽업 컴포넌트를 통해 수신되는, 플라즈마 프로세싱 시스템을 제어하는 방법.
  4. 제 2 항에 있어서,
    상기 유도하는 단계는, 적어도 플라즈마 프로세스 파라미터 데이터를 이용하는, 플라즈마 프로세싱 시스템을 제어하는 방법.
  5. 제 4 항에 있어서,
    상기 플라즈마 프로세스 파라미터 데이터는 챔버 압력, 챔버 갭, RF 전달 전력, RF 주파수, RF 생성기 임피던스 정합 네트워크 탭 위치들, 챔버 화학물질, 챔버 토폴로지, 및 웨이퍼 저항률 중 적어도 하나를 포함하는, 플라즈마 프로세싱 시스템을 제어하는 방법.
  6. 제 5 항에 있어서,
    상기 프로세싱하는 단계는, 독립적인 입력들로서 RF 생성기들로부터의 RF 신호들을 이용하는, 플라즈마 프로세싱 시스템을 제어하는 방법.
  7. 제 6 항에 있어서,
    상기 프로세싱하는 단계는, 상기 프로세싱을 위해 채용된 디지털 필터들의 튜닝을 수행하기 위해 독립적인 입력들로서 상기 RF 생성기들로부터의 상기 RF 신호들을 채용하는 단계를 포함하는, 플라즈마 프로세싱 시스템을 제어하는 방법.
  8. 제 6 항에 있어서,
    독립적인 입력들로서 상기 RF 생성기들로부터의 상기 RF 신호들은, 상기 RF 신호에 대한 기본 주파수와 위상 중 적어도 하나를 포함하는, 플라즈마 프로세싱 시스템을 제어하는 방법.
  9. 제 4 항에 있어서,
    상기 프로세싱하는 단계는, 안티 알리아싱 로우 패스 필터 (anti-aliasing low-pass filter) 를 이용하여 상기 RF 신호를 필터링하는 단계를 포함하는, 플라즈마 프로세싱 시스템을 제어하는 방법.
  10. 제 9 항에 있어서,
    상기 프로세싱하는 단계는, 상기 필터링하는 단계 이후에 상기 RF 신호에 대한 아날로그 디지털 변환을 수행하는 단계를 더 포함하는, 플라즈마 프로세싱 시스템을 제어하는 방법.
  11. 제 10 항에 있어서,
    상기 알리아싱 로우 패스 필터의 필터 주파수는, 상기 아날로그 디지털 변환을 위해 채용된 아날로그 디지털 컨버터의 샘플링 주파수의 절반보다 작은, 플라즈마 프로세싱 시스템을 제어하는 방법.
  12. 적어도 하나의 챔버, 및 상기 챔버의 적어도 하나의 컴포넌트로부터 획득되는 RF 신호로부터 웨이퍼 바이어스 정보를 유도하는 장치를 갖는 플라즈마 프로세싱 시스템으로서,
    상기 RF 신호를 필터링하기 위한 안티 알리아싱 로우 패스 필터;
    상기 필터링 이후에 상기 RF 신호에 대한 아날로그 디지털 변환을 수행하기 위한 아날로그 디지털 컨버터;
    상기 RF 신호의 광대역 주파수 및 기본 주파수 각각에 대한 피크 전압 정보를 획득하기 위해 상기 변환 이후에 상기 RF 신호를 디지털 도메인에서 프로세싱을 위한 로직으로서, 상기 프로세싱은 상기 RF 신호를 상기 광대역 주파수 및 상기 기본 주파수 각각에 대한 대응하는 DC 신호들로 컨버팅하는 것을 포함하는, 상기 프로세싱을 위한 상기 로직; 및
    상기 피크 전압 정보로부터 웨이퍼 바이어스 정보를 유도하기 위한 로직을 포함하고,
    상기 웨이퍼 바이어스 정보는, 상기 플라즈마 프로세싱 시스템의 제어를 위해 피드백과 제어 신호 중 하나로서 채용되는, 플라즈마 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 필터링 이전에 상기 RF 신호를 수신하기 위한 용량성 픽업 컴포넌트를 더 포함하는, 플라즈마 프로세싱 시스템.
  14. 제 12 항에 있어서,
    상기 유도하기 위한 상기 로직은, 상기 웨이퍼 바이어스 정보를 연산함에 있어서 적어도 플라즈마 프로세스 파라미터 데이터를 이용하는, 플라즈마 프로세싱 시스템.
  15. 제 14 항에 있어서,
    상기 플라즈마 프로세스 파라미터 데이터는 챔버 압력, 챔버 갭, RF 전달 전력, RF 주파수, RF 생성기 임피던스 정합 네트워크 탭 위치들, 챔버 화학물질, 챔버 토폴로지, 및 웨이퍼 저항률 중 적어도 하나를 포함하는, 플라즈마 프로세싱 시스템.
  16. 제 14 항에 있어서,
    상기 프로세싱을 위한 상기 로직은, 상기 피크 전압 정보 및 상기 광대역 주파수를 연산함에 있어서, 독립적인 입력들로서 RF 생성기들로부터의 RF 신호들을 이용하는, 플라즈마 프로세싱 시스템.
  17. 제 16 항에 있어서,
    상기 프로세싱을 위한 상기 로직은, 상기 프로세싱을 위해 채용된 디지털 필터들의 튜닝을 수행하기 위해 독립적인 입력들로서 상기 RF 생성기들로부터의 상기 RF 신호들을 채용하는, 플라즈마 프로세싱 시스템.
  18. 제 14 항에 있어서,
    독립적인 입력들로서 RF 생성기들로부터의 RF 신호들은, 상기 RF 신호에 대한 기본 주파수와 위상 중 적어도 하나를 포함하는, 플라즈마 프로세싱 시스템.
KR1020127014754A 2010-12-07 2011-12-06 Rf 전압에 기초한 플라즈마 프로세싱 시스템 제어 KR101858265B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/962,524 US8501631B2 (en) 2009-11-19 2010-12-07 Plasma processing system control based on RF voltage
US12/962,524 2010-12-07
PCT/US2011/063422 WO2012078569A1 (en) 2010-12-07 2011-12-06 Plasma processing system control based on rf voltage

Publications (2)

Publication Number Publication Date
KR20130137070A KR20130137070A (ko) 2013-12-16
KR101858265B1 true KR101858265B1 (ko) 2018-05-15

Family

ID=46207474

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127014754A KR101858265B1 (ko) 2010-12-07 2011-12-06 Rf 전압에 기초한 플라즈마 프로세싱 시스템 제어

Country Status (6)

Country Link
US (4) US8501631B2 (ko)
JP (1) JP6104813B2 (ko)
KR (1) KR101858265B1 (ko)
CN (1) CN102652266B (ko)
TW (3) TWI541854B (ko)
WO (1) WO2012078569A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892145B2 (en) 2018-11-22 2021-01-12 Samsung Electronics Co., Ltd. Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device using the same

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US10325759B2 (en) * 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9530620B2 (en) * 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103869769B (zh) * 2012-12-14 2017-04-12 朗姆研究公司 用于统计数据抽取的统计计算
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) * 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9107284B2 (en) * 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
TWI647735B (zh) * 2013-03-15 2019-01-11 美商蘭姆研究公司 使用模型化以建立與電漿系統相關的離子能量
US10469108B2 (en) * 2013-05-09 2019-11-05 Lam Research Corporation Systems and methods for using computer-generated models to reduce reflected power towards a high frequency RF generator during a cycle of operations of a low frequency RF generator
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
KR102306695B1 (ko) * 2014-03-14 2021-09-28 어플라이드 머티어리얼스, 인코포레이티드 스마트 챔버 및 스마트 챔버 컴포넌트들
CN104934340B (zh) * 2014-03-21 2017-10-20 北京北方华创微电子装备有限公司 一种射频传感器及阻抗匹配装置
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
US9741543B2 (en) 2014-07-21 2017-08-22 Lam Research Corporation Multi-range voltage sensor and method for a voltage controlled interface of a plasma processing system
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
US9652567B2 (en) * 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
DE102015212242A1 (de) * 2015-06-30 2017-01-05 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Abtasten eines mit einem Plasmaprozess in Beziehung stehenden Signalgemischs
US10297422B2 (en) * 2015-11-04 2019-05-21 Lam Research Corporation Systems and methods for calibrating conversion models and performing position conversions of variable capacitors in match networks of plasma processing systems
JP6510679B2 (ja) * 2016-01-22 2019-05-08 Sppテクノロジーズ株式会社 プラズマ制御装置
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CA3007013C (en) 2016-06-17 2020-10-27 Uop Llc Processes for producing a burner fuel from a biomass feed
US9805919B1 (en) * 2017-01-13 2017-10-31 Lam Research Corporation RF detector with double balanced linear mixer and corresponding method of operation
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
KR102361377B1 (ko) * 2017-06-27 2022-02-10 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
WO2019003309A1 (ja) 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
TWI693860B (zh) * 2017-06-27 2020-05-11 日商佳能安內華股份有限公司 電漿處理裝置
PL3648550T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
US10636630B2 (en) * 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
US11664206B2 (en) * 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
US11538713B2 (en) 2017-12-05 2022-12-27 Lam Research Corporation System and method for edge ring wear compensation
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
WO2020003557A1 (ja) 2018-06-26 2020-01-02 キヤノンアネルバ株式会社 プラズマ処理装置、プラズマ処理方法、プログラムおよびメモリ媒体
CN108712813B (zh) * 2018-09-13 2019-01-04 中微半导体设备(上海)有限公司 一种可切换匹配网络及电感耦合等离子处理器
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11587773B2 (en) 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
KR20220053547A (ko) 2019-08-28 2022-04-29 코멧 테크놀로지스 유에스에이, 인크. 고전력 저주파 코일들
US11521832B2 (en) * 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
TWI794845B (zh) * 2020-06-19 2023-03-01 中國大陸商拓荆科技股份有限公司 一種射頻系統狀態受控的半導體設備
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
CN112345814A (zh) * 2020-10-30 2021-02-09 北京北方华创微电子装备有限公司 直流偏压检测方法、装置、治具以及下电极系统
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN116981744A (zh) 2021-03-16 2023-10-31 东洋纺Mc株式会社 粘接剂组合物及含有其的粘接片材、层叠体和印刷线路板
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
WO2023167887A1 (en) * 2022-03-03 2023-09-07 Advanced Energy Industries, Inc. Adaptive predictive control system
US11990324B2 (en) * 2022-03-03 2024-05-21 Advanced Energy Industries, Inc. Adaptive predictive control system
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US12020902B2 (en) 2022-07-14 2024-06-25 Tokyo Electron Limited Plasma processing with broadband RF waveforms
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040135590A1 (en) * 2001-03-16 2004-07-15 Quon Bill H. Impedance monitoring system and method
US20100039747A1 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) * 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
JP3116762B2 (ja) * 1995-01-27 2000-12-11 住友金属工業株式会社 プラズマエッチング装置
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
EP1098359A4 (en) 1998-06-02 2003-11-19 Nikon Corp SCANNING ALIGNMENT MEMBER, MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6424232B1 (en) * 1999-11-30 2002-07-23 Advanced Energy's Voorhees Operations Method and apparatus for matching a variable load impedance with an RF power generator impedance
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) * 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
US6522121B2 (en) * 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
JP2002343774A (ja) * 2001-05-18 2002-11-29 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) * 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
AU2002354459A1 (en) 2001-12-10 2003-07-09 Tokyo Electron Limited High-frequency power source and its control method, and plasma processor
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
WO2004003969A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US7199327B2 (en) * 2002-06-28 2007-04-03 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6664166B1 (en) * 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
JP4359521B2 (ja) * 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
US20060066248A1 (en) * 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US6972524B1 (en) * 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) * 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
JP5116667B2 (ja) 2005-06-10 2013-01-09 バード テクノロジーズ グループ インク. 半導体プラズマ発生システムにおける電力潮流を解析するシステムと方法
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
WO2008024392A2 (en) * 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7626544B2 (en) * 2006-10-17 2009-12-01 Ut-Battelle, Llc Robust low-frequency spread-spectrum navigation system
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) * 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8073646B2 (en) * 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
US8241457B2 (en) * 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
JP5026916B2 (ja) * 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
EP2599506B1 (en) 2007-11-06 2018-07-11 Creo Medical Limited Applicator for microwave plasma sterilisation
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
WO2009140371A2 (en) 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
AR073128A1 (es) * 2008-08-26 2010-10-13 Spx Corp Modulo de osciloscopio digital
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
JP2012503342A (ja) 2008-09-22 2012-02-02 アプライド マテリアルズ インコーポレイテッド 高アスペクト比構造のエッチングに適したエッチングリアクタ
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8368308B2 (en) 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) * 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20120160806A1 (en) * 2009-08-21 2012-06-28 Godyak Valery A Inductive plasma source
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
TWI531023B (zh) 2009-11-19 2016-04-21 蘭姆研究公司 電漿處理系統之控制方法及設備
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
SG11201503321XA (en) 2012-10-30 2015-05-28 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040135590A1 (en) * 2001-03-16 2004-07-15 Quon Bill H. Impedance monitoring system and method
US20100039747A1 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892145B2 (en) 2018-11-22 2021-01-12 Samsung Electronics Co., Ltd. Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device using the same

Also Published As

Publication number Publication date
US9128473B2 (en) 2015-09-08
US20130345847A1 (en) 2013-12-26
US20150332894A1 (en) 2015-11-19
CN102652266B (zh) 2015-10-21
TW201737300A (zh) 2017-10-16
US20110137446A1 (en) 2011-06-09
US20160351375A1 (en) 2016-12-01
CN102652266A (zh) 2012-08-29
JP6104813B2 (ja) 2017-03-29
KR20130137070A (ko) 2013-12-16
TWI541854B (zh) 2016-07-11
WO2012078569A1 (en) 2012-06-14
US9455126B2 (en) 2016-09-27
US8501631B2 (en) 2013-08-06
US9911577B2 (en) 2018-03-06
TWI603369B (zh) 2017-10-21
TW201237923A (en) 2012-09-16
JP2014502027A (ja) 2014-01-23
TW201637069A (zh) 2016-10-16

Similar Documents

Publication Publication Date Title
KR101858265B1 (ko) Rf 전압에 기초한 플라즈마 프로세싱 시스템 제어
KR101888324B1 (ko) 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치
US20060170367A1 (en) Method of detecting RF power delivered to a load and complex impedance of the load
US20170004955A1 (en) System for Instantaneous Radiofrequency Power Measurement and Associated Methods
WO2002075332A1 (en) Impedance monitoring system and method
JPH1041097A (ja) プラズマ装置およびrf電力波の電流と電圧の振幅と相対位相の情報誘導の方法
KR20040072249A (ko) 교류 임피던스 측정기술을 이용한 포터블용 배터리팩비파괴 평가 장치와 이를 이용한 다채널 측정 시스템 및방법
US20040116080A1 (en) Time resolved RF plasma impedance meter
US20090015267A1 (en) System and Method for Distortion Analysis
KR101459518B1 (ko) 플라즈마 처리 장비 및 이를 이용한 플라즈마 변수 측정 방법
TWI409467B (zh) 均方根(rms)測量裝置及方法
KR20110034746A (ko) 플라즈마 진단 장치, 및 그 신호 처리 방법
US20230335382A1 (en) Non-invasive measurement of plasma systems

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant