JP6104813B2 - プラズマ処理システムおよびこれを制御する方法 - Google Patents

プラズマ処理システムおよびこれを制御する方法 Download PDF

Info

Publication number
JP6104813B2
JP6104813B2 JP2013543247A JP2013543247A JP6104813B2 JP 6104813 B2 JP6104813 B2 JP 6104813B2 JP 2013543247 A JP2013543247 A JP 2013543247A JP 2013543247 A JP2013543247 A JP 2013543247A JP 6104813 B2 JP6104813 B2 JP 6104813B2
Authority
JP
Japan
Prior art keywords
signal
frequency
digital
chamber
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013543247A
Other languages
English (en)
Other versions
JP2014502027A (ja
Inventor
バルコア・ジュニア・ジョン・シー.
ポボルニー・ヘンリー・エス.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2014502027A publication Critical patent/JP2014502027A/ja
Application granted granted Critical
Publication of JP6104813B2 publication Critical patent/JP6104813B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • G05B15/02Systems controlled by a computer electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Automation & Control Theory (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

半導体産業では、シリコンウェハを製造するためのRF駆動プラズマチャンバの使用がよく見られる。このような用途では、シース電圧、特にどのようにシース電圧がウェハ自体のDCバイアス電位に関わるかを監視する必要性が一般に存在している。
現在、ウェハ電位ならびにシース電位およびバルクプラズマ電位を確認する技術がいくつか存在している。ウェハDCバイアス電位に関して、一監視方法は、ウェハと静電チャック(ESC)との間のリーク電流を、印加されたDC電圧がESCに変化する間に検知することによってウェハの自己バイアス電圧を測定することを含む。この技術が、いくつかの現行の生産環境内で使用される際、比較値はリーク電流の量に大きく依存し、リーク電流の量はシステムのESCの種類に連動する。また、ウェハを介したESCへのリーク電流を検知する方法は、さまざまな種類のウェハ裏面のフィルムに大きく依存する。
ウェハバイアス電位を確認するための別の方法は、ESCの外縁部に取り付けられ、プラズマに接触する炭化ケイ素ピンの使用によるものである。しかし、このようなピンは、消耗品であり、生産環境内で頻繁に取り替える必要がある。
ウェハのDCバイアスを検知するための第3の方法は、ESCのRF電圧プローブと、ピーク間RF電圧からウェハ電圧を計算する信号処理部とによる。この方法は、較正された利得オフセットの使用によってESCのRF電圧をDC値に合わせて調整することによって、プローブがプラズマに直接接触せずにウェハDCバイアス電圧を検知するための手段を備える。この方法は、ウェハのRFピーク間電圧とおよびDC電位との純直線関係を前提とし、多周波数プラズマの場合とは異なる。図1は、RF Vppに対するウェハバイアスの相関性を示す。図1では、直線近似が適用される場合、Rの2乗値は1よりかなり小さくなる[たとえばR−sq:0.90]。
添付の図面では、本発明を一例として示すが、限定することを目的とせず、同じ符号は同じ要素を示す。
RF Vppに対するウェハバイアスの相関性を示す図である。
開示するRF電圧伝達関数の出力に対するウェハバイアスの相関性を示し、Rの2乗値が1にかなり近似していることを示す図である。
Siカーバイドピンによって見られるプラズマ電位とワイヤードウェハ(配線済みウエハ)によって測定されるウェハ電位との関係を確認する試験を説明する図である。
Lam DFC2300 Flex45プラットフォームで2MHz、27MHzおよび60MHzを使用してHARC(高アスペクト比コンタクト)処理時にSi HER(ホットエッジリング)によって見られる電圧に対するSiカーバイドピンによって見られる電圧を示す図である。
3つのRF発生器によるESC電源から成るRF駆動プラズマを有するシステムを示す図である。
開示する発明の実施形態を示し、電圧プローブは容量分圧器ネットワークから成り、ESC基板にごく接近しているRFロッドと、信号調節および信号処理装置とに取り付けられることを示す図である。
デジタル信号処理部を有するアナログのRF電圧信号調節ネットワークの実施形態を示す図である。
周波数依存性のRF電圧信号に基づいてウェハ電位を計算するための高インピーダンスのRF電圧プローブを示す図である。 周波数依存性のRF電圧信号に基づいてウェハ電位を計算するための高インピーダンスのRF電圧プローブを示す図である。 周波数依存性のRF電圧信号に基づいてウェハ電位を計算するための高インピーダンスのRF電圧プローブを示す図である。 周波数依存性のRF電圧信号に基づいてウェハ電位を計算するための高インピーダンスのRF電圧プローブを示す図である。 周波数依存性のRF電圧信号に基づいてウェハ電位を計算するための高インピーダンスのRF電圧プローブを示す図である。
本発明の実施形態に従う例示的なデジタル実現の実施形態のブロック図である。
ここで、本発明を、添付の図面に示すいくつかの実施形態を参照して詳細に説明する。以下の説明では、本発明の理解を深めるために多数の具体的な詳細を記載している。しかし、本発明はこれらの具体的な詳細の一部または全部がなくても実施することが可能であることは、当業者にとって明らかであろう。他の例では、本発明が不必要に曖昧とならないように、周知の処理工程および/または構造は詳細に説明していない。
方法および技術を含めてさまざまな実施形態を本願明細書の以下に説明する。本発明は、本発明の技術に関する実施形態を実施するためのコンピュータ可読命令を保存するコンピュータ可読媒体を含む製品に及ぶことにも留意する必要がある。コンピュータ可読媒体は、コンピュータ可読コードを保存するために、たとえば、半導体、磁気、光磁気、光学またはその他の形態のコンピュータ可読媒体を含んでもよい。さらに、本発明は本発明の実施形態を実施するための装置にも及んでもよい。このような装置は、本発明の実施形態に関するタスクを実施するための専用回路および/またはプログラマブル回路を含んでもよい。このような装置の例は、適切にプログラムされる場合、汎用計算機および/または専用計算機を含み、コンピュータ/計算機と、発明の実施形態に関するさまざまなタスクに適した専用/プログラマブル回路との組合せを含んでもよい。
開示する発明の実施形態は、ESCのRF電圧を適切にフィルタリングして調整し、ウェハにバイアスをかける際に多重RF周波数の寄与を示す伝達関数を利用することによって、ウェハバイアス電位を測定する能力をエンドユーザに提供する。図2は、開示するRF電圧伝達関数の出力に対するウェハバイアスの相関性を示し、Rの2乗値が1にかなり近似している[たとえばR−sq:0.97]ことを示す。
本発明は、炭化ケイ素ピンでDCバイアス電位を測定する既存の方法を改善しようと試みる間に着想した。このピンの摩耗率およびピンを収容したクォーツ絶縁材の摩耗率は、製造スループットの制限因子であることがわかった。多周波数プラズマのRF電圧とウェハ電位との間に非線形関係が存在したが、伝達関数はプラズマを駆動する個々の周波数それぞれの寄与に基づいてウェハ電位を誘導するために使用できたことがわかった。
図3に示すように、Siカーバイドピンによって見られるプラズマ電位とワイヤードウェハによって測定されるウェハ電位との関係を確認するために試験を実施した。そこで、開示する伝達関数は、既存のSiカーバイドピンによって見られる電圧信号との相関性が高いことを示した。
図4は、Lam DFC2300 Flex45プラットフォーム(カリフォルニア州フレモント、Lam Research社製)で2MHz、27MHzおよび60MHzを使用してHARC(高アスペクト比コンタクト)処理時にSi HER(ホットエッジリング)によって見られる電圧に対するSiカーバイドピンによって見られる電圧を示す。ワイヤードウェハに対するSiカーバイドピンの上記の相関性を考慮すると、可換性は、プラズマを駆動する個々のRF電圧に関わる伝達関数がウェハ界面のプラズマシース電圧も有効に表現するという意見に信頼性を与える。1または複数の実施形態では、伝達関数を誘導するためにRF電圧に状態空間解析を実行してもよい。状態空間モデリングはよく知られており、本願明細書では詳しく説明しない。1または複数の実施形態は、頑健性を改善するために、知られている過度的な取扱技術を適用してもよい。
図面および以下の説明を参照して発明の実施形態の特徴および利点をさらに良く理解することができる。
一般的に言えば、RF駆動プラズマ内でプラズマシース電位のDC成分を間接的に測定する能力は、ESCにごく接近しているRFロッドに接続される電圧プローブに依存する。図5Aは、インピーダンス整合回路網506を介した3つのRF発生器504A、504Bおよび504CによるESC502電源から成るRF駆動プラズマを有するシステムを示す。これらのRF発生器は、図に示すように異なるRF周波数を提供し、周波数の数が用いられているが、用途に応じて、用いられる正確な周波数と用いられるRF発生器の数とを変えてもよい。基板508(またはESCを伴う別の非プラズマ曝露要素)にごく近接する(すなわち、RF電圧を感知できるほど十分近い)RFロッドまたは別の好適なRF検出機構は、RF電圧を容量分圧器ネットワーク530に提供する。容量分圧器530は、図5Bと共に本願明細書にさらに詳細に説明する。次に、容量分圧器ネットワーク530の出力は、信号調整および処理ブロック532に提供され、図5Cと共に本願明細書の以下にさらに詳細に説明する。
図5Bは、開示する本発明の例示的実施形態を示し、電圧プローブは容量分圧器ネットワーク530を含み、ESC基板にごく接近しているRFロッド(または他の好適なRF感知機構)に連結され、信号調節および信号処理装置に連結されることを示す。図5Bの例では、キャパシタC1はキャパシタC2に直列であり、並列なキャパシタC3/C4と直列である。図5Bに示すように電圧信号が得られる。容量分圧器の電圧プローブは、プラズマを駆動するRF電力を過度に摂動することなく、感知されるRF電圧に対して高インピーダンス経路をもたらす。
容量分圧器の特定の性質は、プラズマを駆動するRF発生器に依存し、最も周波数の高い高周波発生器が支配的要因である。また、容量分圧器は、信号調整および信号処理装置に電圧信号を伝達するのに関与する50オームの同軸ケーブルと平行して、接地に低インピーダンス経路を提供することによって電圧信号を十分減衰させる。これらの値は、参照目的で例として与えられ、限定するものではないことに留意する。図5Bの例では、入力インピーダンスはかなり高く[Z=1/(ω*C)であり、ω=60MHz、C=0.5pFの場合Z≒33Kオーム]、接地に対するインピーダンスは低い(60Mhzで約31オーム)。
そこで、RF電圧信号はアナログフィルタまたはデジタルフィルタのネットワークによって調整される。図5Cは図5Aのブロック532で実装される種類のアナログRF電圧信号調節ネットワークの例示的実施形態である。アナログRF電圧信号調節ネットワークの入力では、信号は多くの別個のチャネル(図5Cの例では4つ)に分割され、プラズマの駆動に関与する特定のRF周波数のRFフィルタリングを可能にする。この実施形態では、RF発生器の周波数は、2MHz、27MHz、60MHzである。1つのチャネルはフィルタリングされず、広帯域電圧の周波数スペクトルを維持する。この実施形態では、4つのチャネルはパッシブピーク検出器[たとえばIN5711ダイオード]によってDC信号に変換されるが、アクティブピーク検出器も使用可能である。
そこで、DC信号は、デジタル信号プロセッサによって処理されるようにデジタル信号に変換され、個々の信号は、ウェハバイアス伝達関数に較正され、入力される。その後、伝達関数の出力はバイアス補償ネットワークに戻る。開示するアナログ信号調節ネットワークは、デジタルの領域内で完全に達成することが可能であることに留意する必要がある。この場合、単にアナログデジタルコンバータ(ADC)および信号処理部(DSP、FPGAまたはCPLDなど)があれば、信号スプリッタまたはアナログフィルタは必要ではないと考えられ、信号処理部は、フィルタリング、ピーク検出、較正および伝達関数計算すべてに関与する。
1または複数の実施形態では、各電圧チャネルの較正は、各チャネルに利得およびオフセットを適用することによって実行される。各チャネル(図5A〜5Cの例の2MHz、27MHz、60MHzおよびフィルタリングされていない広帯域電圧)に対する利得オフセットは、所定のチャネルの信号応答とウェハバイアスとのその相関性とによって求められる。フィルタリングされたチャネルに関して、これは、所定のフィルタリングされたチャネルのその一意の単一周波数に対するウェハバイアスへの応答を特徴化することによって達成される。たとえば、2MHzのチャネルの応答は、2MHz駆動のプラズマに対するウェハバイアスと相関し、次の利得オフセットは、このチャネルを較正するために使用されるということになる。これは、27MHzおよび60MHzに対して繰り返される。広帯域信号は、3つの周波数すべてと次の変化形(2MHzのみ、2MHzと27MHz、60MHzのみ、27MHzと60MHzなど)とによって駆動されるプラズマに対してウェハバイアスを相関させることによって較正される。
また、較正に考慮される重要な要素は、容量分圧器の電圧プローブ530の応答である。容量分圧器は、各周波数に対して特有の信号応答を有する傾向がある。提案する解決法として、容量分圧器の電圧センサの応答を特徴化するためにネットワーク分析器を使用できることから、この応答は、上記の較正係数を変更するために使用することができる。これは、正確かつ繰り返し可能な機器を製造する際の重要な要素である。
ウェハバイアスを計算するための伝達関数は4つの入力、2MHz、27MHzおよび60MHzに対して較正されたDC電圧信号ならびにフィルタリングされていない広帯域信号で構成される。そこで、電圧信号は一連の係数を乗じる。各係数は、プラズマを駆動する所定の周波数の混合に依存する。係数は、たとえば、ウェハバイアスと4つの電圧信号(2MHz、27MHz、60MHzおよび広帯域)から成るマトリクスの主成分分析(PCA)によって得られる。次に、係数を乗じた個々の結果が加算され、ウェハバイアス値を求める。また、条件命題および重み係数は、係数を最適化するために使用できることから、伝達関数の結果を最適化する。
さらに、図6〜10は、周波数依存性のRF電圧信号に基づいてウェハ電位を計算するための高インピーダンスのRF電圧プローブを示す。図6では、線形伝達関数が生成され、例示的フローチャートは、どのようにこの線形伝達関数が用いられ、ウェハバイアス値を得ることができるかということを示す。図7は、ウェハバイアス値を抽出するための非線形伝達関数の使用を示すフローチャートである。非線形伝達関数は、大部分ではないとしても一部の状況でRF電圧からウェハバイアス値にさらに近似させることがさらに良好にできると考えられている。図8、9および10は、各方法(線形対非線形)の利点に関して詳細に説明している。これら両方の方法は、発明の実施形態に従って、特に多周波数の用途で、感知されたRF電圧をウェハバイアス電圧に相関させる方法を提供する。したがって、ウェハバイアス電圧は、ESC電源および/またはバイアス補償ネットワークおよび/またはプラズマ処理システムの他のサブシステムのフィードバック信号または制御信号として使用してもよい。
別の実施形態では、ESC RF信号は、構成可能性を改善するように、さらには、いくつかの高アスペクト比エッチングなどの処理用途を要求することによって必要とされるRF電力のさらに広い動的領域に適合するように、デジタルの領域で処理してもよい。図11は、例示的なデジタル実現の実施形態のブロック図を示す。ブロック1102の入力ESC RF信号は、たとえば、図5Aの容量分圧器ネットワーク530などの容量型ピックアップからを得てもよい。図11の例では、入力RF信号は約350KHzから120MHzに及び、基本周波数は約400KHz、2MHz、13MHz、27MHzおよび60MHzであり、これらの例示的な値および関連する周波数の数は、本発明の範囲を限定しない。
そこで、入力RF信号は、アンチエイリアシングローパスフィルタ1104を使用し、後にブロック1102からのアナログ入力RF信号をデジタル信号に変換するために用いられるアナログデジタルコンバータ(ADC)のサンプリングレートの約1/2で設定されるフィルタ周波数でフィルタリングされる。図11の例の場合では、ADCのサンプリングレートは250MHzであることから、アンチエイリアシングフィルタは約120MHzで設定され、この設定値は、(ナイキストの定理に従って十分な信号分解能を得るために)ADCのサンプリングレートの約1/2より少ない。
次に、アンチエイリアシングフィルタ1104からのフィルタリングされたRF信号は、RFスプリッタ1106により(適切なローパスフィルタおよびハイパスフィルタを使用して)2つのチャネルに分けられ、デュアルチャネルADC1112に送信される。RF分割は、必要に応じて信号分解能を改善するために選択され、デュアルチャネルADCの使用を許容するために最適化として実行される。しかし、必要に応じて、シングルチャネルADCを用い、フィルタリングされたRF信号をアンチエイリアシングフィルタ1104からシングルチャネルADCに送信することは可能である。
したがって、図5cにアナログの方法とは異なり、アナログ入力RF信号は、アナログの領域で分割されること、および/または、アナログの領域でピーク検出されることが要求されない。むしろ、RF信号は(アンチエイリアシングフィルタによる適切なフィルタリング後に)ADCコンバータ1112によってデジタルの領域に変換される。これは、アナログピーク検出法の制限が認められていないことから、構成可能性および動的領域での応答性を改善する。特に、デジタルの方法は、アナログピーク検出法で整流ダイオードの非線形応答によって定められる制限を取り除く。アナログピーク検出法の別の制限因子は、ダイオード出力が入力電圧RMS、本質的には検波器に依存する傾向があるということである。この特徴は、RMS信号に依存して平均化されたRFピーク電圧よりむしろ実際のRFピーク振幅を分解するアナログ検知器の能力をさらに制限する。デジタルの方法はこれら両方および他の問題を回避する。
デジタル処理はフィールドプログラマブルゲートアレイ(FPGA)1120によって図11に表す論理回路によって実行される。FPGAは、多重チャネルでのFPGAの並列処理能力を一部利用する簡易な構成例により用いられるが、マイクロプロセッサ、マイクロコントローラ、専用ハードウェア回路、デジタル信号プロセッサなどを含む他の形態の論理回路も用いてよい。
改善例の1つは、デジタル処理ブロック1120への独立した入力としてRF発生器(1122)からのRF信号の使用に関する。独立して入力されたRF信号データは、RF発生器からの各RF信号に対する状態(オン/オフ)、基本周波数および駆動信号位相を含んでもよく、ブロック1120で基本トーンを抽出してデジタルフィルタ(バンドパスフィルタなど)を調整するために使用してもよい。位相データは、さまざまな周波数のESC RF信号のなかで変調効果を解消し、いかなる周波数でもさらに電圧を正確に計算する際に特に有用である。
詳しく説明すると、位相信号はプラズマ力学(能動非線形負荷)に依存するRF信号の復調を可能にする。それは、プラズマチャンバを、RF電力源の周波数ならびにガスの随伴/非随伴速度およびプラズマシースの膨張/収縮に依存してトーン生成するRFミキサーとしてみなす一般的な方法である。プラズマを供給する、および/または、プラズマにバイアスをかける各RF発生器にドライブ信号の位相を利用することによって、RF発生器のパルス発生などの最も動的なプラズマ状態でも各基本RFトーンをさらに正確に分解することができる。
その代わりに、あるいは、さらに、RF発生器のRF信号から抽出された基本トーンを使用するこれらのデジタルフィルタの調整は、きわめて正確な較正を可能にし、基本周波数周辺のフィルタを狭帯域に適合させ、デジタル処理ブロック1120によって、信号分解能の精度を大幅に改善する。
デジタル処理ブロック1120からの出力は、各基本周波数および複合広帯域信号に対するピーク電圧(Vpeak)を含む。ピーク検出は、正ピーク、負ピークまたはこの両方であってよい。複合信号に対して、各RF電力源の高調波に応じて波形が非対称であることが多いため、負ピーク情報は位相処理時に特に有用である。したがって、正負両方のピークを検知することによって、プラズマの高調波の相対距離を分解することができる。そこで、この高調波の距離は、所定の状態空間に対するウェハバイアス電位の伝達関数をさらに最適化するために使用することができる。
記載するように、デジタルの方法の利点は容易な構成可能性である。たとえば、さまざまなRF周波数および/または他のRF周波数および/またはさらに低いRF周波数が用いられる場合、ハードウェアは変える必要はない。デジタルフィルタ、伝達関数および他の最適化は、同じプログラマブル論理で再プログラミングされ、アプリケーションが変更する際の時間とコストを大幅に節約する。
発明の別の態様は、ESC RF電圧とウェハバイアス電位との間の相関を改善するための追加プラズマチャンバパラメータの使用に関する。これらの追加チャンバパラメータは、チャンバ圧力、チャンバ間隙(電極間距離)、RF供給電力、RF周波数、RF発生器インピーダンス整合回路のタップ位置(可変LCネットワークのキャパシタ位置)、チャンバの化学的性質、チャンバの形態(すなわち接地面積比)、ウェハの種類(背面フィルム、基板のフィルムスタック)およびウェハ抵抗率を含む。本願明細書の説明では、理解を容易にするために、2つの特定のチャンバパラメータ(圧力および間隙距離)を説明するが、数および正確なパラメータは、適用に応じて変化してもよい。
発明の別の態様では、発明者は、さまざまなチャンバ圧力が加わるとRF電圧とウェハバイアス電圧との間の相関に著しい変化(例えば傾向線の傾きの利得)があることを本願明細書に示す。チャンバ圧力を考慮に入れることによって、ウェハバイアス電圧のさらに正確なオンザフライ測定値は、ESC RF電圧から得てもよい。補償関数、方程式または倍率は、たとえば製造環境でのその後の使用のために、研究環境で実験的に求めてもよい(たとえば、さまざまなチャンバ圧力におけるウェハバイアス電圧に対してRF電圧をマッピングすることによって相関の変化を求める)。一実施形態では、チャンバ圧力情報は、圧力が不明の伝達関数出力を調整するために倍率として用いてもよい。その代わりに、あるいは、さらに、チャンバ圧力は、伝達関数への所定の入力に対する圧力に特有の出力を得るために伝達関数への別の入力として用いてもよい。
発明の別の態様では、発明者は、上部電極と下部電極との間のさまざまなチャンバ間隙が加わるとESC RF電圧とウェハバイアス電圧との間の相関に著しい変化(例えば傾向線の傾きの利得)があることを本願明細書に示す。電極間隙データを考慮に入れることによって、ESC RF電圧からウェハバイアス電圧のさらに正確なオンザフライ測定値を得てもよい。補償関数、方程式または倍率は、たとえば製造環境でのその後の使用のために、研究環境で実験から求めてもよい(たとえば、さまざまなチャンバ間隙圧力におけるウェハバイアス電圧に対してRF電圧をマッピングすることによって相関の変化を求める)。一実施形態では、チャンバ間隙情報は、圧力が不明の伝達関数出力を調整するために倍率として用いてもよい。その代わりに、あるいは、さらに、チャンバ間隙は、伝達関数への所定の入力に対する圧力に特有の出力を得るために伝達関数への別の入力として用いてもよい。その代わりに、あるいは、さらに、チャンバ間隙情報を使用するこの最適化は、チャンバ圧力情報の使用とともに用いてもよい。伝達関数を更に最適化するために他のチャンバデータを用いる場合も同じ考えが適用される。
図11を再び参照すると、追加チャンバデータは、処理モジュール1150から適切な通信インターフェース1152を介して受信してもよい。図11の例では、チャンバデータを使用する伝達関数の実際の適用と最適化とが、ブロック1120から受信したピーク電圧(正負)情報とブロック1150から受信したチャンバデータ情報とを使用してDSPブロック1154で実行される。しかし、ブロック1120でそのような伝達関数の適用と最適化とを実行することも可能であり、この場合、たとえば、ブロック1120は処理モジュール1150からチャンバデータを直接得ることになる。DSPブロック1154の出力は、計算によるウェハ電位を表し、変換されてDAC1156を介してアナログの領域に戻り、フィードバック信号または制御信号としてESC電力供給部1160および/またはRF発生器1162として機能する。たとえば、計算によるウェハバイアス電位の正確性および精度(本願明細書に開示するようにRF電圧に応じて計算される)が増大することから、この計算値をRF発生器が供給した電力の制御信号として使用して、所定のウェハ処理工程に可能な所望のウェハ電位を維持することができる。
アナログ分割およびピーク検出を実行(図5cによる)し、説明した方法で追加チャンバパラメータを使用してさらに最適化を適用して計算によるウェハバイアス電位を得ることに留意する。また、入力RF信号は、図11に関して説明するようにデジタルの領域に直接変換し、次いでデジタルの領域で処理し、最適化して計算によるウェハバイアス電位を得てもよい。
デジタルの領域でESC RF信号を処理することによって、および/または、独立して入力されたRF発生器信号を用いることによって、および/または、相関最適化に追加チャンバパラメータを用いることによって、本発明の実施形態は、計算によるさらに正確なウェハバイアス電圧によってESC RF電圧を得ることを可能にする。同じように、さらに正確に得られたウェハバイアス電圧によって、プラズマ非閉じ込めなどの望ましくないプラズマ状態を検知するためにプラズマシースを監視する能力を改善する。
本発明は数十もの好ましい実施形態で記載したが、変形例、置換例および等価物があり、これらは、本発明の範囲内である。たとえば、ウェハバイアス電位を計算するために用いられるRF電圧は、ESCまたはESC基板から、あるいは、ESCアセンブリの別の構成要素またはESCの近傍近くから得られるものであるということを本願明細書に開示するが、実際には、RF電圧はRF供給経路の任意の点で測定してウェハバイアス計算目的のために用いてもよい。したがって、「RF電圧」および「ESC RF電圧」および「ESC RFシグナル」などの用語は、実施例におけるそれらの用語用法によって限定するものと解釈するべきでない。また、本発明の方法および装置を実装する多くの他の方法があることに留意する必要がある。本願明細書にさまざまな例を記載しているが、これらの例は説明目的であり、本発明に関して限定しないことを意図している。例えば、本発明は以下の適用例としての実施可能である。
[適用例1]プラズマ処理システムを制御する方法であって、
チャンバの少なくとも1つの構成要素からRF信号を受信し、
前記RF信号の基本周波数および広帯域周波数の各々に対するピーク電圧情報を取得するために、デジタルの領域で前記RF信号を処理し、
前記プラズマ処理システムを制御するフィードバック信号および制御信号の1つとして用いられるウェハバイアス情報を、前記ピーク電圧情報から抽出する
方法。
[適用例2]前記プラズマ処理システムの制御は、所望のウェハバイアス電位を維持することを含む適用例1に記載の方法。
[適用例3]前記RF信号は容量型ピックアップ構成要素を介して受信される適用例2に記載の方法。
[適用例4]前記抽出は、少なくともプラズマプロセスパラメータデータを考慮する適用例2に記載の方法。
[適用例5]前記プラズマプロセスパラメータデータは、チャンバ圧力、チャンバ間隙、RF供給電力、RF周波数、RF発生器インピーダンス整合回路のタップ位置、チャンバの化学的性質、チャンバの形態およびウェハ抵抗率の少なくとも1つを含む適用例4に記載の方法。
[適用例6]前記RF信号の処理は、独立して入力されたRF信号データを考慮することを含む適用例5に記載の方法。
[適用例7]前記処理は、前記独立して入力されたRF信号データを用いて前記処理に用いられるデジタルフィルタの調整を実行することを含む適用例6に記載の方法。
[適用例8]前記独立して入力された前記のRF信号データは、前記RF信号の基本周波数および駆動信号位相の少なくとも1つを含む適用例6に記載の方法。
[適用例9]前記RF信号の処理は、アンチエイリアシングフィルタを使用して前記RF信号をフィルタリングすることを含む適用例4に記載の方法。
[適用例10]前記処理は、前記フィルタリング後に前記RF信号にアナログデジタル変換を実行することをさらに含む適用例9に記載の方法。
[適用例11]前記アンチエイリアシングフィルタのフィルタ周波数は、前記アナログデジタル変換のために用いられるアナログデジタルコンバータのサンプリング周波数の半分より大きい適用例10に記載の方法。
[適用例12]少なくとも1つのチャンバと、前記チャンバの少なくとも1つの構成要素から得られるRF信号からウェハバイアス情報を得る装置とを有するプラズマ処理システムであって、
前記RF信号をフィルタリングするアンチエイリアシングフィルタと、
前記フィルタリングの後に前記RF信号にアナログデジタル変換を実行するアナログデジタルコンバータと、
前記変換後にデジタルの領域で前記RF信号を処理して前記RF信号の各基本周波数および広帯域周波数に対するピーク電圧情報を取得する論理回路と、
前記プラズマ処理システムを制御するフィードバック信号および制御信号の1つとして用いられるウェハバイアス情報を、前記ピーク電圧情報から抽出する論理回路と
を備えるプラズマ処理システム。
[適用例13]前記フィルタリングの前に前記RF信号を受信する容量型ピックアップ構成要素をさらに含む適用例12に記載のプラズマ処理システム。
[適用例14]前記抽出する論理回路は、前記ウェハバイアス情報を計算する際に少なくともプラズマプロセスパラメータデータを考慮する適用例12に記載のプラズマ処理システム。
[適用例15]前記プラズマプロセスパラメータデータは、チャンバ圧力、チャンバ間隙、RF供給電力、RF周波数、RF発生器インピーダンス整合回路のタップ位置、チャンバの化学的性質、チャンバの形態およびウェハ抵抗率の少なくとも1つを含む適用例14に記載のプラズマ処理システム。
[適用例16]前記処理する論理回路は、前記ピーク電圧情報および前記広帯域周波数を計算する際に独立して入力されたRF信号データを考慮する適用例15に記載のプラズマ処理システム。
[適用例17]前記処理は、前記独立して入力されたRF信号データを用いて前記処理に用いられるデジタルフィルタの調整を実行することを含む適用例16に記載のプラズマ処理システム。
[適用例18]前記独立して入力された前記RF信号データは、前記RF信号の基本周波数および駆動信号位相の少なくとも1つを含む適用例15に記載のプラズマ処理システム。

Claims (17)

  1. プラズマ処理システムを制御する方法であって、
    チャンバの少なくとも1つの構成要素からRF信号を受信し、
    前記RF信号の基本周波数および広帯域周波数の各々に対するピーク電圧情報を取得するために、デジタルの領域で前記RF信号を処理し、当該処理は、前記RF信号の前記基本周波数および前記広帯域周波数の各々をDC信号に変換する処理を含み、
    前記DC信号をデジタル信号に変換することと、伝達関数出力を得るために前記RF信号のデジタル信号を伝達関数に適用することとによって、ウェハバイアス情報を、前記ピーク電圧情報から抽出し、当該伝達関数出力は、前記RF信号の各周波数を示し、前記プラズマ処理システムを制御するフィードバック信号として用いられる
    方法。
  2. 前記RF信号は容量型ピックアップを介して受信される請求項1に記載の方法。
  3. 前記抽出は、少なくとも一つのプラズマプロセスパラメータデータを考慮する請求項1に記載の方法。
  4. 前記プラズマプロセスパラメータデータは、チャンバ圧力、チャンバ間隙、RF供給電力、RF周波数、RF発生器インピーダンス整合回路のタップ位置、チャンバの化学的性質、チャンバの形態、ウェハタイプおよびウェハ抵抗率の少なくとも1つを含む請求項3に記載の方法。
  5. 前記RF信号は、複数のRF発生器からそれぞれ独立した入力として受け取られ、前記RF信号の処理は、独立して入力されたRF信号を考慮することを含む請求項3に記載の方法。
  6. 前記処理は、前記独立して入力されたRF信号を用いて前記処理に用いられるデジタルフィルタの調整を実行することを含む請求項5に記載の方法。
  7. 前記独立して入力された前記RF信号は、前記RF信号の前記基本周波数および位相の少なくとも1つを含む請求項5に記載の方法。
  8. 前記RF信号の処理は、ローパスフィルタであるアンチエイリアシングフィルタを使用して前記RF信号をフィルタリングすることを含む請求項3に記載の方法。
  9. 前記処理は、前記フィルタリング後に前記RF信号にアナログデジタル変換を所定のサンプリング周波数で実行することをさらに含む請求項8に記載の方法。
  10. 前記アンチエイリアシングフィルタのフィルタ周波数は、前記アナログデジタル変換のために用いられるアナログデジタルコンバータのサンプリング周波数の半分である請求項9に記載の方法。
  11. 少なくとも1つのチャンバと、前記チャンバの少なくとも1つの構成要素から得られるRF信号からウェハバイアス情報を得る装置とを有するプラズマ処理システムであって、
    前記RF信号をフィルタリングするローパスフィルタであるアンチエイリアシングフィルタと、
    前記フィルタリングの後に前記RF信号にアナログデジタル変換を、所定のサンプリング周波数で実行するアナログデジタルコンバータと、
    前記変換の後にデジタルの領域で前記RF信号を処理して前記RF信号の各基本周波数および広帯域周波数に対するピーク電圧情報を取得する論理回路であって、当該処理は、前記RF信号の前記基本周波数および前記広帯域周波数の各々をDC信号に変換する処理を含む、論理回路と、
    前記DC信号をデジタル信号に変換することと、伝達関数出力を得るために前記RF信号のデジタル信号を伝達関数に適用することとによって、ウェハバイアス情報を、前記ピーク電圧情報から抽出する論理回路であって、当該伝達関数出力は、前記RF信号の各周波数を示し、前記プラズマ処理システムを制御するフィードバック信号として用いられる、論理回路と、
    を備えるプラズマ処理システム。
  12. 前記フィルタリングの前に前記RF信号を受信する容量型ピックアップをさらに含む請求項11に記載のプラズマ処理システム。
  13. 前記抽出する論理回路は、前記ウェハバイアス情報を計算する際に少なくとも一つのプラズマプロセスパラメータデータを考慮する請求項11に記載のプラズマ処理システム。
  14. 前記プラズマプロセスパラメータデータは、チャンバ圧力、チャンバ間隙、RF供給電力、RF周波数、RF発生器インピーダンス整合回路のタップ位置、チャンバの化学的性質、チャンバの形態、ウェハタイプおよびウェハ抵抗率の少なくとも1つを含む請求項13に記載のプラズマ処理システム。
  15. 前記RF信号は、独立した入力として受け取られ、前記処理する論理回路は、前記ピーク電圧情報および前記広帯域周波数を計算する際に独立して入力されたRF信号を考慮する請求項14に記載のプラズマ処理システム。
  16. 前記処理は、前記独立して入力されたRF信号を用いて前記処理に用いられるデジタルフィルタの調整を実行することを含む請求項15に記載のプラズマ処理システム。
  17. 前記独立して入力された前記RF信号は、前記RF信号の前記基本周波数および位相の少なくとも1つを含む請求項15に記載のプラズマ処理システム。
JP2013543247A 2010-12-07 2011-12-06 プラズマ処理システムおよびこれを制御する方法 Active JP6104813B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/962,524 2010-12-07
US12/962,524 US8501631B2 (en) 2009-11-19 2010-12-07 Plasma processing system control based on RF voltage
PCT/US2011/063422 WO2012078569A1 (en) 2010-12-07 2011-12-06 Plasma processing system control based on rf voltage

Publications (2)

Publication Number Publication Date
JP2014502027A JP2014502027A (ja) 2014-01-23
JP6104813B2 true JP6104813B2 (ja) 2017-03-29

Family

ID=46207474

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013543247A Active JP6104813B2 (ja) 2010-12-07 2011-12-06 プラズマ処理システムおよびこれを制御する方法

Country Status (6)

Country Link
US (4) US8501631B2 (ja)
JP (1) JP6104813B2 (ja)
KR (1) KR101858265B1 (ja)
CN (1) CN102652266B (ja)
TW (3) TW201737300A (ja)
WO (1) WO2012078569A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230158031A (ko) 2021-03-16 2023-11-17 도요보 엠씨 가부시키가이샤 접착제 조성물과, 이것을 함유하는 접착 시트, 적층체 및 프린트 배선판

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9530620B2 (en) * 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
KR102220078B1 (ko) * 2012-12-14 2021-02-25 램 리써치 코포레이션 통계 데이터 데시메이션을 위한 통계의 계산
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
TWI647735B (zh) * 2013-03-15 2019-01-11 美商蘭姆研究公司 使用模型化以建立與電漿系統相關的離子能量
US10469108B2 (en) * 2013-05-09 2019-11-05 Lam Research Corporation Systems and methods for using computer-generated models to reduce reflected power towards a high frequency RF generator during a cycle of operations of a low frequency RF generator
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
CN110211858A (zh) * 2014-03-14 2019-09-06 应用材料公司 智能腔室及智能腔室元件
CN104934340B (zh) * 2014-03-21 2017-10-20 北京北方华创微电子装备有限公司 一种射频传感器及阻抗匹配装置
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
US9741543B2 (en) 2014-07-21 2017-08-22 Lam Research Corporation Multi-range voltage sensor and method for a voltage controlled interface of a plasma processing system
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
US9652567B2 (en) * 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
DE102015212242A1 (de) * 2015-06-30 2017-01-05 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Abtasten eines mit einem Plasmaprozess in Beziehung stehenden Signalgemischs
US10297422B2 (en) * 2015-11-04 2019-05-21 Lam Research Corporation Systems and methods for calibrating conversion models and performing position conversions of variable capacitors in match networks of plasma processing systems
KR20180116225A (ko) * 2016-01-22 2018-10-24 에스피피 테크놀로지스 컴퍼니 리미티드 플라즈마 제어 장치
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
BR112018013031B1 (pt) 2016-06-17 2022-09-20 Uop Llc Processo para produzir um combustível parcialmente desoxigenado a partir de um óleo de pirólise derivado de biomassa
US9805919B1 (en) * 2017-01-13 2017-10-31 Lam Research Corporation RF detector with double balanced linear mixer and corresponding method of operation
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
PL3648554T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
EP3648552B1 (en) * 2017-06-27 2022-04-13 Canon Anelva Corporation Plasma treatment device
PL3648550T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
CN114666965A (zh) 2017-06-27 2022-06-24 佳能安内华股份有限公司 等离子体处理装置
US10636630B2 (en) * 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
US11664206B2 (en) * 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
TWI792598B (zh) 2017-11-17 2023-02-11 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
WO2019112903A1 (en) 2017-12-05 2019-06-13 Lam Research Corporation System and method for edge ring wear compensation
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
WO2020003557A1 (ja) 2018-06-26 2020-01-02 キヤノンアネルバ株式会社 プラズマ処理装置、プラズマ処理方法、プログラムおよびメモリ媒体
CN108712813B (zh) * 2018-09-13 2019-01-04 中微半导体设备(上海)有限公司 一种可切换匹配网络及电感耦合等离子处理器
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20200060624A (ko) 2018-11-22 2020-06-01 삼성전자주식회사 기판 처리 장치, 기판 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11587773B2 (en) 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) * 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
CN113820531A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种射频系统状态受控的半导体设备
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
CN112345814A (zh) * 2020-10-30 2021-02-09 北京北方华创微电子装备有限公司 直流偏压检测方法、装置、治具以及下电极系统
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
WO2023167887A1 (en) * 2022-03-03 2023-09-07 Advanced Energy Industries, Inc. Adaptive predictive control system
US11990324B2 (en) * 2022-03-03 2024-05-21 Advanced Energy Industries, Inc. Adaptive predictive control system
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20240021410A1 (en) * 2022-07-14 2024-01-18 Tokyo Electron Limited Plasma Processing with Broadband RF Waveforms
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) * 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
JP3116762B2 (ja) * 1995-01-27 2000-12-11 住友金属工業株式会社 プラズマエッチング装置
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) * 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) * 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
JP2001516963A (ja) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) * 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
WO1999063585A1 (fr) 1998-06-02 1999-12-09 Nikon Corporation Organe d'alignement de balayage, son procede de fabrication et procede de fabrication de dispositif
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6424232B1 (en) * 1999-11-30 2002-07-23 Advanced Energy's Voorhees Operations Method and apparatus for matching a variable load impedance with an RF power generator impedance
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) * 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
JP4270872B2 (ja) * 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6522121B2 (en) * 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) * 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
JP2002343774A (ja) * 2001-05-18 2002-11-29 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) * 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) * 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
TWI259546B (en) * 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) * 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
WO2004003968A2 (en) * 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6664166B1 (en) * 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
JP4359521B2 (ja) * 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
US6972524B1 (en) * 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
EP1803142A1 (en) * 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) * 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
ATE441203T1 (de) 2005-06-10 2009-09-15 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8920600B2 (en) * 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7626544B2 (en) * 2006-10-17 2009-12-01 Ut-Battelle, Llc Robust low-frequency spread-spectrum navigation system
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) * 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8073646B2 (en) * 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
US8241457B2 (en) * 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) * 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
JP5026916B2 (ja) * 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
DK2599506T3 (en) 2007-11-06 2018-10-08 Creo Medical Ltd Microwave Plasma Masterization Applicator
US9074285B2 (en) * 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
WO2010019430A2 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
AR073128A1 (es) * 2008-08-26 2010-10-13 Spx Corp Modulo de osciloscopio digital
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) * 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5642181B2 (ja) * 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9514959B2 (en) 2012-10-30 2016-12-06 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230158031A (ko) 2021-03-16 2023-11-17 도요보 엠씨 가부시키가이샤 접착제 조성물과, 이것을 함유하는 접착 시트, 적층체 및 프린트 배선판

Also Published As

Publication number Publication date
TW201637069A (zh) 2016-10-16
TW201237923A (en) 2012-09-16
CN102652266A (zh) 2012-08-29
TW201737300A (zh) 2017-10-16
KR101858265B1 (ko) 2018-05-15
JP2014502027A (ja) 2014-01-23
US9911577B2 (en) 2018-03-06
KR20130137070A (ko) 2013-12-16
US8501631B2 (en) 2013-08-06
WO2012078569A1 (en) 2012-06-14
TWI541854B (zh) 2016-07-11
US9455126B2 (en) 2016-09-27
US20110137446A1 (en) 2011-06-09
US20130345847A1 (en) 2013-12-26
TWI603369B (zh) 2017-10-21
CN102652266B (zh) 2015-10-21
US9128473B2 (en) 2015-09-08
US20160351375A1 (en) 2016-12-01
US20150332894A1 (en) 2015-11-19

Similar Documents

Publication Publication Date Title
JP6104813B2 (ja) プラズマ処理システムおよびこれを制御する方法
JP6279535B2 (ja) プラズマ処理システムを制御するための方法および装置
US9704692B2 (en) System for instantaneous radiofrequency power measurement and associated methods
TW201345322A (zh) 藉由相位鎖定迴路進行之電漿腔室兩相操作
JP4928817B2 (ja) プラズマ処理装置
CN114424319B (zh) 用于在多个频率下控制rf参数的方法及装置
US10755897B2 (en) Plasma processing apparatus and plasma processing method
KR100937164B1 (ko) 공정 모니터링 장치와 그 방법
US20220334160A1 (en) Capacitance sensing systems and methods
US20230335382A1 (en) Non-invasive measurement of plasma systems
KR20090116391A (ko) 플라즈마 검사 방법 및 이를 수행하기 위한 장치
KR20110030042A (ko) 플라즈마 처리장치 및 처리방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160401

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170131

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170301

R150 Certificate of patent or registration of utility model

Ref document number: 6104813

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250