TWI603369B - 基於射頻電壓之電漿處理系統控制裝置 - Google Patents
基於射頻電壓之電漿處理系統控制裝置 Download PDFInfo
- Publication number
- TWI603369B TWI603369B TW105110783A TW105110783A TWI603369B TW I603369 B TWI603369 B TW I603369B TW 105110783 A TW105110783 A TW 105110783A TW 105110783 A TW105110783 A TW 105110783A TW I603369 B TWI603369 B TW I603369B
- Authority
- TW
- Taiwan
- Prior art keywords
- voltage
- plasma processing
- signal
- processing system
- controlling
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims description 81
- 238000006243 chemical reaction Methods 0.000 claims description 46
- 238000012546 transfer Methods 0.000 claims description 29
- 239000000523 sample Substances 0.000 claims description 17
- 238000001914 filtration Methods 0.000 claims description 10
- 230000007246 mechanism Effects 0.000 claims description 9
- 239000003990 capacitor Substances 0.000 claims description 5
- 238000005070 sampling Methods 0.000 claims description 4
- 235000012431 wafers Nutrition 0.000 description 66
- 230000006870 function Effects 0.000 description 43
- 210000002381 plasma Anatomy 0.000 description 42
- 238000000034 method Methods 0.000 description 31
- 238000005513 bias potential Methods 0.000 description 10
- 230000003750 conditioning effect Effects 0.000 description 9
- 230000004044 response Effects 0.000 description 9
- 238000001514 detection method Methods 0.000 description 8
- 238000012937 correction Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 230000001419 dependent effect Effects 0.000 description 4
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 4
- 238000005457 optimization Methods 0.000 description 4
- 229910003468 tantalcarbide Inorganic materials 0.000 description 4
- 238000013459 approach Methods 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000011160 research Methods 0.000 description 3
- 238000004364 calculation method Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- UNASZPQZIFZUSI-UHFFFAOYSA-N methylidyneniobium Chemical compound [Nb]#C UNASZPQZIFZUSI-UHFFFAOYSA-N 0.000 description 2
- 238000000491 multivariate analysis Methods 0.000 description 2
- 238000000513 principal component analysis Methods 0.000 description 2
- 230000008569 process Effects 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 230000006978 adaptation Effects 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 230000008602 contraction Effects 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000009795 derivation Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 210000002304 esc Anatomy 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000002847 impedance measurement Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000000758 substrate Substances 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B15/00—Systems controlled by a computer
- G05B15/02—Systems controlled by a computer electric
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/418—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
- H01J37/32183—Matching circuits
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32926—Software, data control or modelling
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/3299—Feedback systems
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/45—Nc applications
- G05B2219/45031—Manufacturing semiconductor wafers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- General Physics & Mathematics (AREA)
- General Engineering & Computer Science (AREA)
- Automation & Control Theory (AREA)
- Manufacturing & Machinery (AREA)
- Quality & Reliability (AREA)
- Electromagnetism (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
Description
本發明係關於控制電漿處理系統的方法與設備。
本申請案為先前申請的一專利申請案「控制電漿處理系統的方法與設備」(METHODS AND APPARATUS FOR CONTROLLING A PLASMA PROCESSING SYSTEM)之部份連續案,該先申請案於2010年11月19日提出申請,申請案號第12/950,710號、代理人案號P2046/LMRX-P191,該案之優先權案為共有的臨時專利申請案「偏壓補償設備及其方法」(BIAS COMPENSATION APPARATUS AND METHODS THEREFOR)與另一共有的臨時專利申請案「在RF驅動電漿反應室中進行晶圓偏壓補償的方法與裝置」(METHOD AND DEVICE FOR COMPENSATING WAFER BIAS IN A RF DRIVEN PLASMA CHAMBER),其中前者由John Valcore, Jr於2010年2月10日提出申請,美國申請案號為第61/303,628號,後者亦由John Valcore, Jr於2009年11月19日提出申請,美國申請案號為第61/262,886號,這二份申請案皆併入本文以供參考。
半導體產業經常使用無線射頻(RF, radio frequency)驅動電漿反應室來製造矽晶圓。在此類應用中普遍需要監控鞘層電壓(sheath voltage),特別是鞘層電壓如何和晶圓本身的DC偏壓電位相關。
近來有數種技術用以確認晶圓電位、以及鞘層與主體電漿電位。關於晶圓DC偏壓電位,一種監控方法包含測量晶圓的自給偏壓,其係於改變施加至靜電夾盤(ESC, electrostatic chuck)的DC電壓之時藉由檢測晶圓與ESC之間的洩漏電流而得。雖然此一方法在若干目前的生產環境中使用,但計算值係高度仰賴洩漏電流的大小,而其又與系統中的ESC類型相關。檢測通過晶圓流至ESC的洩漏電流之方法亦高度取決於不同類型的背側晶圓薄膜。
另一種確認晶圓偏壓電位的方法是透過使用接在ESC外邊緣並和電漿接觸的碳化矽接腳。然而,此類接腳為耗材且在生產環境中必須經常更換。
第三種檢測晶圓上DC偏壓的方法是透過ESC上的RF電壓探針與信號處理單元,其會由峰值至峰值的RF電壓來計算晶圓電壓。透過使用已校正的增益與偏移,此一方法提供一種檢測方式,在無需探針直接和電漿接觸的情況下,藉由將ESC上的RF電壓定標於DC值來檢測晶圓DC偏壓。此方法假定RF的峰值至峰值電壓與晶圓的DC電位為純粹線性關係,但對多頻電漿而言此情形並不成立。圖1呈現晶圓偏壓對RF Vpp的相關性。在圖1中,當運用線性配適時,R平方值顯然小於一(如R平方值: .90)。
控制電漿處理系統的方法與裝置包含從反應室的至少一個元件接收RF信號,並在數位定義域中處理RF信號,以針對RF信號的每個基頻與寬頻取得峰值電壓資訊,其中該處理使用獨立輸入的RF信號資料。控制電漿處理系統的方法與裝置亦包含由峰值電壓資訊推導出晶圓偏壓資訊,其中該推導至少將電漿處理參數資料納入考量。
現將參照隨附圖式所示的數個實施例加以詳述本發明。在下列敘述中,陳述眾多具體細節以供通盤了解本發明。然而,精於本技術者顯然當知本發明可在不具若干或全部該等具體細節的狀況下實行。在其他情況中,為避免不必要地干擾本發明,並未詳述眾所皆知的處理步驟以及/或是結構。
在此描述包含方法與技術的各式實施例於下。當謹記在心,本發明亦可涵蓋包含電腦可讀媒體的製品,而在電腦可讀媒體中存有用以執行本發明技術之實施例的電腦可讀指令。比方說,電腦可讀媒體可包含用以儲存電腦可讀編碼之半導體式、磁式、光磁式、光學式或其他形式的電腦可讀媒體。另外,本發明亦可涵蓋用以實行本發明實施例的設備。此類設備可包含用以執行本發明實施例所屬任務之專用以及/或是可程式化的電路。此類設備的範例包含適合本發明實施例所屬之各式任務的通用型電腦以及/或是經適當程式化的專用運算裝置,並可包含電腦/運算裝置與專用/可程式化電路之組合。
所揭露發明之實施例將使末端使用者能透過適當地過濾與調節ESC上的RF電壓並利用轉換函數(用以說明多個RF頻率對於晶圓偏壓之貢獻)來測量晶圓偏壓電位。圖2呈現晶圓偏壓對所揭露RF電壓轉換函數輸出之相關性,其中R平方值顯然較接近一(如R平方值:.97)。
當企圖改進利用碳化矽接腳測量DC偏壓電位之現存方法時思及本發明。接腳以及內置接腳的石英絕緣材料之磨耗率經發覺為生產產能的限制因素。雖然已判定在多頻電漿中,RF電壓與晶圓電位之間存在非線性關係,但轉換函數仍可用以根據各個驅動電漿之獨立頻率之貢獻來導出晶圓電位。
已進行測試來確認碳化矽接腳所得之的電漿電位以及從接線晶圓所測得之晶圓電位之間的關係,如圖3所繪。該圖接著顯示所揭露轉換函數和藉由現存碳化矽接腳所得知的電壓信號具有顯著的相關性。
圖4呈現在高深寬比接觸(HARC, High Aspect Ratio Contact)製程期間,於Lam DFC2300 Flex45平台(可洽詢加州佛蒙特的Lam Research Corporation)上使用2MHz、27MHz與60MHz而由矽熱邊緣環(HER, Hot Edge Ring)所得知電壓對上碳化矽接腳所得知電壓。在已知前述碳化矽接腳對接線晶圓之相關性的前提下,該交換性質令人確信涉及驅動電漿之各個RF電壓的轉換函數亦為晶圓介面上電漿鞘層電壓的有效表徵。在一個以上的實施例中,可在RF電壓上執行狀態空間分析以導出轉換函數。狀態空間模式化(State-space modeling)為眾人所熟知而在此將不予以說明。在一個以上的實施例中,已知的暫態處理技巧可用以提升穩健性。
參照圖式與以下論述將可更瞭解本發明實施例之特點與優點。
一般而言,能夠間接測量RF驅動電漿中電漿鞘層電位之DC分量係仰賴與相當接近ESC之RF桿連接的電壓探針。圖5A描繪具備RF驅動電漿的一種系統,由三個RF產生器504A、504B、與504C透過阻抗匹配網路506供電的ESC 502所組成。如圖所示,這些RF產生器提供不同的RF頻率,雖然使用該等頻率數值,但所用的確切頻率以及所用的RF產生器數量仍可依應用情況而有所不同。相當接近(亦即近到足以感測RF電壓)基座板508(或和ESC結合之其他未暴露於電漿的元件)的RF桿或另一合適的RF感測機構供應RF電壓給電容式分壓器網路530。此處將會搭配圖5B詳加論述電容式分壓器網路530。接著會將電容式分壓器網路530的輸出供應給信號調節與處理方塊532,此處將會搭配圖5C詳加論述於下。
圖5B圖示所揭露發明的實施例範例,其中電壓探針(包含電容式分壓器網路530)連接至相當接近ESC基座板之RF桿(或其他合適的RF感測機構)並連接到信號調節與信號處理設備。在圖5B的範例中,電容C1與電容C2串聯,後者接著和並聯的電容C3/C4串聯。電壓信號如圖5B所示般取得。電容式分壓器電壓探針為待感測的RF電壓提供高阻抗路徑而不會不適當地擾亂驅動電漿之RF功率。
電容式分壓器的特定組成係依驅動電漿之RF產生器而定,其中最高頻率的產生器為決定性因素。藉由提供和50歐姆(ohm)的同軸纜線(用以輸送電壓信號給信號調節與信號處理設備)並聯而接地的低阻抗路徑,電容式分壓器亦足以弱化電壓信號。請注意該等數值係供作範例且僅供參考,並非限制。在圖5B的範例中,輸入阻抗相當高(Z = 1/(ω * C),當ω = 60MHz、C = .5pF,則 Z ~ 33Kohms),而接地阻抗則很低(~31ohms @ 60Mhz)。
接著透過類比或數位濾波器的網路調節RF電壓信號。圖5C為類比RF電壓信號調節網路的實施例範例,其為圖5A方塊532中所實行的類型。在類比RF電壓信號調節網路的輸入處,信號分成數個獨立的頻道(圖5C的範例中為4),以進行用以驅動電漿的特定RF頻率之RF過濾。在此實施例中,RF產生器頻率為2MHz、27MHz與60MHz。一個頻道並未過濾而維持寬頻電壓頻譜。雖然亦可使用主動峰值檢測器,但在此實施例中,四個頻道係透過被動峰值檢測器(如1N5711二極體)轉成DC信號。
DC信號接著轉成數位信號以讓數位信號處理器處理,其中將個別的信號加以校正並輸入至晶圓偏壓轉換函數。轉換函數的輸出接著回饋至偏壓補償網路。當注意所揭露的類比信號調節網路可完全在數位定義域中達成。在此狀況下,不需要信號分流器或類比濾波器,僅需類比轉數位轉換器(ADC, analog to digital converter)與信號處理單元(DSP、FPGA、CPLD等等),其中信號處理單元用於全部的過濾、峰值檢測、校正、以及轉換函數計算。
在一個以上的實施例中,每個電壓頻道的校正是藉由施加增益與偏移至每個頻道來執行。每個頻道(圖5A-5C中範例的2MHz、27MHz、60MHz與寬頻未過濾電壓)的增益與偏移是由特定頻道的信號響應與其和晶圓偏壓的相關性來決定。對已過濾的頻道而言,達成此作業是藉由針對該唯一單頻,刻劃特定已過濾的頻道對於晶圓偏壓之響應的特性。舉例而言,2MHz頻道的響應和僅由2MHz驅動的電漿之晶圓偏壓相關,且後續的增益與偏移將用以校正此一頻道。針對27MHz與60MHz重複此作業。寬頻信號的校正是藉由對比由全部三種頻率以及每種後續變化(僅有2MHz、2 MHz與27MHz、僅有60MHz、27MHz與60MHz等等)所驅動的電漿之晶圓偏壓。
校正的一個重要因素亦為電容式分壓器電壓探針530的響應。電容式分壓器傾向針對每一頻率具有唯一的信號響應。作為推薦的解決方案,網路分析器可用以刻劃電容式分壓器電壓感測器之響應的特性,且接著可使用此響應以藉此修正前述的校正係數。在製造精確且具重複性的儀器上,此為一重要因素。
計算晶圓偏壓的轉換函數包含四個輸入:針對2MHz、27MHz與60MHz之已校正的DC電壓信號以及未過濾的寬頻信號。該等電壓信號接著會乘上一串係數。每一係數為驅動電壓的特定頻率混合之函數。比方說,該等係數的推導是透過由晶圓偏壓與四個電壓信號(2MHz、27MHz、60MHz與寬頻)所組成之矩陣的主成份分析(PCA, principal component analysis)。接著加總個別的係數乘積合量而產生晶圓偏壓值。條件語句與加權因子亦可用以最佳化係數,並因而最佳化轉換函數結果。
圖6-7進一步論述用以根據依頻率而定的RF電壓信號來計算晶圓電位之高阻抗RF電壓探針。在圖6中建立一線性轉換函數,且流程圖範例圖示此線性轉換函數可如何用以產生晶圓偏壓值。圖7為流程圖,圖示使用非線性轉換函數來產生晶圓偏壓值。據信在若干(若非大多)情況下,非線性轉換函數能由RF電壓較佳地逼近晶圓偏壓值。各方法(線性對非線性)特性之進一步說明係提供於下。依據本發明實施例,二種方法皆提供用以使感測的RF電壓和晶圓偏壓相關聯之方式,特別是在多頻的應用情況中。接著可用晶圓偏壓作為ESC電源以及/或是偏壓補償網路以及/或是電漿處理系統中其他子系統之回饋或控制信號。
在另一實施例中,可在數位定義域中處理ESC RF信號,以提高可組態度並供應較寬的RF功率動態範圍,而此為高要求的處理作業(如某些高深寬比蝕刻)所需。圖8呈現數位實行實施例範例的方塊圖。方塊1102的輸入ESC RF信號可從電容式拾波器取得,比方說如圖5A的電容式分壓器網路530。在圖8的實例中,輸入RF信號的範圍約從350 KHz至120 MHz,其中基頻約為400 KHz、2MHz、13 MHz、27 MHz與60 MHz,然而該等範例值以及所涉及的頻率數值並非用以限制本發明範疇。
接著使用反疊頻低通濾波器1104來過濾輸入RF信號,其中濾波器頻率設在類比轉數位轉換器(ADC)取樣率的約1/2,ADC之後係用以將來自方塊1102的類比輸入RF信號轉成數位信號。在圖8範例的狀況中,ADC取樣率為250 MHz,因而反疊頻濾波器設定在約120 MHz,小於ADC取樣率的1/2(用以依奈奎斯定理(Nyquist’s theorem)得到令人滿意的信號解析度)。
來自反疊頻濾波器1104之已過濾的RF信號接著會由RF分流器1106分成二個頻道(藉由使用適當的低通與高通濾波器)以供給雙通道ADC 1112。RF分流對於提高信號解析度為一種可選方案,並執行用以達使用雙通道ADC的最佳化。然而,如果想要,也能使用單通道ADC並從反疊頻濾波器1104供給已過濾的RF信號給單通道ADC。
和圖5C的類比方法相反,類比輸入RF信號因而不需要在類比定義域中分流以及/或是在類比定義域中檢測峰值。RF信號反而是藉由ADC 1112轉入數位定義域中(在經由反疊頻濾波器的適當過濾之後)。由於不需經歷類比峰值檢測處理方式的限制,所以此項作業同時提高可組態度與動態範圍響應。具體而言,數位方法克服在類比峰值檢測處理方式中整流二極體的非線性響應所施加的限制。類比峰值檢測處理方式的另一限制因素為二極體的輸出傾向為輸入電壓均方根(RMS, root mean square)的函數,功率檢波器尤然。此一特性更加限制類比檢測器解析真正RF峰值振幅的能力,而不是以平均RF電壓峰值作為RMS信號的函數。數位方法避開這些以及其他問題。
藉由現場可程式閘陣列(FPGA, field programmable gate array),數位處理作業透過圖8所示的邏輯來執行。在此範例中使用FPGA部份是為了利用FPGA在多通道上的平行處理能力,然而亦可使用其他形式的邏輯系統,包含微處理器、微控制器、專用硬體電路、數位信號處理器等等。
其中一個改良係關於使用來自RF產生器(1122)的RF信號作為數位處理方塊1120的獨立輸入。獨立輸入的RF信號資料可包含狀態(開/關)、基頻、以及針對來自RF產生器的每個RF信號之驅動信號相位,而該RF信號資料可用以取得基音(fundamental tones)以調節方塊1120中的數位濾波器(如帶通濾波器)。相位資料對於解析各種頻率的ESC RF信號之調變效應特別有用,以更精確地計算任一特定頻率的電壓。
闡述之,相位信號能夠解調RF信號,而其為電漿動態(作為主動非線性負載)的函數。一般常把電漿反應室視為RF混合器,產生調性作為RF來源頻率以及氣體結合/解離和電漿鞘層膨脹/收縮的比率之函數。藉由針對提供及/或偏壓電漿的每個RF產生器使用驅動信號的相位,就可更精確地解析每個基本的RF調性,即使在最動態的電漿狀況(例如RF產生器出現脈波)下亦然。
另外或額外的狀況下,藉由使用由RF產生器的RF信號所取得之基音來調節這些數位濾波器能建構相當精準且緊貼著基頻量身打造的濾波器,此能大幅提高數位處理方塊1120之信號解析度的正確度。
來自數位處理方塊1120的輸出包含每個基頻以及組合寬頻信號的峰值電壓(V峰值
)。峰值檢測可為正峰值、負峰值、或二者皆可。對於組合信號而言,負峰值資訊在處理階段特別有用,因為在作為每個RF來源之諧和函數的波形中常有不對稱。因此,藉由檢測正與負峰值二者,就能解析電漿中諧波的相對尺度。此一諧波尺度接著可用以針對特定狀態空間進一步最佳化晶圓偏壓電位轉換函數。
如所論,數位方法的優點是易於組態。比方說,當使用不同的RF頻率以及/或是額外的RF頻率以及/或是較少的RF頻率時,並不需要更換硬體。數位濾波器、轉換函數、以及其他的最佳化可在相同的可程式邏輯系統上重新編寫程式,省下更換應用系統時所需的大量時間與成本。
本發明的另一態樣係關於使用額外的電漿反應室參數以提高ESC RF電壓與晶圓偏壓電位之間的相關性。這些額外的反應室參數包含反應室壓力、反應室間隙(電極之間的距離)、RF輸送功率、RF頻率、RF產生器阻抗匹配網路分接頭位置(可變LC網路的電容位置)、反應室化學、反應室拓樸(即接地面積比)、晶圓類型(背側薄膜、基板薄膜堆)、以及晶圓電阻係數。在本論述中,論述二個特定的反應室參數(壓力與間隙距離)以利瞭解,然而依據應用狀況,數量以及確切的參數仍可不同。
在本發明的另一態樣中,發明人於此發覺當涉及不同的反應室壓力時,RF電壓與晶圓偏壓之間的相關性會有顯著的改變(如趨勢線斜率的增加)。藉由將反應室壓力納入考量,就可從ESC RF電壓更準確而快速地判定晶圓偏壓。比方說,可在研究環境中經由實證決定補償函數或方程式或衡量因子(例如藉由標定出在不同反應室壓力下RF電壓對晶圓偏壓的圖並決定相關性變化),以接著在生產環境中使用。在一實施例中,可使用反應室壓力資訊作為衡量因子以衡量壓力未知的轉換函數輸出。額外或另外的狀況下,可使用反應室壓力作為轉換函數的另一輸入,以針對轉換函數的特定輸入獲得特定壓力的輸出。
在本發明的另一態樣中,發明人於此發覺當上與下電極之間涉及不同的反應室間隙時,ESC RF電壓與晶圓偏壓之間的相關性會有顯著的改變(例如趨勢線斜率的增加)。藉由將電極間隙資料納入考量,就可從ESC RF電壓更準確而快速地判定晶圓偏壓。比方說,可在研究環境中經由實證決定補償函數或方程式或衡量因子(例如藉由標定出在不同反應室間隙下RF電壓對晶圓偏壓的圖並決定相關性變化),以接著在生產環境中使用。在一實施例中,可用反應室間隙作為衡量因子以衡量壓力未知的轉換函數輸出。額外或另外的狀況下,可用反應室間隙作為轉換函數的另一輸入,以針對轉換函數的特定輸入取得特定壓力的輸出。可另外或額外使用反應室間隙資訊之最佳化作業來和反應室壓力資訊搭配使用。若為進一步最佳化轉換函數而使用其他的反應室資料,則相似的考量亦適用之。
回頭參照圖8,經由適當的訊息交換介面1152,額外的反應室資料可從處理模組1150接收。在圖8的範例中,透過使用從方塊1120所接收的峰值電壓(正與負)資訊以及從方塊1150所接收的反應室資料資訊,DSP方塊1154中會實際運用轉換函數以及藉由使用反應室資料來最佳化。然而,亦可能在方塊1120中執行此類的轉換函數運用與最佳化,於此狀況下,比方說,方塊1120將會直接從處理模組1150取得反應室資料。DSC方塊1154的輸出代表晶圓電位的計算值並透過數位轉類比轉換器(DAC, digital-to-analog converter)1156反轉回類比定義域,以作為ESC電源單元1160以及/或是RF產生器1162的回饋或控制信號。比方說,由於晶圓偏壓電位的計算值(如此處所揭露,計算成RF電壓的函數)之正確度與準確度提升,此計算值就能用作RF產生器輸送功率的控制信號,以便針對特定的晶圓處理步驟維持期望的晶圓電位。
請注意亦可能以所論述的方式執行類比分流與峰值檢測(依照圖5C)並使用額外的反應室參數來進行額外的最佳化作業,以取得晶圓偏壓電位的計算值。或者,輸入RF信號可直接轉進數位定義域,誠如參照圖8所述,然後在數位定義域中處理並最佳化該信號以得晶圓偏壓電位的計算值。
藉由在數位定義域中處理ESC RF信號以及/或是使用獨立輸入的RF產生器信號以及/或是使用額外的反應參數以最佳化相關性,本發明實施例能夠從ESC RF電壓得到更正確的晶圓偏壓計算值。取得更正確的晶圓偏壓接著會提高監控電漿鞘層、檢測不期望的電漿狀況(如電漿未受局限)等等的能力。
二種方法(線性對非線性)之不同如下。
初始法(initial method):初始法使用多變量分析(MVA, multivariate analysis)來建立線性轉換函數。針對每個狀態空間執行部分最小平方法(PLS, partial least squares)以建立線性轉換函數(RF電壓對晶圓偏壓)。
即時法(current method):即時法使用非線性轉換函數(乘冪方程式)。所用乘冪方程式是根據RF功率對RF電壓的現行實體關係。
電壓 = sqrt (功率/R)。此方程式可改寫為V = a * (功率/|Z|)^ b + c。理論上,a = 1、b = 0.5、且c = 0。
電漿阻抗為所輸送RF功率以及處理參數(化學關係、壓力、流量、反應室耦合方式(電容式/電感式))的函數。
一階近似:V_晶圓_dc = V_rf。
針對特定頻率,外顯乘冪方程式(explicit power equation)可用以使RF電壓和晶圓DC電壓相關聯:V_晶圓_dc = a * V_rf ^ b + c,其中令係數a、b、c最佳化以涵蓋針對特定頻率之電漿阻抗的所有運作範圍。
此外,存在七個狀態空間。針對單一頻率,存在單一方程式 (V_晶圓_dc = a * Vpp ^ b + c)。係數a以[.3與3]為界。係數b以[.5與1]為界(永遠不能大於一)。係數c一般為0 (針對測量的不準確而可有或多或少的補償)。針對多頻狀態,每個頻率的貢獻是依單一頻率轉換函數來計算。個別頻率的貢獻會加總在一起。最終結果:V_晶圓_dc = a * V_加總^ b + c。係數a、b、c具有相同的界限。
此方法行得通是因為重複V = sqrt (功率 / |Z| ),且一階近似:V_晶圓_dc = V_rf。此外,係數a為電漿阻抗的函數、係數b為RF電壓峰值偵測法的函數、且係數c為校正的函數。
理論上,可簡單採用一次方程式:V_晶圓_dc = a * {加總(RF_Pwr_2MHz / |Z2MHz| + RF_Pwr_27MHz / |Z27MHz| + RF_Pwr_60MHz / |Z60MHz|)} ^ b + c,其中令係數a、b、c最佳化以顧及所輸送功率與電漿阻抗測量的準確性/精確度。
雖然已採數個較佳實施例來描述本發明,但仍有落在本發明範疇之內的變化、置換、以及等效者。比方說,雖然此處揭露用以計算晶圓偏壓電位的RF電壓是從ESC或ESC基座板或從ESC組件的其他元件或接近ESC周遭而得,但實際上RF電壓可在RF輸送路徑的任何地點上測得並用來計算晶圓偏壓。因此,詞彙「RF電壓」與「ESC RF電壓」以及「ESC RF信號」等等不應受範例中其術語用法的限制。亦當注意有很多種不同方式可實行本發明的方法與設備。雖然此處已提供各式範例,然而該等範例欲作為說明而非限制本發明。
502‧‧‧ESC
504A、504B、504C‧‧‧RF產生器
506‧‧‧阻抗匹配網路
508‧‧‧ESC基座板
530‧‧‧電容式分壓器網路
532‧‧‧信號調節與處理方塊
1102‧‧‧方塊
1104‧‧‧反疊頻低通濾波器
1106‧‧‧RF分流器
1112‧‧‧雙通道類比轉數位轉換器(ADC)
1120‧‧‧數位處理方塊
1122‧‧‧RF產生器
1150‧‧‧處理模組
1152‧‧‧訊息交換介面
1154‧‧‧DSC方塊
1156‧‧‧數位轉類比轉換器(DAC)
1160‧‧‧ESC電源單元
1162‧‧‧RF產生器
藉由實例而非限制的方式以隨附圖式的圖來說明本發明,而圖中類似的元件符號意指相似的元件且其中:
圖1呈現晶圓偏壓對RF Vpp的相關性。
圖2呈現晶圓偏壓對所揭露RF電壓轉換函數的相關性,其中R平方值相當接近一。
圖3描繪經由測試來確認電漿電位(由碳化矽接腳得知)與晶圓電位(由接線晶圓測得)之間的關係。
圖4呈現在高深寬比接觸(HARC, High Aspect Ratio Contact)製程期間,於Lam DFC2300 Flex45平台上使用2MHz、27MHz與60MHz而從Si熱邊緣環(HER, Hot Edge Ring)所得之電壓相對碳化矽接腳所得之電壓。
圖5A描繪具有RF驅動電漿的系統,由三個RF產生器供電的ESC組成。
圖5B描繪所揭露發明的實施例,其中電壓探針(包含電容式分壓器網路)連接到相當接近ESC底座板的RF桿以及信號調節與信號處理裝置。
圖5C為具備數位信號處理單元之類比RF電壓信號調節網路的實施例。
圖6-7論述用以根據由頻率而定的RF電壓信號來計算晶圓電位之高阻抗RF電壓探針。
圖8依照本發明實施例呈現數位實行實施例範例的方塊圖。
1102‧‧‧方塊
1104‧‧‧反疊頻低通濾波器
1106‧‧‧RF分流器
1112‧‧‧雙通道類比轉數位轉換器(ADC)
1120‧‧‧數位處理方塊
1122‧‧‧RF產生器
1150‧‧‧處理模組
1152‧‧‧訊息交換介面
1154‧‧‧DSC方塊
1156‧‧‧數位轉類比轉換器(DAC)
1160‧‧‧ESC電源單元
1162‧‧‧RF產生器
Claims (20)
- 一種控制電漿處理系統的裝置,該電漿處理系統包含電漿反應室,該裝置包含:RF(射頻)感測機構,該RF感測機構係接近設置於該電漿處理系統內的靜電夾盤(ESC)之未暴露於電漿之元件,用以取得RF電壓信號;電壓探針,連接至該RF感測機構,以利取得該RF電壓信號,同時減少擾亂在該電漿處理系統中驅動電漿之RF功率;信號處理裝置,包含:反疊頻濾波器,用以過濾從該電壓探針接收的該RF電壓信號;RF分流器,用以將已過濾的該RF電壓信號分成複數頻道,並用以針對該複數頻道之各者將該RF電壓信號轉換成對應的DC(直流)信號;類比轉數位轉換器(ADC),用以在一數位定義域中將該複數頻道之各者的直流信號轉換成對應的數位信號;數位處理方塊,用以處理該數位定義域內的該等數位信號,其中該處理包含:(a)過濾該等數位信號,其中該過濾包含偵測該複數頻道之各頻率的峰值電壓、及組合寬頻信號的峰值電壓;(b)校正該等數位信號之各者;(c)使用該等數位信號作為輸入而計算轉換函數,以取得轉換函數輸出,該轉換函數輸出將該RF電壓信號之該複數頻道之各者的頻率對於晶圓偏壓的貢獻納入考量;以及 ESC電源子系統,用以接收該轉換函數輸出作為回饋信號,以控制該電漿處理系統。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中該數位處理方塊更用以將該等數位信號其中一者維持為未過濾寬頻形式的數位信號。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中偵測峰值電壓包含偵測正峰值電壓與負峰值電壓的其中一者、或該正峰值電壓及該負峰值電壓的組合。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中該ADC為多通道ADC。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中該未暴露於電漿之元件為基座板。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中該RF感測機構為RF桿。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中該電壓探針係至少藉由電容式分壓器網路實行。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中該轉換函數代表一線性轉換函數。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中該轉換函數代表一非線性轉換函數。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中該反疊頻濾波器的濾波器頻率係設定為小於該ADC之取樣頻率的一半。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中該數位定義域中之處理係藉由現場可程式閘陣列(FPGA)而執行。
- 如申請專利範圍第1項之控制電漿處理系統的裝置,其中計算該轉換函數更包含使用至少一電漿處理室參數以產生影響晶圓偏壓的該轉換函數輸出。
- 如申請專利範圍第12項之控制電漿處理系統的裝置,其中該電漿處理室參數包含反應室壓力、由電極之間之距離定義的反應室間隙、RF輸送功率、RF頻率、具有可變LC(電感-電容)網路電容位置的RF產生器阻抗匹配網路分接頭位置、反應室化學、包含接地面積比的反應室拓樸、晶圓類型、以及晶圓電阻係數其中至少一者。
- 一種用以控制電漿處理系統的系統,包含:RF感測機構,該RF感測機構係接近靜電夾盤(ESC)之未暴露於電漿之元件,用以取得RF電壓信號,該靜電夾盤係設置在用於電漿處理的該系統內;電壓探針,連接至該RF感測機構,以利取得該RF電壓信號,同時減少擾亂在該系統中驅動電漿之RF功率;信號處理裝置,包含:反疊頻濾波器,用以過濾從該電壓探針接收的該RF電壓信號;RF分流器,用以將已過濾的該RF電壓信號分成複數頻道,並用以針對該複數頻道之各者將該RF電壓信號轉換成對應的DC信號;類比轉數位轉換器(ADC),用以在一數位定義域中將該複數頻道之各者的直流信號轉換成對應的數位信號; 數位處理方塊,用以處理該數位定義域內的該等數位信號,其中該處理包含使用該等數位信號作為輸入而計算轉換函數,以產生轉換函數輸出,該轉換函數輸出將該RF電壓信號之該複數頻道之各者的頻率對於晶圓偏壓的貢獻納入考量;以及ESC電源子系統,用以接收該轉換函數輸出作為回饋信號,以控制該系統內的電漿處理。
- 如申請專利範圍第14項之用以控制電漿處理系統的系統,其中該數位處理方塊更用以將該等數位信號其中一者維持為未過濾寬頻形式的數位信號。
- 如申請專利範圍第14項之用以控制電漿處理系統的系統,其中處理該等數位信號包含過濾該等數位信號,該過濾包含偵測各頻率的峰值電壓、及組合寬頻信號的峰值電壓,其中偵測峰值電壓包含偵測正峰值電壓、負峰值電壓、或該正峰值電壓及該負峰值電壓的組合。
- 如申請專利範圍第14項之用以控制電漿處理系統的系統,其中該電壓探針係至少藉由電容式分壓器網路實行。
- 如申請專利範圍第14項之用以控制電漿處理系統的系統,其中該反疊頻濾波器的濾波器頻率係設定為小於該ADC之取樣頻率的一半。
- 如申請專利範圍第14項之用以控制電漿處理系統的系統,其中該數位定義域中之處理係藉由現場可程式閘陣列(FPGA)而執行。
- 如申請專利範圍第14項之用以控制電漿處理系統的系統,其中計算該轉換函數更包含使用至少一電漿處理室參數作為輸入,以產生影響晶圓偏壓的該轉換函數輸出,其中該電漿處理室參數包含反應室壓力、由電極之間之距離定義的反應室間隙、RF輸送功率、RF頻率、具有可變LC網路電容位置的RF產生器阻抗匹配網路分接頭位置、反應室化學、包含接地面積比的反應室拓樸、晶圓類型、以及晶圓電阻係數其中至少一者。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/962,524 US8501631B2 (en) | 2009-11-19 | 2010-12-07 | Plasma processing system control based on RF voltage |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201637069A TW201637069A (zh) | 2016-10-16 |
TWI603369B true TWI603369B (zh) | 2017-10-21 |
Family
ID=46207474
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW100145122A TWI541854B (zh) | 2010-12-07 | 2011-12-07 | 基於射頻電壓之電漿處理系統及其控制方法 |
TW105110783A TWI603369B (zh) | 2010-12-07 | 2011-12-07 | 基於射頻電壓之電漿處理系統控制裝置 |
TW106126034A TW201737300A (zh) | 2010-12-07 | 2011-12-07 | 基於射頻電壓之電漿處理系統控制裝置 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW100145122A TWI541854B (zh) | 2010-12-07 | 2011-12-07 | 基於射頻電壓之電漿處理系統及其控制方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106126034A TW201737300A (zh) | 2010-12-07 | 2011-12-07 | 基於射頻電壓之電漿處理系統控制裝置 |
Country Status (6)
Country | Link |
---|---|
US (4) | US8501631B2 (zh) |
JP (1) | JP6104813B2 (zh) |
KR (1) | KR101858265B1 (zh) |
CN (1) | CN102652266B (zh) |
TW (3) | TWI541854B (zh) |
WO (1) | WO2012078569A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI716831B (zh) * | 2018-09-13 | 2021-01-21 | 大陸商中微半導體設備(上海)股份有限公司 | 可切換匹配網路及電感耦合電漿處理器 |
Families Citing this family (111)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11615941B2 (en) | 2009-05-01 | 2023-03-28 | Advanced Energy Industries, Inc. | System, method, and apparatus for controlling ion energy distribution in plasma processing systems |
US9767988B2 (en) | 2010-08-29 | 2017-09-19 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
US8501631B2 (en) | 2009-11-19 | 2013-08-06 | Lam Research Corporation | Plasma processing system control based on RF voltage |
US9117767B2 (en) * | 2011-07-21 | 2015-08-25 | Lam Research Corporation | Negative ion control for dielectric etch |
US9030101B2 (en) * | 2012-02-22 | 2015-05-12 | Lam Research Corporation | Frequency enhanced impedance dependent power control for multi-frequency RF pulsing |
US10128090B2 (en) | 2012-02-22 | 2018-11-13 | Lam Research Corporation | RF impedance model based fault detection |
US9842725B2 (en) | 2013-01-31 | 2017-12-12 | Lam Research Corporation | Using modeling to determine ion energy associated with a plasma system |
US9462672B2 (en) | 2012-02-22 | 2016-10-04 | Lam Research Corporation | Adjustment of power and frequency based on three or more states |
US9502216B2 (en) | 2013-01-31 | 2016-11-22 | Lam Research Corporation | Using modeling to determine wafer bias associated with a plasma system |
US9530620B2 (en) * | 2013-03-15 | 2016-12-27 | Lam Research Corporation | Dual control modes |
US10157729B2 (en) | 2012-02-22 | 2018-12-18 | Lam Research Corporation | Soft pulsing |
US9368329B2 (en) | 2012-02-22 | 2016-06-14 | Lam Research Corporation | Methods and apparatus for synchronizing RF pulses in a plasma processing system |
US10325759B2 (en) * | 2012-02-22 | 2019-06-18 | Lam Research Corporation | Multiple control modes |
US9320126B2 (en) | 2012-12-17 | 2016-04-19 | Lam Research Corporation | Determining a value of a variable on an RF transmission model |
US9197196B2 (en) | 2012-02-22 | 2015-11-24 | Lam Research Corporation | State-based adjustment of power and frequency |
US9295148B2 (en) | 2012-12-14 | 2016-03-22 | Lam Research Corporation | Computation of statistics for statistical data decimation |
US9114666B2 (en) | 2012-02-22 | 2015-08-25 | Lam Research Corporation | Methods and apparatus for controlling plasma in a plasma processing system |
US9171699B2 (en) | 2012-02-22 | 2015-10-27 | Lam Research Corporation | Impedance-based adjustment of power and frequency |
US9390893B2 (en) | 2012-02-22 | 2016-07-12 | Lam Research Corporation | Sub-pulsing during a state |
US9685297B2 (en) | 2012-08-28 | 2017-06-20 | Advanced Energy Industries, Inc. | Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system |
US9408288B2 (en) | 2012-09-14 | 2016-08-02 | Lam Research Corporation | Edge ramping |
US9043525B2 (en) | 2012-12-14 | 2015-05-26 | Lam Research Corporation | Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool |
CN103869769B (zh) * | 2012-12-14 | 2017-04-12 | 朗姆研究公司 | 用于统计数据抽取的统计计算 |
US9155182B2 (en) | 2013-01-11 | 2015-10-06 | Lam Research Corporation | Tuning a parameter associated with plasma impedance |
US9779196B2 (en) | 2013-01-31 | 2017-10-03 | Lam Research Corporation | Segmenting a model within a plasma system |
US9620337B2 (en) * | 2013-01-31 | 2017-04-11 | Lam Research Corporation | Determining a malfunctioning device in a plasma system |
US9107284B2 (en) * | 2013-03-13 | 2015-08-11 | Lam Research Corporation | Chamber matching using voltage control mode |
US9119283B2 (en) | 2013-03-14 | 2015-08-25 | Lam Research Corporation | Chamber matching for power control mode |
TWI647735B (zh) * | 2013-03-15 | 2019-01-11 | 美商蘭姆研究公司 | 使用模型化以建立與電漿系統相關的離子能量 |
US10469108B2 (en) * | 2013-05-09 | 2019-11-05 | Lam Research Corporation | Systems and methods for using computer-generated models to reduce reflected power towards a high frequency RF generator during a cycle of operations of a low frequency RF generator |
US9502221B2 (en) | 2013-07-26 | 2016-11-22 | Lam Research Corporation | Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching |
US9594105B2 (en) | 2014-01-10 | 2017-03-14 | Lam Research Corporation | Cable power loss determination for virtual metrology |
US10153143B2 (en) * | 2014-03-14 | 2018-12-11 | Applied Materials, Inc. | Smart chamber and smart chamber components |
CN104934340B (zh) * | 2014-03-21 | 2017-10-20 | 北京北方华创微电子装备有限公司 | 一种射频传感器及阻抗匹配装置 |
US10950421B2 (en) | 2014-04-21 | 2021-03-16 | Lam Research Corporation | Using modeling for identifying a location of a fault in an RF transmission system for a plasma system |
US10121641B2 (en) | 2014-07-21 | 2018-11-06 | Lam Research Corporation | Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems |
US9741543B2 (en) | 2014-07-21 | 2017-08-22 | Lam Research Corporation | Multi-range voltage sensor and method for a voltage controlled interface of a plasma processing system |
KR20160022458A (ko) | 2014-08-19 | 2016-03-02 | 삼성전자주식회사 | 플라즈마 장비 및 이의 동작 방법 |
US9652567B2 (en) * | 2014-10-20 | 2017-05-16 | Lam Research Corporation | System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path |
US10102321B2 (en) * | 2014-10-24 | 2018-10-16 | Lam Research Corporation | System, method and apparatus for refining radio frequency transmission system models |
US9536749B2 (en) | 2014-12-15 | 2017-01-03 | Lam Research Corporation | Ion energy control by RF pulse shape |
DE102015212242A1 (de) * | 2015-06-30 | 2017-01-05 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zum Abtasten eines mit einem Plasmaprozess in Beziehung stehenden Signalgemischs |
US10297422B2 (en) * | 2015-11-04 | 2019-05-21 | Lam Research Corporation | Systems and methods for calibrating conversion models and performing position conversions of variable capacitors in match networks of plasma processing systems |
CN108353493B (zh) * | 2016-01-22 | 2020-05-19 | Spp科技股份有限公司 | 等离子体控制装置 |
JP6392266B2 (ja) * | 2016-03-22 | 2018-09-19 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
EP3472268A4 (en) | 2016-06-17 | 2020-02-19 | Uop Llc | METHOD FOR PRODUCING A FUEL FUEL FROM A BIOMASS FLOW |
US9805919B1 (en) * | 2017-01-13 | 2017-10-31 | Lam Research Corporation | RF detector with double balanced linear mixer and corresponding method of operation |
US10410836B2 (en) * | 2017-02-22 | 2019-09-10 | Lam Research Corporation | Systems and methods for tuning to reduce reflected power in multiple states |
KR102421625B1 (ko) * | 2017-06-27 | 2022-07-19 | 캐논 아네르바 가부시키가이샤 | 플라스마 처리 장치 |
WO2019003312A1 (ja) * | 2017-06-27 | 2019-01-03 | キヤノンアネルバ株式会社 | プラズマ処理装置 |
SG11201912569UA (en) * | 2017-06-27 | 2020-01-30 | Canon Anelva Corp | Plasma processing apparatus |
PL3648551T3 (pl) | 2017-06-27 | 2021-12-06 | Canon Anelva Corporation | Urządzenie do obróbki plazmowej |
US10636630B2 (en) * | 2017-07-27 | 2020-04-28 | Applied Materials, Inc. | Processing chamber and method with thermal control |
US11664206B2 (en) | 2017-11-08 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Arcing protection method and processing tool |
KR20200100642A (ko) | 2017-11-17 | 2020-08-26 | 에이이에스 글로벌 홀딩스 피티이 리미티드 | 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어 |
EP3711082A4 (en) | 2017-11-17 | 2021-09-29 | AES Global Holdings, Pte. Ltd. | IMPROVED USE OF MODULATION SUPPLIES IN A PLASMA PROCESSING SYSTEM |
CN111868873B (zh) | 2017-11-17 | 2023-06-16 | 先进工程解决方案全球控股私人有限公司 | 等离子体处理源和衬底偏置的同步的脉冲化 |
WO2019112903A1 (en) | 2017-12-05 | 2019-06-13 | Lam Research Corporation | System and method for edge ring wear compensation |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
JP6688440B1 (ja) | 2018-06-26 | 2020-04-28 | キヤノンアネルバ株式会社 | プラズマ処理装置、プラズマ処理方法、プログラムおよびメモリ媒体 |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
KR20200060624A (ko) | 2018-11-22 | 2020-06-01 | 삼성전자주식회사 | 기판 처리 장치, 기판 처리 방법 및 이를 이용한 반도체 소자의 제조 방법 |
JP7451540B2 (ja) | 2019-01-22 | 2024-03-18 | アプライド マテリアルズ インコーポレイテッド | パルス状電圧波形を制御するためのフィードバックループ |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US11587773B2 (en) | 2019-05-24 | 2023-02-21 | Applied Materials, Inc. | Substrate pedestal for improved substrate processing |
US11114279B2 (en) | 2019-06-28 | 2021-09-07 | COMET Technologies USA, Inc. | Arc suppression device for plasma processing equipment |
US11527385B2 (en) | 2021-04-29 | 2022-12-13 | COMET Technologies USA, Inc. | Systems and methods for calibrating capacitors of matching networks |
US11107661B2 (en) | 2019-07-09 | 2021-08-31 | COMET Technologies USA, Inc. | Hybrid matching network topology |
US11596309B2 (en) | 2019-07-09 | 2023-03-07 | COMET Technologies USA, Inc. | Hybrid matching network topology |
CN114222958B (zh) | 2019-07-12 | 2024-03-19 | 先进工程解决方案全球控股私人有限公司 | 具有单个受控开关的偏置电源 |
US12002611B2 (en) | 2019-08-28 | 2024-06-04 | COMET Technologies USA, Inc. | High power low frequency coils |
US11521832B2 (en) | 2020-01-10 | 2022-12-06 | COMET Technologies USA, Inc. | Uniformity control for radio frequency plasma processing systems |
US11830708B2 (en) | 2020-01-10 | 2023-11-28 | COMET Technologies USA, Inc. | Inductive broad-band sensors for electromagnetic waves |
US12027351B2 (en) | 2020-01-10 | 2024-07-02 | COMET Technologies USA, Inc. | Plasma non-uniformity detection |
US11887820B2 (en) | 2020-01-10 | 2024-01-30 | COMET Technologies USA, Inc. | Sector shunts for plasma-based wafer processing systems |
US11670488B2 (en) | 2020-01-10 | 2023-06-06 | COMET Technologies USA, Inc. | Fast arc detecting match network |
US11961711B2 (en) | 2020-01-20 | 2024-04-16 | COMET Technologies USA, Inc. | Radio frequency match network and generator |
US11605527B2 (en) | 2020-01-20 | 2023-03-14 | COMET Technologies USA, Inc. | Pulsing control match network |
CN113820531B (zh) * | 2020-06-19 | 2024-07-12 | 拓荆科技股份有限公司 | 一种射频系统状态受控的半导体设备 |
US11462388B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Plasma processing assembly using pulsed-voltage and radio-frequency power |
US11373844B2 (en) | 2020-09-28 | 2022-06-28 | COMET Technologies USA, Inc. | Systems and methods for repetitive tuning of matching networks |
CN112345814B (zh) * | 2020-10-30 | 2024-07-23 | 北京北方华创微电子装备有限公司 | 直流偏压检测方法、装置、治具以及下电极系统 |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US12057296B2 (en) | 2021-02-22 | 2024-08-06 | COMET Technologies USA, Inc. | Electromagnetic field sensing device |
US11749505B2 (en) * | 2021-02-23 | 2023-09-05 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
CN116981744A (zh) | 2021-03-16 | 2023-10-31 | 东洋纺Mc株式会社 | 粘接剂组合物及含有其的粘接片材、层叠体和印刷线路板 |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11984306B2 (en) | 2021-06-09 | 2024-05-14 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
JP2023001473A (ja) * | 2021-06-21 | 2023-01-06 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11776788B2 (en) | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
US11923175B2 (en) | 2021-07-28 | 2024-03-05 | COMET Technologies USA, Inc. | Systems and methods for variable gain tuning of matching networks |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US12106938B2 (en) | 2021-09-14 | 2024-10-01 | Applied Materials, Inc. | Distortion current mitigation in a radio frequency plasma processing chamber |
US12046448B2 (en) | 2022-01-26 | 2024-07-23 | Advanced Energy Industries, Inc. | Active switch on time control for bias supply |
US11670487B1 (en) | 2022-01-26 | 2023-06-06 | Advanced Energy Industries, Inc. | Bias supply control and data processing |
US11942309B2 (en) | 2022-01-26 | 2024-03-26 | Advanced Energy Industries, Inc. | Bias supply with resonant switching |
WO2023167887A1 (en) * | 2022-03-03 | 2023-09-07 | Advanced Energy Industries, Inc. | Adaptive predictive control system |
US11990324B2 (en) * | 2022-03-03 | 2024-05-21 | Advanced Energy Industries, Inc. | Adaptive predictive control system |
US12040139B2 (en) | 2022-05-09 | 2024-07-16 | COMET Technologies USA, Inc. | Variable capacitor with linear impedance and high voltage breakdown |
US11657980B1 (en) | 2022-05-09 | 2023-05-23 | COMET Technologies USA, Inc. | Dielectric fluid variable capacitor |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US12020902B2 (en) | 2022-07-14 | 2024-06-25 | Tokyo Electron Limited | Plasma processing with broadband RF waveforms |
US12051549B2 (en) | 2022-08-02 | 2024-07-30 | COMET Technologies USA, Inc. | Coaxial variable capacitor |
US11978613B2 (en) | 2022-09-01 | 2024-05-07 | Advanced Energy Industries, Inc. | Transition control in a bias supply |
US12111341B2 (en) | 2022-10-05 | 2024-10-08 | Applied Materials, Inc. | In-situ electric field detection method and apparatus |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5812361A (en) * | 1996-03-29 | 1998-09-22 | Lam Research Corporation | Dynamic feedback electrostatic wafer chuck |
TW442354B (en) * | 1997-05-29 | 2001-06-23 | Applied Materials Inc | Method and apparatus for clamping a substrate |
US20030082835A1 (en) * | 2001-10-26 | 2003-05-01 | Applied Materials,Inc. | Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber |
TW548766B (en) * | 2001-03-20 | 2003-08-21 | Eni Technology Inc | Broadband design of a probe analysis system |
US20040135590A1 (en) * | 2001-03-16 | 2004-07-15 | Quon Bill H. | Impedance monitoring system and method |
Family Cites Families (168)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4377961A (en) | 1979-09-10 | 1983-03-29 | Bode Harald E W | Fundamental frequency extracting system |
DE3027828A1 (de) | 1980-07-23 | 1982-03-04 | Deutsche Itt Industries Gmbh, 7800 Freiburg | Frequenz/phasenregelschleife |
US4353777A (en) | 1981-04-20 | 1982-10-12 | Lfe Corporation | Selective plasma polysilicon etching |
US4457820A (en) | 1981-12-24 | 1984-07-03 | International Business Machines Corporation | Two step plasma etching |
US4420790A (en) * | 1982-04-02 | 1983-12-13 | Honeywell Inc. | High sensitivity variable capacitance transducer |
US4454001A (en) | 1982-08-27 | 1984-06-12 | At&T Bell Laboratories | Interferometric method and apparatus for measuring etch rate and fabricating devices |
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
US4855897A (en) | 1987-07-13 | 1989-08-08 | The Foxboro Company | Method and apparatus for statistical set point bias control |
DE3923662A1 (de) | 1989-07-18 | 1991-01-24 | Leybold Ag | Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks |
US5788801A (en) | 1992-12-04 | 1998-08-04 | International Business Machines Corporation | Real time measurement of etch rate during a chemical etching process |
US5479340A (en) | 1993-09-20 | 1995-12-26 | Sematech, Inc. | Real time control of plasma etch utilizing multivariate statistical analysis |
KR100276736B1 (ko) | 1993-10-20 | 2001-03-02 | 히가시 데쓰로 | 플라즈마 처리장치 |
US5980767A (en) | 1994-02-25 | 1999-11-09 | Tokyo Electron Limited | Method and devices for detecting the end point of plasma process |
US5556549A (en) | 1994-05-02 | 1996-09-17 | Lsi Logic Corporation | Power control and delivery in plasma processing equipment |
US5474648A (en) | 1994-07-29 | 1995-12-12 | Lsi Logic Corporation | Uniform and repeatable plasma processing |
US5989999A (en) | 1994-11-14 | 1999-11-23 | Applied Materials, Inc. | Construction of a tantalum nitride film on a semiconductor wafer |
JP3116762B2 (ja) * | 1995-01-27 | 2000-12-11 | 住友金属工業株式会社 | プラズマエッチング装置 |
US6042686A (en) | 1995-06-30 | 2000-03-28 | Lam Research Corporation | Power segmented electrode |
US5810963A (en) | 1995-09-28 | 1998-09-22 | Kabushiki Kaisha Toshiba | Plasma processing apparatus and method |
US5892198A (en) | 1996-03-29 | 1999-04-06 | Lam Research Corporation | Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same |
US6110214A (en) | 1996-05-03 | 2000-08-29 | Aspen Technology, Inc. | Analyzer for modeling and optimizing maintenance operations |
US5764471A (en) | 1996-05-08 | 1998-06-09 | Applied Materials, Inc. | Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck |
US5689215A (en) | 1996-05-23 | 1997-11-18 | Lam Research Corporation | Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor |
US6048435A (en) | 1996-07-03 | 2000-04-11 | Tegal Corporation | Plasma etch reactor and method for emerging films |
US6246972B1 (en) | 1996-08-23 | 2001-06-12 | Aspen Technology, Inc. | Analyzer for modeling and optimizing maintenance operations |
US5737177A (en) | 1996-10-17 | 1998-04-07 | Applied Materials, Inc. | Apparatus and method for actively controlling the DC potential of a cathode pedestal |
US5866985A (en) | 1996-12-03 | 1999-02-02 | International Business Machines Corporation | Stable matching networks for plasma tools |
US5694207A (en) | 1996-12-09 | 1997-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch rate monitoring by optical emission spectroscopy |
US5889252A (en) | 1996-12-19 | 1999-03-30 | Lam Research Corporation | Method of and apparatus for independently controlling electric parameters of an impedance matching network |
EP1018088A4 (en) | 1997-09-17 | 2006-08-16 | Tokyo Electron Ltd | SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS |
US6020794A (en) | 1998-02-09 | 2000-02-01 | Eni Technologies, Inc. | Ratiometric autotuning algorithm for RF plasma generator |
US6157867A (en) | 1998-02-27 | 2000-12-05 | Taiwan Semiconductor Manufacturing Company | Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength |
US6198616B1 (en) | 1998-04-03 | 2001-03-06 | Applied Materials, Inc. | Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system |
KR100574208B1 (ko) | 1998-06-02 | 2006-04-27 | 가부시키가이샤 니콘 | 주사형 노광장치 및 그의 제조방법, 및 디바이스 제조방법 |
US6021672A (en) | 1998-09-18 | 2000-02-08 | Windbond Electronics Corp. | Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber |
JP4408313B2 (ja) | 1999-10-29 | 2010-02-03 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US7361287B2 (en) | 1999-04-30 | 2008-04-22 | Robert Bosch Gmbh | Method for etching structures in an etching body by means of a plasma |
US6431112B1 (en) | 1999-06-15 | 2002-08-13 | Tokyo Electron Limited | Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck |
US6424232B1 (en) * | 1999-11-30 | 2002-07-23 | Advanced Energy's Voorhees Operations | Method and apparatus for matching a variable load impedance with an RF power generator impedance |
US6528751B1 (en) | 2000-03-17 | 2003-03-04 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma |
US6441555B1 (en) | 2000-03-31 | 2002-08-27 | Lam Research Corporation | Plasma excitation coil |
US6472822B1 (en) | 2000-04-28 | 2002-10-29 | Applied Materials, Inc. | Pulsed RF power delivery for plasma processing |
US7137354B2 (en) | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
JP4240259B2 (ja) | 2000-08-21 | 2009-03-18 | 富士電機システムズ株式会社 | プラズマ電位測定方法と測定用プローブ |
US6492774B1 (en) | 2000-10-04 | 2002-12-10 | Lam Research Corporation | Wafer area pressure control for plasma confinement |
US7871676B2 (en) | 2000-12-06 | 2011-01-18 | Novellus Systems, Inc. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6726804B2 (en) * | 2001-01-22 | 2004-04-27 | Liang-Guo Wang | RF power delivery for plasma processing using modulated power signal |
IE20010288A1 (en) | 2001-03-23 | 2002-10-02 | Scient Systems Res Ltd | Endpoint Detection in the Etching of Dielectric Layers |
US7096819B2 (en) | 2001-03-30 | 2006-08-29 | Lam Research Corporation | Inductive plasma processor having coil with plural windings and method of controlling plasma density |
US6750711B2 (en) | 2001-04-13 | 2004-06-15 | Eni Technology, Inc. | RF power amplifier stability |
JP2002343774A (ja) * | 2001-05-18 | 2002-11-29 | Mitsubishi Electric Corp | プラズマ処理装置およびプラズマ処理方法 |
US6669783B2 (en) | 2001-06-28 | 2003-12-30 | Lam Research Corporation | High temperature electrostatic chuck |
JP4006982B2 (ja) * | 2001-11-16 | 2007-11-14 | セイコーエプソン株式会社 | プリンタ及びプリンタユニット |
KR100557842B1 (ko) | 2001-12-10 | 2006-03-10 | 동경 엘렉트론 주식회사 | 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치 |
US20030119308A1 (en) | 2001-12-20 | 2003-06-26 | Geefay Frank S. | Sloped via contacts |
US7480571B2 (en) | 2002-03-08 | 2009-01-20 | Lam Research Corporation | Apparatus and methods for improving the stability of RF power delivery to a plasma load |
JP2003282545A (ja) | 2002-03-26 | 2003-10-03 | Seiko Epson Corp | 半導体装置の製造方法及びプラズマ処理装置 |
WO2003083911A1 (en) | 2002-03-28 | 2003-10-09 | Tokyo Electron Limited | A system and method for determining the state of a film in a plasma reactor using an electrical property |
US7505879B2 (en) | 2002-06-05 | 2009-03-17 | Tokyo Electron Limited | Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus |
US20040028837A1 (en) | 2002-06-28 | 2004-02-12 | Tokyo Electron Limited | Method and apparatus for plasma processing |
CN100360704C (zh) * | 2002-06-28 | 2008-01-09 | 东京电子株式会社 | 用于在等离子体加工系统中电弧抑制的方法和系统 |
US20050252884A1 (en) | 2002-06-28 | 2005-11-17 | Tokyo Electron Limited | Method and system for predicting process performance using material processing tool and sensor data |
US6664166B1 (en) * | 2002-09-13 | 2003-12-16 | Texas Instruments Incorporated | Control of nichorme resistor temperature coefficient using RF plasma sputter etch |
US20040060660A1 (en) * | 2002-09-26 | 2004-04-01 | Lam Research Inc., A Delaware Corporation | Control of plasma density with broadband RF sensor |
US6873114B2 (en) | 2002-09-26 | 2005-03-29 | Lam Research Corporation | Method for toolmatching and troubleshooting a plasma processing system |
TW201041455A (en) | 2002-12-16 | 2010-11-16 | Japan Science & Tech Agency | Plasma generation device, plasma control method, and substrate manufacturing method |
US20040127031A1 (en) | 2002-12-31 | 2004-07-01 | Tokyo Electron Limited | Method and apparatus for monitoring a plasma in a material processing system |
JP2004239211A (ja) | 2003-02-07 | 2004-08-26 | Denso Corp | 吸気モジュール |
US6781317B1 (en) | 2003-02-24 | 2004-08-24 | Applied Science And Technology, Inc. | Methods and apparatus for calibration and metrology for an integrated RF generator system |
JP2004335594A (ja) | 2003-05-02 | 2004-11-25 | Matsushita Electric Ind Co Ltd | プラズマ処理装置 |
US7247218B2 (en) | 2003-05-16 | 2007-07-24 | Applied Materials, Inc. | Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power |
US7795153B2 (en) | 2003-05-16 | 2010-09-14 | Applied Materials, Inc. | Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters |
US6862557B2 (en) | 2003-06-12 | 2005-03-01 | Lam Research Corporation | System and method for electronically collecting data in a fabrication facility |
US7169625B2 (en) | 2003-07-25 | 2007-01-30 | Applied Materials, Inc. | Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring |
US7625460B2 (en) | 2003-08-01 | 2009-12-01 | Micron Technology, Inc. | Multifrequency plasma reactor |
US7405521B2 (en) | 2003-08-22 | 2008-07-29 | Lam Research Corporation | Multiple frequency plasma processor method and apparatus |
US7042311B1 (en) | 2003-10-10 | 2006-05-09 | Novellus Systems, Inc. | RF delivery configuration in a plasma processing system |
JP2005130198A (ja) | 2003-10-23 | 2005-05-19 | Ulvac Japan Ltd | 高周波装置 |
US7838430B2 (en) | 2003-10-28 | 2010-11-23 | Applied Materials, Inc. | Plasma control using dual cathode frequency mixing |
JP3768999B2 (ja) | 2003-10-29 | 2006-04-19 | 澄英 池之内 | プラズマ処理装置とその制御方法 |
US7190119B2 (en) | 2003-11-07 | 2007-03-13 | Lam Research Corporation | Methods and apparatus for optimizing a substrate in a plasma processing system |
US6983215B2 (en) | 2003-12-02 | 2006-01-03 | Mks Instruments, Inc. | RF metrology characterization for field installation and serviceability for the plasma processing industry |
US7879185B2 (en) | 2003-12-18 | 2011-02-01 | Applied Materials, Inc. | Dual frequency RF match |
US7157857B2 (en) | 2003-12-19 | 2007-01-02 | Advanced Energy Industries, Inc. | Stabilizing plasma and generator interactions |
JP4359521B2 (ja) * | 2004-02-20 | 2009-11-04 | 東京エレクトロン株式会社 | プラズマ処理装置及びその制御方法 |
US6972524B1 (en) * | 2004-03-24 | 2005-12-06 | Lam Research Corporation | Plasma processing system control |
JP2005284046A (ja) | 2004-03-30 | 2005-10-13 | Kumamoto Univ | パターンずれ量検出方法及び露光装置 |
US7435926B2 (en) | 2004-03-31 | 2008-10-14 | Lam Research Corporation | Methods and array for creating a mathematical model of a plasma processing system |
US20050241762A1 (en) | 2004-04-30 | 2005-11-03 | Applied Materials, Inc. | Alternating asymmetrical plasma generation in a process chamber |
US7169256B2 (en) | 2004-05-28 | 2007-01-30 | Lam Research Corporation | Plasma processor with electrode responsive to multiple RF frequencies |
KR101144018B1 (ko) | 2004-05-28 | 2012-05-09 | 램 리써치 코포레이션 | 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기 |
FR2875304B1 (fr) | 2004-09-16 | 2006-12-22 | Ecole Polytechnique Etablissem | Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe |
EP2477207A3 (en) * | 2004-09-24 | 2014-09-03 | Zond, Inc. | Apparatus for generating high-current electrical discharges |
US20060065632A1 (en) | 2004-09-27 | 2006-03-30 | Chia-Cheng Cheng | Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency |
US20060065631A1 (en) | 2004-09-27 | 2006-03-30 | Chia-Cheng Cheng | Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance |
US7323116B2 (en) | 2004-09-27 | 2008-01-29 | Lam Research Corporation | Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage |
US7666464B2 (en) * | 2004-10-23 | 2010-02-23 | Applied Materials, Inc. | RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor |
US20060100824A1 (en) | 2004-10-27 | 2006-05-11 | Tokyo Electron Limited | Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program |
JP4773079B2 (ja) * | 2004-11-26 | 2011-09-14 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置の制御方法 |
US7459100B2 (en) | 2004-12-22 | 2008-12-02 | Lam Research Corporation | Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate |
US7364623B2 (en) | 2005-01-27 | 2008-04-29 | Lam Research Corporation | Confinement ring drive |
US7820020B2 (en) | 2005-02-03 | 2010-10-26 | Applied Materials, Inc. | Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas |
US7602127B2 (en) | 2005-04-18 | 2009-10-13 | Mks Instruments, Inc. | Phase and frequency control of a radio frequency generator from an external source |
US7359177B2 (en) | 2005-05-10 | 2008-04-15 | Applied Materials, Inc. | Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output |
US7885774B2 (en) | 2005-06-10 | 2011-02-08 | Bird Technologies Group Inc. | System and method for analyzing power flow in semiconductor plasma generation systems |
US20070021935A1 (en) | 2005-07-12 | 2007-01-25 | Larson Dean J | Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber |
US7375038B2 (en) | 2005-09-28 | 2008-05-20 | Applied Materials, Inc. | Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication |
US20080179948A1 (en) | 2005-10-31 | 2008-07-31 | Mks Instruments, Inc. | Radio frequency power delivery system |
TWI425767B (zh) | 2005-10-31 | 2014-02-01 | Mks Instr Inc | 無線電頻率電力傳送系統 |
US7780864B2 (en) | 2006-04-24 | 2010-08-24 | Applied Materials, Inc. | Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution |
US7722778B2 (en) | 2006-06-28 | 2010-05-25 | Lam Research Corporation | Methods and apparatus for sensing unconfinement in a plasma processing chamber |
CN100530529C (zh) | 2006-07-17 | 2009-08-19 | 应用材料公司 | 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器 |
US20080029385A1 (en) | 2006-08-03 | 2008-02-07 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
US8920600B2 (en) * | 2006-08-22 | 2014-12-30 | Mattson Technology, Inc. | Inductive plasma source with high coupling efficiency |
US8192576B2 (en) | 2006-09-20 | 2012-06-05 | Lam Research Corporation | Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing |
US7902991B2 (en) | 2006-09-21 | 2011-03-08 | Applied Materials, Inc. | Frequency monitoring to detect plasma process abnormality |
US7626544B2 (en) * | 2006-10-17 | 2009-12-01 | Ut-Battelle, Llc | Robust low-frequency spread-spectrum navigation system |
US7732728B2 (en) | 2007-01-17 | 2010-06-08 | Lam Research Corporation | Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor |
US7858898B2 (en) | 2007-01-26 | 2010-12-28 | Lam Research Corporation | Bevel etcher with gap control |
US7728602B2 (en) * | 2007-02-16 | 2010-06-01 | Mks Instruments, Inc. | Harmonic derived arc detector |
US8241457B2 (en) * | 2007-03-30 | 2012-08-14 | Tokyo Electron Limited | Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system |
US8073646B2 (en) * | 2007-03-30 | 2011-12-06 | Tokyo Electron Limited | Plasma processing apparatus, radio frequency generator and correction method therefor |
KR100870121B1 (ko) | 2007-04-19 | 2008-11-25 | 주식회사 플라즈마트 | 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템 |
US7768269B2 (en) | 2007-08-15 | 2010-08-03 | Applied Materials, Inc. | Method of multi-location ARC sensing with adaptive threshold comparison |
JP5026916B2 (ja) * | 2007-10-19 | 2012-09-19 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
DK2599506T3 (en) | 2007-11-06 | 2018-10-08 | Creo Medical Ltd | Microwave Plasma Masterization Applicator |
US9074285B2 (en) | 2007-12-13 | 2015-07-07 | Lam Research Corporation | Systems for detecting unconfined-plasma events |
US7586100B2 (en) | 2008-02-12 | 2009-09-08 | Varian Semiconductor Equipment Associates, Inc. | Closed loop control and process optimization in plasma doping processes using a time of flight ion detector |
JP5319150B2 (ja) | 2008-03-31 | 2013-10-16 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体 |
US8264154B2 (en) | 2008-05-14 | 2012-09-11 | Applied Materials, Inc. | Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery |
US8337661B2 (en) | 2008-05-29 | 2012-12-25 | Applied Materials, Inc. | Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator |
US7967944B2 (en) | 2008-05-29 | 2011-06-28 | Applied Materials, Inc. | Method of plasma load impedance tuning by modulation of an unmatched low power RF generator |
US8264238B1 (en) | 2008-06-13 | 2012-09-11 | Mks Instruments, Inc. | Method for calibrating a broadband voltage/current probe |
US20090308734A1 (en) | 2008-06-17 | 2009-12-17 | Schneider Automation Inc. | Apparatus and Method for Wafer Level Arc Detection |
WO2010019430A2 (en) * | 2008-08-12 | 2010-02-18 | Applied Materials, Inc. | Electrostatic chuck assembly |
AR073128A1 (es) * | 2008-08-26 | 2010-10-13 | Spx Corp | Modulo de osciloscopio digital |
US8103492B2 (en) | 2008-09-05 | 2012-01-24 | Tokyo Electron Limited | Plasma fluid modeling with transient to stochastic transformation |
WO2010033924A2 (en) | 2008-09-22 | 2010-03-25 | Applied Materials, Inc. | Etch reactor suitable for etching high aspect ratio features |
US8313664B2 (en) | 2008-11-21 | 2012-11-20 | Applied Materials, Inc. | Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber |
US8040068B2 (en) | 2009-02-05 | 2011-10-18 | Mks Instruments, Inc. | Radio frequency power control system |
US9378930B2 (en) | 2009-03-05 | 2016-06-28 | Applied Materials, Inc. | Inductively coupled plasma reactor having RF phase control and methods of use thereof |
US8382999B2 (en) | 2009-03-26 | 2013-02-26 | Applied Materials, Inc. | Pulsed plasma high aspect ratio dielectric process |
JP2010250959A (ja) | 2009-04-10 | 2010-11-04 | Hitachi High-Technologies Corp | プラズマ処理装置 |
US8674606B2 (en) | 2009-04-27 | 2014-03-18 | Advanced Energy Industries, Inc. | Detecting and preventing instabilities in plasma processes |
US9305750B2 (en) * | 2009-06-12 | 2016-04-05 | Lam Research Corporation | Adjusting current ratios in inductively coupled plasma processing systems |
US8473089B2 (en) | 2009-06-30 | 2013-06-25 | Lam Research Corporation | Methods and apparatus for predictive preventive maintenance of processing chambers |
US8271121B2 (en) | 2009-06-30 | 2012-09-18 | Lam Research Corporation | Methods and arrangements for in-situ process monitoring and control for plasma processing tools |
US8404598B2 (en) | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
KR101312695B1 (ko) * | 2009-08-21 | 2013-09-27 | 맷슨 테크놀로지, 인크. | 유도 플라즈마 소스 |
US20110097901A1 (en) | 2009-10-26 | 2011-04-28 | Applied Materials, Inc. | Dual mode inductively coupled plasma reactor with adjustable phase coil assembly |
US8901935B2 (en) | 2009-11-19 | 2014-12-02 | Lam Research Corporation | Methods and apparatus for detecting the confinement state of plasma in a plasma processing system |
US8501631B2 (en) | 2009-11-19 | 2013-08-06 | Lam Research Corporation | Plasma processing system control based on RF voltage |
US8909365B2 (en) | 2009-11-19 | 2014-12-09 | Lam Research Corporation | Methods and apparatus for controlling a plasma processing system |
US20110139748A1 (en) | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
US20120000887A1 (en) | 2010-06-30 | 2012-01-05 | Kabushiki Kaisha Toshiba | Plasma treatment apparatus and plasma treatment method |
KR20120022251A (ko) | 2010-09-01 | 2012-03-12 | 삼성전자주식회사 | 플라즈마 식각방법 및 그의 장치 |
US9076826B2 (en) | 2010-09-24 | 2015-07-07 | Lam Research Corporation | Plasma confinement ring assembly for plasma processing chambers |
US8779662B2 (en) | 2010-10-20 | 2014-07-15 | Comet Technologies Usa, Inc | Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry |
US8723423B2 (en) | 2011-01-25 | 2014-05-13 | Advanced Energy Industries, Inc. | Electrostatic remote plasma source |
US8679358B2 (en) | 2011-03-03 | 2014-03-25 | Tokyo Electron Limited | Plasma etching method and computer-readable storage medium |
US8869612B2 (en) | 2011-03-08 | 2014-10-28 | Baxter International Inc. | Non-invasive radio frequency liquid level and volume detection system using phase shift |
US20130122711A1 (en) | 2011-11-10 | 2013-05-16 | Alexei Marakhtanov | System, method and apparatus for plasma sheath voltage control |
US9224618B2 (en) | 2012-01-17 | 2015-12-29 | Lam Research Corporation | Method to increase mask selectivity in ultra-high aspect ratio etches |
US10157729B2 (en) | 2012-02-22 | 2018-12-18 | Lam Research Corporation | Soft pulsing |
US9210790B2 (en) | 2012-08-28 | 2015-12-08 | Advanced Energy Industries, Inc. | Systems and methods for calibrating a switched mode ion energy distribution system |
US9408288B2 (en) | 2012-09-14 | 2016-08-02 | Lam Research Corporation | Edge ramping |
KR102153246B1 (ko) | 2012-10-30 | 2020-09-07 | 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 | 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스 |
US9460894B2 (en) | 2013-06-28 | 2016-10-04 | Lam Research Corporation | Controlling ion energy within a plasma chamber |
-
2010
- 2010-12-07 US US12/962,524 patent/US8501631B2/en active Active
-
2011
- 2011-12-06 JP JP2013543247A patent/JP6104813B2/ja active Active
- 2011-12-06 KR KR1020127014754A patent/KR101858265B1/ko active IP Right Grant
- 2011-12-06 WO PCT/US2011/063422 patent/WO2012078569A1/en active Application Filing
- 2011-12-06 CN CN201180004603.3A patent/CN102652266B/zh active Active
- 2011-12-07 TW TW100145122A patent/TWI541854B/zh active
- 2011-12-07 TW TW105110783A patent/TWI603369B/zh not_active IP Right Cessation
- 2011-12-07 TW TW106126034A patent/TW201737300A/zh unknown
-
2013
- 2013-08-05 US US13/959,584 patent/US9128473B2/en active Active
-
2015
- 2015-07-24 US US14/808,846 patent/US9455126B2/en active Active
-
2016
- 2016-08-12 US US15/236,319 patent/US9911577B2/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5812361A (en) * | 1996-03-29 | 1998-09-22 | Lam Research Corporation | Dynamic feedback electrostatic wafer chuck |
TW442354B (en) * | 1997-05-29 | 2001-06-23 | Applied Materials Inc | Method and apparatus for clamping a substrate |
US20040135590A1 (en) * | 2001-03-16 | 2004-07-15 | Quon Bill H. | Impedance monitoring system and method |
TW548766B (en) * | 2001-03-20 | 2003-08-21 | Eni Technology Inc | Broadband design of a probe analysis system |
US20030082835A1 (en) * | 2001-10-26 | 2003-05-01 | Applied Materials,Inc. | Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI716831B (zh) * | 2018-09-13 | 2021-01-21 | 大陸商中微半導體設備(上海)股份有限公司 | 可切換匹配網路及電感耦合電漿處理器 |
Also Published As
Publication number | Publication date |
---|---|
US20130345847A1 (en) | 2013-12-26 |
TW201237923A (en) | 2012-09-16 |
TWI541854B (zh) | 2016-07-11 |
US20160351375A1 (en) | 2016-12-01 |
TW201737300A (zh) | 2017-10-16 |
US9455126B2 (en) | 2016-09-27 |
JP6104813B2 (ja) | 2017-03-29 |
US9911577B2 (en) | 2018-03-06 |
WO2012078569A1 (en) | 2012-06-14 |
US9128473B2 (en) | 2015-09-08 |
JP2014502027A (ja) | 2014-01-23 |
KR101858265B1 (ko) | 2018-05-15 |
CN102652266A (zh) | 2012-08-29 |
US20110137446A1 (en) | 2011-06-09 |
US20150332894A1 (en) | 2015-11-19 |
TW201637069A (zh) | 2016-10-16 |
KR20130137070A (ko) | 2013-12-16 |
US8501631B2 (en) | 2013-08-06 |
CN102652266B (zh) | 2015-10-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI603369B (zh) | 基於射頻電壓之電漿處理系統控制裝置 | |
KR101888324B1 (ko) | 플라즈마 프로세싱 시스템을 제어하는 방법 및 장치 | |
US20170004955A1 (en) | System for Instantaneous Radiofrequency Power Measurement and Associated Methods | |
TWI685664B (zh) | 使用模型化以識別電漿系統用射頻傳輸系統中的故障位置 | |
US20090048792A1 (en) | Rf power delivery diagnostic system | |
US11570879B2 (en) | Methods and apparatus for controlling RF parameters at multiple frequencies | |
TWI711084B (zh) | 電漿處理裝置及電漿處理方法 | |
JP4928817B2 (ja) | プラズマ処理装置 | |
KR20170054284A (ko) | 플라즈마 프로세싱 시스템들의 매칭 네트워크들에서 가변 커패시터들의 위치 변환들을 수행하고 변환 모델들을 캘리브레이팅하기 위한 시스템들 및 방법들 | |
JP2007524091A (ja) | Rfセンサのクランプアセンブリ | |
KR100937164B1 (ko) | 공정 모니터링 장치와 그 방법 | |
KR101447639B1 (ko) | 플라즈마 진단장치 및 그 방법 | |
TW202422624A (zh) | 同時校準rf產生器的系統及方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |