TWI685664B - 使用模型化以識別電漿系統用射頻傳輸系統中的故障位置 - Google Patents

使用模型化以識別電漿系統用射頻傳輸系統中的故障位置 Download PDF

Info

Publication number
TWI685664B
TWI685664B TW104112520A TW104112520A TWI685664B TW I685664 B TWI685664 B TW I685664B TW 104112520 A TW104112520 A TW 104112520A TW 104112520 A TW104112520 A TW 104112520A TW I685664 B TWI685664 B TW I685664B
Authority
TW
Taiwan
Prior art keywords
model
transmission system
output
voltage
current
Prior art date
Application number
TW104112520A
Other languages
English (en)
Other versions
TW201602596A (zh
Inventor
小約翰C 微寇爾
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201602596A publication Critical patent/TW201602596A/zh
Application granted granted Critical
Publication of TWI685664B publication Critical patent/TWI685664B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)

Abstract

在RF傳輸系統中識別故障位置的系統和方法,包含:特徵化該RF傳輸系統;及選擇該RF傳輸系統的節段其中一者作為一初始選定節段。在該特徵化的RF傳輸系統之中該初始選定節段的一輸出可加以量測。該初始選定節段的測得輸出係傳輸通過一基線RF模型,且在所產生之該RF傳輸系統的RF模型中將一偏轉點加以識別。

Description

使用模型化以識別電漿系統用射頻傳輸系統中的故障位置
本案實施例係關於使用RF傳輸系統模型化以在電漿系統之中的RF傳輸系統中定位故障。
在一基於電漿的系統中,電漿係在一電漿腔室內產生,以在晶圓上執行各種操作,例如蝕刻、清潔、沉積等等。將該電漿加以監測和控制,以控制各種操作的效能。舉例來說,藉由監測電漿的電壓監測該電漿,且藉由控制供給至電漿腔室的射頻(RF)功率量控制該電漿。
然而,使用電壓監測和控制該等操作的效能可能無法提供令人滿意的結果。此外,監測電壓可能是昂貴且費時的操作。
在此背景下,產生本揭露內容所述的實施例。
本揭露內容的實施例提供設備、方法、及電腦程式,用於使用模型化以識別電漿系統之中RF傳輸系統之內的故障位置。應了解的是,本案實施 例可以多種方式加以實現,例如製程、設備、系統、硬體件、或電腦可讀媒體上的方法。以下描述一些實施例。
一個實施例提供一種方法,用於在RF傳輸系統中將故障定位,該方法包含:特徵化該RF傳輸系統;及選擇該RF傳輸系統的節段其中一者作為一初始選定節段。在該特徵化的RF傳輸系統之中該初始選定節段的一輸出可加以量測。該初始選定節段的測得輸出係傳輸通過一基線RF模型,且在所產生之該RF傳輸系統的RF模型中將一偏轉點加以識別。
一故障可加以識別為位在對應偏轉點的一節段。該基線RF模型可基於在該RF傳輸線中所定義的電路元件,該基線RF模型具有一輸入及一輸出。或者是,該基線RF模型可基於在該RF傳輸線中所定義的電元件,該基線RF模型具有一輸入及一輸出。RF傳輸線的該等電元件可包含電容器、電感器、或其組合,該RF模型包含一個以上構件,其中該RF模型的該等構件具有與該RF傳輸線的該等電元件相比類似的特性。
如上所述方法,其中該基線RF模型可包含:一RF傳輸線的模型;阻抗匹配電路的模型,該RF傳輸線係連接在一RF產生器的一輸出與該阻抗匹配電路的一輸入之間;一RF隧道的模型,該RF隧道係連接至該阻抗匹配電路的輸出;一RF帶的模型,該RF隧道模型係與該RF帶模型連接;及一靜電夾頭的模型,該靜電夾頭具有與該RF帶連接的一輸入。該靜電夾頭可包含在一電漿處理腔室之中。該RF傳輸系統係包含在一電漿處理系統之中。
特徵化該RF傳輸系統的步驟可包含:對在處理腔室內處理的一組晶圓,應用一特徵化配方;及在該複數晶圓處理期間,量測在該RF傳輸系統中該複數節段的至少一輸出的至少一參數。
該基線RF模型可為一理想RF傳輸系統的一理想RF模型。或者是,該基線RF模型可為當已知該RF傳輸系統正常運作時所產生的該RF傳輸系統的RF模型。產生該RF傳輸系統的該基線RF模型可包含:對處理腔室內所處理的一第二複數晶圓,應用一特徵化配方;在該第二複數晶圓處理期間,量測該複數節段之選定的至少一者的輸出的至少一參數;及比較該複數節段之該選定的至少一者的測得輸出與在該基線RF模型中該複數節段之該選定的至少一者的一預測數值。
另一實施例提供一種電漿系統,包含:一電漿處理腔室;一RF傳輸系統,連接至該電漿處理腔室的一RF輸入;一RF產生器,具有連接至該RF傳輸系統的一輸出;及一控制器,連接至該RF產生器及該電漿處理腔室。該控制器包含在電腦可讀媒體上的邏輯,其係可執行用於藉由選定該RF傳輸系統的複數節段其中一者且傳輸該選定節段的一測得輸出通過該RF傳輸系統的一基線RF模型,識別該RF傳輸系統中的一故障。
又另一實施例提供一種方法,用於在RF傳輸系統中將故障定位,該方法包含:產生該RF傳輸系統的一基線RF模型,其包括對處理腔室內所處理的第一組晶圓應用一特徵化配方,在該第一組晶圓處理期間,量測在該RF傳輸系統中複數節段之選定的至少一者的輸出的至少一參數;及比較該複數節段之該選定的至少一者的測得輸出與在該基線RF模型中該複數節段之該選定的至少一者的一預測數值。故障的RF傳輸系統係第二次加以特徵化。一節段係選定為一初始選定節段,且該初始選定節段的輸出係在特徵化的故障RF傳輸系統中加以量測。該初始選定節段的測得輸出係傳輸通過基線RF模型,以在所產生之該RF傳輸系統的一RF模型中識別一偏轉點,且指示對應該偏轉點的一故障節段。
其他實施態樣,透過以下詳細說明及隨附圖式,將更為明白。
102‧‧‧方法
104‧‧‧阻抗匹配模型
106‧‧‧操作
107‧‧‧操作
110‧‧‧電壓與電流(VI)探測器
111‧‧‧電壓與電流探測器
113‧‧‧RF傳輸線
114‧‧‧阻抗匹配電路
115‧‧‧阻抗匹配電路
116‧‧‧操作
117‧‧‧操作
119‧‧‧操作
122‧‧‧阻抗匹配電路
123‧‧‧系統
125‧‧‧靜電夾頭(ESC)模型
126‧‧‧系統
128‧‧‧系統
130‧‧‧主機系統
131‧‧‧工件
134‧‧‧電漿腔室
135‧‧‧電漿腔室
142‧‧‧桿
144‧‧‧RF帶
146‧‧‧支持部
148‧‧‧圓筒
150‧‧‧電纜
152‧‧‧電纜
153‧‧‧輸入
155‧‧‧輸入
161‧‧‧RF傳輸模型
162‧‧‧儲存硬體單元(HU)
163‧‧‧電纜模型
165‧‧‧電纜模型
168‧‧‧處理器
169‧‧‧RF傳輸線部分
171‧‧‧系統
172‧‧‧阻抗匹配模型
173‧‧‧RF傳輸模型部分
175‧‧‧電漿腔室
176‧‧‧電路模型
177‧‧‧靜電夾頭(ESC)
178‧‧‧系統
179‧‧‧上電極
180‧‧‧電路
181‧‧‧RF傳輸線
183‧‧‧上表面
185‧‧‧通訊裝置
187‧‧‧控制系統
189‧‧‧通訊裝置
190‧‧‧絕緣體
191‧‧‧電纜
192‧‧‧ESC
193‧‧‧電纜
194‧‧‧邊緣環(ER)
195‧‧‧RF傳輸線部分
196‧‧‧加熱構件
197‧‧‧RF傳輸模型部分
198‧‧‧加熱構件
199‧‧‧RF桿
200‧‧‧系統
201‧‧‧ESC
202‧‧‧濾波器
204‧‧‧電源供應器
206‧‧‧電源供應器
208‧‧‧濾波器
210‧‧‧隧道與帶模型
211‧‧‧圓筒模型
213‧‧‧數位脈衝訊號
215‧‧‧RF訊號
216‧‧‧模型
217‧‧‧系統
218‧‧‧模型
219‧‧‧系統
220‧‧‧RF產生器
221‧‧‧RF訊號
222‧‧‧參數控制部
233‧‧‧通訊裝置
224‧‧‧參數控制部
226‧‧‧數位訊號處理器(DSP)
228‧‧‧驅動器
230‧‧‧放大器
231‧‧‧輸出
232‧‧‧驅動放大系統(DAS)
233‧‧‧通訊裝置
236‧‧‧系統
238‧‧‧電壓與電流探測器
250‧‧‧系統
251‧‧‧節點
253‧‧‧電容器
255‧‧‧輸入
257‧‧‧節點
259‧‧‧輸出
261‧‧‧節點
262‧‧‧工件
263‧‧‧上表面
264‧‧‧上電極
265‧‧‧節點
268‧‧‧圖形
269‧‧‧電容器
270‧‧‧圖形
272‧‧‧圖形
274‧‧‧圖形
275‧‧‧圖形
276‧‧‧圖形
277‧‧‧圖形
278‧‧‧圖形
280‧‧‧圖形
282‧‧‧圖形
283‧‧‧輸出
284‧‧‧圖形
285‧‧‧輸入
286‧‧‧圖形
287‧‧‧RF傳輸線
288‧‧‧圖形
290‧‧‧圖形
292‧‧‧圖形
293‧‧‧節點
294‧‧‧圖形
296‧‧‧圖形
297‧‧‧輸出
298‧‧‧圖形
300‧‧‧電路
302‧‧‧隧道與帶模型
304‧‧‧節點
310‧‧‧電路
312‧‧‧圓筒與ESC模型
314‧‧‧電感器
316‧‧‧電容器
318‧‧‧節點
330‧‧‧系統
332‧‧‧電壓探測器
334‧‧‧主機系統
336‧‧‧模組
340‧‧‧方法
341‧‧‧操作
342‧‧‧操作
343‧‧‧操作
345‧‧‧晶圓偏壓產生器
351‧‧‧方法
353‧‧‧路徑
355‧‧‧系統
357‧‧‧操作
359‧‧‧操作
361‧‧‧操作
363‧‧‧方法
367‧‧‧操作
369‧‧‧操作
380‧‧‧輸入HU
382‧‧‧輸出HU
384‧‧‧輸入/輸出(I/O)介面
386‧‧‧I/O介面
388‧‧‧網路介面控制器(NIC)
392‧‧‧匯流排
2200‧‧‧RF傳輸系統(路徑)
2202‧‧‧RF產生器
2204‧‧‧RF饋送件第一部分
2206‧‧‧匹配電路
2208‧‧‧RF饋送件第二部分
2210‧‧‧RF饋送件第三部分(圓筒形RF饋送件)
2218‧‧‧製程腔室
2218A‧‧‧電漿
2220‧‧‧靜電夾頭
2222‧‧‧控制器
2224‧‧‧配方邏輯
2224A‧‧‧配方
2224B‧‧‧配方
2226‧‧‧偵錯配方邏輯
2240‧‧‧探測器
2300‧‧‧模型
2422‧‧‧偏轉點
2426‧‧‧偏轉點
2802‧‧‧RF屏蔽
2804‧‧‧實心絕緣子
藉由參照以下說明結合隨附圖式,可最佳地理解實施例。
根據本揭露內容中所述的一實施例,圖1係一系統的方塊圖,該系統用於決定在一阻抗匹配模型的輸出處、一射頻(RF)傳輸模型的一部分的輸出處、及靜電夾頭(ESC)模型的輸出處的變數。
根據本揭露內容中所述的一實施例,圖2係一方法的流程圖,用於決定在RF傳輸模型部分的輸出處的複電壓與電流。
根據本揭露內容中所述的一實施例,圖3A係一系統的方塊圖,用以說明一阻抗匹配電路。
根據本揭露內容中所述的一實施例,圖3B係阻抗匹配模型的電路圖。
根據本揭露內容中所述的一實施例,圖4係用以說明一RF傳輸線的一系統的圖示。
根據本揭露內容中所述的一實施例,圖5A係用以說明RF傳輸線的電路模型的一系統的方塊圖。
根據本揭露內容中所述的一實施例,圖5B係用以說明RF傳輸模型的隧道與帶模型的電路的圖示。
根據本揭露內容中所述的一實施例,圖5C係用以說明一隧道與帶模型的電路的圖示。
根據本揭露內容中所述的一實施例,圖6係用以說明一圓筒與ESC模型的電路的圖示。
根據本揭露內容中所述的一實施例,圖7係用以決定變數之包含濾波器的一電漿系統的方塊圖。
根據本揭露內容中所述的一實施例,圖8A係一系統的圖示,用以說明濾波器的一模型,其用以改善變數的準確性。
根據本揭露內容中所述的一實施例,圖8B係一系統的圖示,用以說明濾波器的一模型。
根據本揭露內容中所述的一實施例,圖9係一系統的方塊圖,該系統使用一電流與電壓探測器量測圖1的系統的RF產生器的輸出處的變數。
根據本揭露內容中所述的一實施例,圖10係一系統的方塊圖,其中電壓與電流探測器和通訊裝置係位於該RF產生器之外。
根據本揭露內容中所述的一實施例,圖11係一系統的方塊圖,其中利用使用圖1的系統所決定的變數值。
根據本揭露內容中所述的一實施例,圖12A係一圖形的圖示,該圖形描述在一x MHz RF產生器係開啟之時藉由使用探測器於圖1的系統之內的一節點處所測得的變數、與利用圖2的方法所決定的變數之間的相關性。
根據本揭露內容中所述的一實施例,圖12B係一圖形的圖示,該圖形描述在一y MHz RF產生器係開啟之時藉由使用一探測器於圖1的系統之內的一節點處所測得的變數、與利用圖2的方法所決定的變數之間的相關性。
根據本揭露內容中所述的一實施例,圖12C係一圖形的圖示,該圖形描述在一z MHz RF產生器係開啟之時藉由使用一探測器於圖1的系統之內的一節點處所測得的變數、與利用圖2的方法所決定的變數之間的相關性。
根據本揭露內容中所述的一實施例,圖13係一方法的流程圖,該方法用於決定在阻抗匹配模型、RF傳輸模型、或ESC模型的一模型節點處的晶圓偏壓。
根據本揭露內容中所述的一實施例,圖14係狀態圖,描述用以產生晶圓偏壓的晶圓偏壓產生器。
根據本揭露內容中所述的一實施例,圖15係一方法的流程圖,用於決定在沿著介於阻抗匹配模型及ESC模型之間的路徑的一點處的晶圓偏壓。
根據本揭露內容中所述的一實施例,圖16係一系統的方塊圖,用於決定一模型的一節點處的晶圓偏壓。
根據本揭露內容中所述的一實施例,圖17係一方法的流程圖,用於決定在圖1的系統的一模型節點處的晶圓偏壓。
根據本揭露內容中所述的一實施例,圖18係一系統的方塊圖,用以說明藉由使用圖13、圖15、或圖17的方法而非使用一電壓探測器決定晶圓偏壓的優點。
根據本揭露內容中所述的一實施例,圖19A顯示實施例圖形,用以說明在y及z MHz RF產生器係開啟之時藉由使用一電壓探測器於圖1的電漿系統的一節點處所測得的變數、與使用圖2、13、15、或17的方法所決定的在對應模型節點輸出處的變數之間的相關性。
根據本揭露內容中所述的一實施例,圖19B顯示實施例圖形,用以說明在x及z MHz RF產生器係開啟之時藉由使用一電壓探測器於圖1的電漿系統的一節點處所測得的變數、與使用圖2、13、15、或17的方法所決定的在對應模型節點輸出處的變數之間的相關性。
根據本揭露內容中所述的一實施例,圖19C顯示實施例圖形,用以說明在x及y MHz RF產生器係開啟之時藉由使用一電壓探測器於圖1的電漿系統的一節點處所測得的變數、與使用圖2、13、15、或17的方法所決定的在對應模型節點輸出處的變數之間的相關性。
根據本揭露內容中所述的一實施例,圖20A係一圖形的圖示,用以說明當x MHz RF產生器係開啟之時使用一感測器工具所測得的接線晶圓偏壓、利用圖13、15、或17的方法所決定的模型晶圓偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖20B係一圖形的圖示,用以說明當y MHz RF產生器係開啟之時使用一感測器工具所測得的接線晶圓偏壓、利用圖13、15、或17的方法所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖20C係實施例圖形的圖示,用以說明當z MHz RF產生器係開啟之時使用一感測器工具所測得的接線晶圓偏壓、利用圖13、15、或17的方法所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖20D係一圖形的圖示,用以說明當x MHz及y MHz RF產生器係開啟之時使用一感測器工具所測得的接線 晶圓偏壓、利用圖13、15、或17的方法所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖20E係一圖形的圖示,用以說明當x MHz及z MHz RF產生器係開啟之時使用一感測器工具所測得的接線晶圓偏壓、利用圖13、15、或17的方法所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖20F係一圖形的圖示,用以說明當y MHz及z MHz RF產生器係開啟之時使用一感測器工具所測得的接線晶圓偏壓、利用圖13、15、或17的方法所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖20G係一圖形的圖示,該圖形說明當x MHz、y MHz、及z MHz RF產生器係開啟之時使用一感測器工具所測得的接線晶圓偏壓、利用圖13、15、或17的方法所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖21係圖1的系統的主機系統的方塊圖。
圖22係根據本揭露內容所述一實施例之RF傳輸系統的方塊圖。
圖23係根據本揭露內容的一實施例之RF傳輸系統的RF傳輸模型的準確度圖形。
圖24係根據在本揭露內容中所述一實施例的一故障RF傳輸系統的測得RF輸出的例示圖形。
圖25係流程圖,描述根據本揭露內容所述一實施例在對一故障RF傳輸系統的偵錯操作之中所執行的方法操作。
圖26係根據本揭露內容所述一實施例在受測RF傳輸系統之上的校準配方之中的處理期間RF產生器之監測輸出的圖形。
圖27係根據本揭露內容所述一實施例在受測試RF傳輸系統上於校準配方處理期間RF饋送件第三部分(例如圓筒形RF饋送件)的監測輸出的圖形。
圖28係根據本揭露內容所述一實施例故障的RF傳輸系統的圓筒形RF饋送件部分的圖式。
以下實施例描述系統和方法,使用一模型以識別電漿系統之中RF傳輸系統之內的故障位置。明顯的是,本案實施例可在沒有若干或全部這些特定細節的情況下加以實施。另一方面,不詳細描述眾所周知的製程操作,以免不必要地混淆本案實施例。
圖1係一系統126的實施例的方塊圖,該系統126用於在阻抗匹配模型104的輸出處、RF傳輸模型161的一RF傳輸模型部分173的輸出處(例如模型節點N1m)、及靜電夾頭(ESC)模型125的輸出處(例如模型節點N6m)決定一變數,該RF傳輸模型161係RF傳輸線113的一個模型。變數的例子包含複電壓、複電流、複電壓與電流、複功率、晶圓偏壓等等。RF傳輸線113具有一輸出,例如節點N2。電壓與電流(VI)探測器110在x MHz RF產生器的一輸出處(例如節點N3)測量複電壓與電流Vx、Ix、及Φx,例如第一複電壓與電流。應注意 到的是,Vx表示電壓大小,Ix表示電流大小,且Φx表示Vx和Ix之間的相位。阻抗匹配模型104具有一輸出,例如一模型節點N4m。
此外,電壓與電流探測器111在y MHz RF產生器的一輸出處(例如節點N5)測量複電壓與電流Vy、Iy、及Φy。應注意到的是,Vy表示電壓大小,Iy表示電流大小,且Φy表示Vy和Iy之間的相位。
在若干實施例中,一節點係一裝置的輸入、一裝置的輸出、或該裝置內的一點。以下描述使用於此處的一裝置。
x MHz的例子包含2MHz、27MHz、及60MHz。y MHz的例子包含2MHz、27MHz、及60MHz。x MHz係不同於y MHz。舉例來說,當x MHz係2MHz時,y MHz係27MHz或60MHz。當x MHz係27MHz時,y MHz係60MHz。
電壓與電流探測器110和111每一者的例子,包含符合預設準則的電壓與電流探測器。預設準則的例子,包含受到開發感測器標準之協會所遵守的一標準。預設準則的另一例子,包含國家標準技術研究所(NIST)標準。舉例來說,電壓與電流探測器110或111係根據NIST標準加以校準。在此實例中,電壓與電流探測器110或111係與開路、短路、或已知負載連接,以校準電壓與電流探測器110或111符合NIST標準。電壓與電流探測器110或111可首先與開路連接,接著與短路連接,且接著與已知負載連接,以基於NIST標準校準電壓與電流探測器110。電壓與電流探測器110或111可以任何順序與已知負載、開路、及短路連接,以根據NIST標準校準電壓與電流探測器110或111。已知負載的例子包含50歐姆負載、100歐姆負載、200歐姆負載、靜負載、直流(DC)負載、電阻器等等。舉例來說,電壓與電流探測器110和111每一者係根據NIST可追蹤標準加以校準。
電壓與電流探測器110係連接至x MHz RF產生器的輸出,例如節點N3。x MHz RF產生器的輸出(例如節點N3)係經由電纜150連接至阻抗匹配電路114的輸入153。此外,電壓與電流探測器111係連接至y MHz RF產生器的輸出,例如節點N5。y MHz RF產生器的輸出(例如節點N5)經由電纜152連接至阻抗匹配電路114的另一輸入155。
阻抗匹配電路114的一個輸出(例如節點N4)係連接至RF傳輸線113的輸入。RF傳輸線113包含一RF傳輸線部分169及另一RF傳輸線部分195。RF傳輸線部分169的輸入,係RF傳輸線113的輸入。RF傳輸線部分169的輸出(例如節點N1)係連接至RF傳輸線部分195的輸入。RF傳輸線部分195的輸出(例如節點N2)係連接至電漿腔室175。RF傳輸線部分195的輸出係RF傳輸線113的輸出。RF傳輸線部分169的例子,包含RF筒及RF帶。該RF筒係連接至該RF帶。RF傳輸線部分195的例子包含一RF桿及/或用於支持電漿腔室175的一支持部,例如一圓筒等等。
電漿腔室175包含一靜電夾頭(ESC)177、一上電極179、及其他部件(未顯示),例如圍繞上電極179的一上介電環、圍繞該上介電環的一上電極延伸部、圍繞ESC 177的一下電極的一下介電環、圍繞該下介電環的一下電極延伸部、一上電漿排除區(PEZ)環、一下PEZ環等等。上電極179係位於ESC 177的對面且面向ESC 177。一工件131(例如半導體晶圓等等)係被支承於ESC 177的上表面183。上表面183包含ESC 177的輸出N6。工件131係置於輸出N6之上。在生產期間將各種製程執行於該工件131上,例如化學汽相沉積、清潔、沉積、噴鍍、蝕刻、離子佈植、光阻剝除等等的製程。例如特定用途積體電路(ASIC)、可程式邏輯元件(PLD)等等的積體電路係形成於該工件131之上,且該等積體 電路係用於各種電子裝置,例如行動電話、平板電腦、智慧型手機、電腦、膝上型電腦、網路設備等等。上電極179和下電極每一者係由金屬構成,例如鋁、鋁合金、銅等等。
在一個實施例中,上電極179包含一開口,連接至一中央氣體饋入部(未顯示)。該中央氣體饋入部從一氣體供應部(未顯示)接收一種以上製程氣體。製程氣體的例子包括含氧氣體,例如O2。製程氣體的其他例子包含含氟氣體,例如四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等等。上電極179係接地。ESC 177係經由阻抗匹配電路114連接至x MHz RF產生器及y MHz RF產生器。
當將製程氣體供應於上電極179及ESC 177之間,且當x MHz RF產生器及/或y MHz RF產生器經由阻抗匹配電路114及RF傳輸線113供應RF訊號至ESC 177之時,製程氣體被點燃而在電漿腔室175之內產生電漿。
當x MHz RF產生器產生一RF訊號並將該RF訊號經由節點N3、阻抗匹配電路114、及RF傳輸線113提供至ESC 177之時,且當y MHz產生器產生一RF訊號並將該RF訊號經由節點N5、阻抗匹配電路114、及RF傳輸線113提供至ESC 177之時,電壓與電流探測器110測量在節點N3處的複電壓與電流,且電壓與電流探測器111測量在節點N5處的複電壓與電流。
由電壓與電流探測器110和111所量測的複電壓與電流,自對應的電壓與電流探測器110和111經由對應的通訊裝置185和189提供至用於儲存的主機系統130的儲存硬體單元(HU)162。舉例來說,由電壓與電流探測器110所量測的複電壓與電流,經由通訊裝置185及電纜191提供至主機系統130,並且,由電壓與電流探測器111所量測的複電壓與電流,經由通訊裝置189及電纜193提 供至主機系統130。通訊裝置的例子,包含:乙太網路裝置,其將資料轉換成乙太網路封包及將乙太網路封包轉換成資料;控制自動化技術乙太網路(EtherCAT,Ethernet for Control Automation Technology)裝置;串列介面裝置,其將資料串列傳送;平行介面裝置,其將資料平行傳送;通用串列匯流排(USB)介面裝置等等。
主機系統130的例子包含電腦,例如桌上型電腦、膝上型電腦、平板電腦等等。舉例來說,主機系統130包含一處理器及儲存HU 162。當使用於此處,一處理器可為一中央處理單元(CPU)、一微處理器、一特定用途積體電路(ASIC)、一可程式邏輯元件(PLD)等等。儲存HU的例子包含唯讀記憶體(ROM)、隨機存取記憶體(RAM)、或其組合。儲存HU可為快閃記憶體、容錯式磁碟陣列(RAID)、硬碟等等。
阻抗匹配模型104係儲存於儲存HU 162之內。阻抗匹配模型104具有與阻抗匹配電路114類似的特性,例如電容、電感、複功率、複電壓與電流等等。舉例來說,阻抗匹配模型104具有與阻抗匹配電路114之內相同數量的電容器及/或電感器,且該等電容器及/或電感器係以與阻抗匹配電路114之內相同的方式(例如串聯、並聯等等)彼此連接。舉例來說,當阻抗匹配電路114包含串聯於一電感器的一電容器時,阻抗匹配模型104亦包含與該電感器串聯的該電容器。
舉例來說,阻抗匹配電路114包含一個以上電元件,且阻抗匹配模型104包含阻抗匹配電路114的一設計,例如電腦生成模型。該電腦生成模型可藉由一處理器基於經由一輸入硬體單元自一使用者所接收的輸入訊號加以產生。該等輸入訊號包含多個訊號,其係關於將電元件(例如電容器、電感器等 等)何者包含進一模型,以及將該等電元件彼此連接的方式(例如串聯、並聯等等)。作為另一範例,阻抗匹配電路114包含硬體電元件以及該等電元件之間的硬體連接,且阻抗匹配模型104包含該等硬體電元件的軟體表示及該等硬體連接的軟體表示。又舉例來說,阻抗匹配模型104係使用軟體程式加以設計,且阻抗匹配電路114係製作於印刷電路板之上。當使用於此處,電元件可包含電阻器、電容器、電感器、電阻器之間的接線、電感器之間的接線、電容器之間的接線、及/或電阻器、電感器、及電容器的組合之間的接線。
類似地,電纜模型163和電纜150具有類似的特性,且電纜模型165和電纜152具有類似的特性。舉例來說,電纜模型163的電感係與電纜150的電感相同。作為另一範例,電纜模型163係電纜150的電腦生成模型,且電纜模型165係電纜152的電腦生成模型。
類似地,RF傳輸模型161和RF傳輸線113具有類似的特性。舉例來說,RF傳輸模型161具有與RF傳輸線113之內相同數量的電阻器、電容器及/或電感器,且該等電阻器、電容器及/或電感器係以與RF傳輸線113之內相同的方式(例如串聯、並聯等等)彼此連接。為了進一步說明,當RF傳輸線113包含與一電感器並聯的一電容器時,RF傳輸模型161亦包含與該電感器並聯的該電容器。作為又另一範例,RF傳輸線113包含一個以上電元件,且RF傳輸模型161包含RF傳輸線113的一設計,例如電腦生成模型。
在若干實施例中,RF傳輸模型161係一電腦生成阻抗變換,其包含計算構件(例如電容器、電感器、電阻器、其組合等等)的特性(例如電容、電阻、電感、其組合等等),以及決定該等構件之間的連接(串聯、並聯等等)。
基於經由電纜191自電壓與電流探測器110所接收的複電壓與電流,以及阻抗匹配模型104之內構件(例如電感器、電容器等等)的特性(例如電容、電感等等),主機電腦130的處理器計算阻抗匹配模型104的輸出(例如模型節點N4m)處的複電壓與電流V、I、和Φ,例如第二複電壓與電流。在模型節點N4m處的複電壓與電流係儲存於主機系統130的儲存HU 162及/或另一儲存HU,例如光碟、快閃記憶體等等。複V、I、及Φ包含電壓大小V、電流大小I、及電壓和電流之間的相位Φ。
阻抗匹配模型104的輸出係連接至RF傳輸模型161的輸入,RF傳輸模型161係儲存於儲存硬體單元162之中。阻抗匹配模型104亦具有一輸入,例如節點N3m,其係用以接收在節點N3處所量測的複電壓與電流。
RF傳輸模型161包含RF傳輸模型部分173、另一RF傳輸模型部分197、及輸出N2m,其經由ESC模型125連接至模型節點N6m。ESC模型125係ESC 177的模型。舉例來說,ESC模型125具有與ESC 177類似的特性。舉例來說,ESC模型125具有與ESC 177相同的電感、電容、電阻、或其組合。
RF傳輸模型部分173的輸入,係RF傳輸模型161的輸入。RF傳輸模型部分173的輸出係連接至RF傳輸模型部分197的輸入。RF傳輸模型部分173具有與RF傳輸線部分169類似的特徵,且RF傳輸模型部分197具有與RF傳輸線部分195類似的特徵。
基於在模型節點N4m所測得的複電壓與電流,主機系統130的處理器計算RF傳輸模型161的RF傳輸模型部分173的輸出處(例如模型節點N1m)的複電壓與電流V、I、和Φ,例如第三複電壓與電流。在模型節點N1m所決定的 複電壓與電流,係儲存於主機系統130的儲存HU 162及/或另一儲存HU,例如光碟、快閃記憶體等等。
在一些實施例中,替代決定第三複電壓與電流或除了決定第三複電壓與電流之外,主機系統130的處理器,基於阻抗匹配模型104的輸出處的複電壓與電流,以及RF傳輸模型161的輸入與RF傳輸模型部分173之內的一點(例如一節點等等)之間構件的特性,計算RF傳輸模型部分173之內該點處的複電壓與電流,例如一中間複電壓與電流V、I、和Φ。
在各種實施例中,替代決定第三複電壓與電流或除了決定第三複電壓與電流之外,主機系統130的處理器,基於阻抗匹配模型104的輸出處的複電壓與電流,以及RF傳輸模型161的輸入與RF傳輸模型部分197之內的一點(例如一節點等等)之間構件的特性,計算RF傳輸模型部分197之內該點處的複電壓與電流,例如一中間複電壓與電流V、I、和Φ。
應注意的是,在若干實施例中,在阻抗匹配模型104的輸出處的複電壓與電流,係基於x MHz RF產生器的輸出處的複電壓與電流、電纜模型163的構件的特性、及阻抗匹配模型104的特性而加以計算。
更應注意的是,雖然顯示二個產生器連接至阻抗匹配電路114,在一個實施例中,任何數量的RF產生器(例如單一產生器、三個產生器等等)係經由一阻抗匹配電路連接至電漿腔室175。舉例來說,2MHz產生器、27MHz產生器、及60MHz產生器可經由一阻抗匹配電路連接至電漿腔室175。舉例來說,雖然上述實施例係就使用在節點N3處所測得的複電壓與電流而加以描述,在各種實施例中,上述實施例亦可使用在節點N5處所測得的複電壓與電流。
圖2係方法102實施例的流程圖,方法102用於決定在RF傳輸模型部分173(圖1)的輸出處的複電壓與電流。方法102係藉由主機系統130(圖1)的處理器加以執行。在操作106中,由儲存HU 162(圖1)之內確定在節點N3處所測得的複電壓與電流,例如第一複電壓與電流。舉例來說,決定第一複電壓與電流係接收自電壓與電流探測器110(圖1)。作為另一範例,基於儲存於儲存HU 162(圖1)的電壓與電流探測器110的標識(identity),決定第一複電壓與電流係與該標識相關聯。
再者,在操作107中,阻抗匹配模型104(圖1)係基於阻抗匹配電路114(圖1)的電元件加以產生。舉例來說,阻抗匹配電路114的多個電元件之間的連接、以及該等電元件的特性,係由使用者經由與主機系統130連接的一輸入硬體單元,提供至主機系統130的處理器。在接收該等連接與該等特性時,處理器產生具有與阻抗匹配電路114的電元件相同特性的構件,且產生具有相同於該等電元件之間連接的該等構件之間的連接。
阻抗匹配模型104的輸入(例如節點N3m)接收第一複電壓與電流。舉例來說,主機系統130的處理器自儲存HU 162存取(例如讀取等等)第一複電壓與電流,且提供第一複電壓與電流至阻抗匹配模型104的輸入以處理該第一複電壓與電流。
在操作116中,將第一複電壓與電流,由阻抗匹配模型104(圖1)的輸入(例如節點N3m(圖1)),經由阻抗匹配模型104的一個以上構件,傳導至阻抗匹配模型104的輸出(例如節點N4m(圖1)),以決定第二複電壓與電流,其係在阻抗匹配模型104的輸出處。舉例來說,參照圖3B,當2MHz RF產生器係開啟(例如運轉的、通電、連接至如電漿系統126的阻抗匹配模型104 之元件等等)之時,基於電容器253的電容,基於電容器C5的電容,且基於在輸入255處所接收的第一複電壓與電流,決定在節點251(例如一中間節點)處的複電壓與電流Vx1、Ix1、及Φx1,例如一中間複電壓與電流,其包含電壓大小Vx1、電流大小Ix1、及複電壓與電流之間的相位Φx1。此外,基於複電壓與電流Vx1、Ix1、及Φx1,且基於電感器L3的電感,決定在節點257的複電壓與電流Vx2、Ix2、及Φx2。複電壓與電流Vx2、Ix2、及Φx2包含電壓大小Vx2、電流大小Ix2、及電壓和電流之間的相位Φx2。當27MHz RF產生器和60MHz RF產生器係關閉(例如非運轉的、斷電、分離於阻抗匹配模型104等等)之時,將複電壓與電流V2、I2、及Φ2決定為在輸出259處的第二複電壓與電流,輸出259係阻抗匹配模型104(圖1)的輸出(例如模型節點N4m(圖1))的一個例子。複電壓與電流V2、I2、及Φ2,係基於複電壓與電流Vx2、Ix2、及Φx2以及電感器L2的電感而加以決定。複電壓與電流V2、I2、及Φ2包含電壓大小V2、電流大小I2、及電壓和電流之間的相位Φ2。
類似地,當27MHz RF產生器係開啟且2MHz和60MHz RF產生器係關閉之時,基於在節點261處所接收的複電壓與電流以及電感器LPF2、電容器C3、電容器C4、及電感器L2的特性,決定在輸出259處的複電壓與電流V27、I27、及Φ27。複電壓與電流V27、I27、及Φ27包含電壓大小V27、電流大小I27、及電壓和電流之間的相位Φ27。在節點261處所接收的複電壓與電流,係相同於在節點N5(圖1)處所測得的複電壓與電流。當2MHz和27MHz RF產生器二者係開啟且60MHz RF產生器係關閉之時,複電壓與電流V2、I2、Φ2、V27、I27、及Φ27係第二複電壓與電流的例子。此外,類似地,當60MHz RF產生器係開啟且2MHz和27MHz RF產生器係關閉時,在輸出259處的複電壓與電流V60、I60、及Φ60 係基於在節點265處所接收的複電壓與電流以及電感器LPF1、電容器C1、電容器C2、電感器L4、電容器269、及電感器L1的特性而加以決定。複電壓與電流V60、I60、及Φ60包含電壓大小V60、電流大小I60、及電壓和電流之間的相位Φ60。當2MHz、27MHz、及60MHz RF產生器係開啟時,複電壓與電流V2、I2、Φ2、V27、I27、Φ27、V60、I60、及Φ60係第二複電壓與電流的例子。
在操作117中,RF傳輸模型161(圖1)係基於RF傳輸線113(圖1)的電元件加以產生。舉例來說,RF傳輸線113的電元件之間的連接、以及該等電元件的特性,係由使用者經由與主機系統130連接的一輸入裝置提供至主機系統130的處理器。在接收到該等連接和該等特性時,該處理器產生具有與RF傳輸線113的電元件相同特性的構件,且產生與該等電元件之間連接相同的該等構件之間連接。
在操作119中,第二複電壓與電流係由RF傳輸模型161的輸入經由RF傳輸模型部分173的一個以上構件傳導至RF傳輸模型部分173的輸出(例如模型節點N1m(圖1)),以決定RF傳輸模型部分173的輸出處的第三複電壓與電流。舉例來說,參照圖5B,當2MHz RF產生器係開啟且27MHz和60MHz RF產生器係關閉之時,基於電感器Ltunnel的電感,基於電容器Ctunnel的電容,且基於複電壓與電流V2、I2、及Φ2(圖3B)(其為第二複電壓與電流的一個例子),決定在節點293處(例如一中間節點)的複電壓與電流Vx4、Ix4、及Φx4,例如一中間複電壓與電流。應注意的是,Ltunnel係一RF隧道(RF tunnel)的電腦生成模型的電感,且Ctunnel係該RF隧道模型的電容。此外,基於複電壓與電流Vx4、Ix4、及Φx4以及基於電感器Lstrap的電感,決定一隧道與帶模型210的輸出297處的複電壓與電流V21、I21、及Φ21。輸出297係RF傳輸模型部分173(圖1) 的輸出(例如模型節點N1m(圖1))的例子。應注意的是,Lstrap係RF帶的電腦生成模型的電感。當2MHz RF產生器係開啟且27MHz和60MHz RF產生器係關閉時,將複電壓與電流V21、I21、及Φ21決定為在輸出297處的第三複電壓與電流。
類似地,當27MHz RF產生器係開啟且2MHz和60MHz RF產生器係關閉時,基於在輸出259處的複電壓與電流V27、I27、及Φ27(圖3B)以及電感器Ltunnel、電容器Ctunnel、及電感器Lstrap的特性,決定在輸出297處的複電壓與電流V271、I271、及Φ271。當2MHz和27MHz RF產生器皆開啟且60MHz RF產生器係關閉時,複電壓與電流V21、I21、Φ21、V271、I271、Φ271係第三複電壓與電流的一個例子。
此外,類似地,當60MHz RF產生器係通電且2MHz和27MHz RF產生器係斷電時,基於在節點259處所接收的複電壓與電流V60、I60、及Φ60(圖3B)以及電感器Ltunnel、電容器Ctunnel、及電感器Lstrap的特性,決定在輸出297處的複電壓與電流V601、I601、及Φ601。當2MHz、27MHz、及60MHz RF產生器係開啟時,複電壓與電流V21、I21、Φ21、V271、I271、Φ271、V601、I601、及Φ601係第三複電壓與電流的一個例子。方法102在操作119之後結束。
圖3A係系統123的實施例的方塊圖,系統123係用以說明阻抗匹配電路122。阻抗匹配電路122係阻抗匹配電路114(圖1)的一個例子。阻抗匹配電路122包含多個電元件之間的串聯連接及/或多個電元件之間的並聯連接。
圖3B係阻抗匹配模型172的實施例的電路圖。阻抗匹配模型172係阻抗匹配模型104(圖1)的一個例子。如所顯示,阻抗匹配模型172包含具有電容C1到C9的電容器以及具有電感LPF1、LPF2、及L1到L4的電感器。應注意的 是,在圖3B中該等電感器及/或電容器彼此連接的方式係一範例。舉例來說,在圖3B中所顯示的電感器及/或電容器可以串聯及/或並聯方式彼此連接。並且,在若干實施例中,阻抗匹配模型172包含與圖3B中所顯示不同數量的電容器及/或不同數量的電感器。
圖4係系統178的實施例的圖示,用以說明RF傳輸線181,其係RF傳輸線113(圖1)的一個例子。RF傳輸線181包含圓筒148,例如一隧道。絕緣體190及RF桿142位在圓筒148的空心部之內。圓筒148和RF桿142的組合,係RF傳輸線113(圖1)的RF傳輸線部分169(圖1)的一個例子。RF傳輸線181係藉由螺栓B1、B2、B3、及B4而與阻抗匹配電路114加以螺栓連接。在一個實施例中,RF傳輸線181係經由任何數量的螺栓而與阻抗匹配電路114加以螺栓連接。在若干實施例中,替代螺栓或在螺栓以外,使用任何其他形式的接合,例如黏著劑、螺絲等等,以將RF傳輸線181接合至阻抗匹配電路114。
RF傳輸桿142係與阻抗匹配電路114的輸出連接。並且,一RF帶144,又稱為RF匙,係連接至RF桿142和RF桿199,RF桿199的一部分係位於支持部146(例如一圓筒)之內。包含RF桿199的支持部146,係RF傳輸線部分195(圖1)的一個例子。在一個實施例中,圓筒148、RF桿142、RF帶144、支持部146、及RF桿199的組合形成RF傳輸線181,其係RF傳輸線113(圖1)的一個例子。支持部146對電漿腔室提供支持。支持部146係附接至電漿腔室的ESC 177。RF訊號係由x MHz產生器經由電纜150、阻抗匹配電路114、RF桿142、RF帶144、及RF桿199供應至ESC 177。
在一個實施例中,ESC 177包含一加熱構件及在該加熱構件頂部的電極。在一個實施例中,ESC 177包含加熱構件及下電極。在一個實施例中, ESC 177包含下電極及在該下電極內所形成的開口內所嵌入的加熱構件,例如線圈線等等。在若干實施例中,電極係由金屬所構成,例如鋁、銅等等。應注意的是,RF傳輸線181將RF訊號供應至ESC 177的下電極。
圖5A係系統171的實施例的方塊圖,用以說明RF傳輸線113(圖1)的電路模型176。舉例來說,電路模型176包含電感器和/或電容器、該等電感器之間的連接、該等電容器之間的連接、及/或該等電感器和電容器之間的連接。連接的例子包含串聯及/或並聯連接。電路模型176係RF傳輸模型161(圖1)的一個例子。
圖5B係電路180的實施例的圖示,用以說明隧道與帶模型210,其係RF傳輸模型161(圖1)的RF傳輸模型部分173(圖1)的一個例子。電路180包含阻抗匹配模型172以及隧道與帶模型210。隧道與帶模型210包含電感器Ltunnel和Lstrap以及電容器Ctunnel。應注意到的是,電感器Ltunnel代表圓筒148(圖4)及RF桿142的電感,且電容器Ctunnel代表圓筒148及RF桿142的電容。此外,電感器Lstrap代表RF帶144(圖4)的電感。
在一個實施例中,隧道與帶模型210包含任何數量的電感器及/或任何數量的電容器。在這個實施例中,隧道與帶模型210包含連接一電容器至另一電容器、連接一電容器至一電感器、及/或連接一電感器至另一電感器的任何方式,例如串聯、並聯等等。
圖5C係電路300的實施例的圖示,用以說明隧道與帶模型302,其係RF傳輸模型161(圖1)的RF傳輸模型部分173(圖1)的一個例子。隧道與帶模型302係經由輸出259而與阻抗匹配模型172連接。隧道與帶模型302包含具有電感20奈亨利(nH)的電感器以及具有15微微法拉(pF)、31pF、15.5pF、及 18.5pF的電容器。隧道與帶模型302係經由節點304連接至RF筒,該RF筒係連接至ESC 177(圖1)。該RF筒係RF傳輸線部分195(圖1)的一個例子。
應注意的是,在若干實施例中,隧道與帶模型302的電感器與電容器具有其他數值。舉例來說,該20nH電感器具有介於15和20nH之間或介於20和25nH之間的電感。作為另一範例,隧道與帶模型302的二個以上電感器具有不同的電感。作為又另一範例,該15pF電容器具有介於8pF和25pF之間的電容,該31pF電容器具有介於15pF和45pF之間的電容,該15.5pF電容器具有介於9pF和20pF之間的電容,且該18.5pF電容器具有介於10pF和27pF之間的電容。
在各種實施例中,將任何數量的電感器包含於隧道與帶模型302之中,且將任何數量的電容器包含於隧道與帶模型302之中。
圖6係電路310的實施例的圖示,用以描述一圓筒與ESC模型312,其為電感器314和電容器316的組合。圓筒與ESC模型312包含一圓筒模型及一ESC模型,該ESC模型係ESC模型125(圖1)的一個例子。該圓筒模型係RF傳輸模型161(圖1)的RF傳輸模型部分197(圖1)的一個例子。該圓筒與ESC模型312具有與RF傳輸線部分195和ESC 177(圖1)之組合類似的特性。舉例來說,圓筒與ESC模型312具有與RF傳輸線部分195和ESC 177的組合相同的電阻。作為另一範例,圓筒與ESC模型312具有與RF傳輸線部分195和ESC 177的組合相同的電感。作為又另一範例,圓筒與ESC模型312具有與RF傳輸線部分195和ESC 177的組合相同的電容。作為又另一範例,圓筒與ESC模型312具有與RF傳輸線部分195和ESC 177的組合相同的電感、電阻、電容、或其組合。
圓筒與ESC模型312係經由節點318連接至隧道與帶模型302。節點318係模型節點N1m(圖1)的一個例子。
應注意的是,在若干實施例中,在圓筒與ESC模型312之中使用具有不同於44毫亨利(mH)之電感的電感器。舉例來說,使用具有由35mH至43.9mH或由45.1mH至55mH之電感的電感器。在各種實施例中,使用具有不同於550pF之電容的電容器。舉例來說,替代550pF的電容器,使用具有介於250和550pF之間或介於550和600pF之間的電容的電容器。
主機系統130(圖1)的處理器計算阻抗匹配模型172、隧道與帶模型302、及圓筒與ESC模型312的組合的合成阻抗,例如總阻抗等等。主機系統130的處理器,使用在模型節點318處所決定的複電壓與電流及合成阻抗作為輸入,以計算在節點N6m處的複電壓和阻抗。應注意的是,圓筒與ESC模型312的輸出係模型節點N6m。
圖7係用於決定一變數的系統200的實施例的方塊圖。系統200包含一電漿腔室135,其更包含ESC 201且具有一輸入285。電漿腔室135係電漿腔室175(圖1)的一個例子,且ESC 201係ESC 177(圖1)的一個例子。ESC 201包含加熱構件198。並且,ESC 201係以邊緣環(ER)194加以圍繞。ER 194包含加熱構件196。在一個實施例中,ER 194促成均勻的蝕刻率、以及在由ESC 201所支持的工件131的邊緣附近之降低的蝕刻率漂移。
電源供應器206經由濾波器208提供功率至加熱構件196以加熱該加熱構件196,且電源供應器204經由濾波器202提供功率至加熱構件198以加熱該加熱構件198。在一個實施例中,單一電源供應器提供功率至加熱構件196和 198二者。濾波器208過濾從電源供應器206所接收的一功率訊號的預定頻率,且濾波器202過濾從電源供應器204所接收的一功率訊號的預定頻率。
加熱構件198係藉由接收自電源供應器204的功率訊號加熱,以將ESC 201的電極維持於所期望的溫度,俾以進一步將電漿腔室135之內的環境維持於所期望的溫度。此外,加熱構件196係藉由接收自電源供應器206的功率訊號加熱,以將ER 194維持於所期望的溫度,俾以進一步將電漿腔室135內的環境維持於所期望的溫度。
應注意的是,在一個實施例中,在ER 194和ESC 201包含任意數量的加熱構件及任何類型的加熱構件。舉例來說,ESC 201包含電感加熱構件或金屬板。在一個實施例中,ESC 201和ER 194的每一者包含一個以上冷卻構件(例如容許冷水通過的一條以上的管等等),以將電漿腔室135維持於所期望的溫度。
更應注意的是,在一個實施例中,系統200包含任何數量的濾波器。舉例來說,電源供應器204和206係經由單一濾波器連接至ESC 201和ER 194。
圖8A係系統217的實施例的圖示,用以說明濾波器202和208(圖7)的模型,其用以改善變數的準確性。系統217包含經由圓筒模型211連接至模型216的隧道與帶模型210,模型216包含濾波器202和208的電容器和/或電感器、以及其間的連接。模型216係儲存於儲存HU 162(圖1)及/或其他儲存HU之內。模型216的電容器及/或電感器,係以一方式(例如並聯方式、串聯方式、其組合等等)彼此連接。模型216代表濾波器202和208的電容及/或電感。
此外,系統217包含圓筒模型211,其係RF桿199(圖4)及支持部146(圖4)的電腦生成模型。圓筒模型211具有與RF桿199和支持部146的電元件類似的特性。圓筒模型211包含一個以上電容器、一個以上電感器、該等電感器 之間的連接、該等電容器之間的連接、及/或該等電容器和電感器之組合之間的連接。
主機系統130(圖1)的處理器計算模型216、隧道與帶模型210、及圓筒模型211的合成阻抗,例如總阻抗等等。該合成阻抗提供在節點N2m處的複電壓和阻抗。在決定節點N2m處的變數之操作中包含模型216和隧道與帶模型210的情況下,變數的準確性受到改善。應注意的是,模型216的輸出係模型節點N2m。
圖8B係系統219之實施例的圖示,用以說明用於改善變數準確性的濾波器202和208(圖7)的模型。系統219包含隧道與帶模型210及模型218,模型218係與隧道與帶模型210並聯連接。模型218係模型216(圖8A)的一個例子。模型218包含一電感器Lfilter,其表示濾波器202和208的合成電感。模型218更包含電容器Cfilter,其表示濾波器202和208的目標(directed)合成電容。
圖9係系統236的實施例的方塊圖,系統236用於使用電壓與電流探測器238量測RF產生器220的輸出231處的變數。輸出231係節點N3(圖1)或節點N5(圖1)的一個例子。RF產生器220係x MHz產生器或y MHz產生器(圖1)的一個例子。主機系統130產生具有二個以上狀態的數位脈衝訊號213,且提供該數位脈衝訊號213至數位訊號處理器(DSP)226。在一個實施例中,該數位脈衝訊號213係電晶體電晶體邏輯(TTL)訊號。該等狀態的範例包含開狀態和關狀態、具有數位值1的狀態和具有數位值0的狀態、高狀態和低狀態等等。
在另一實施例中,替代主機系統130,使用時脈振盪器(例如晶體振盪器)產生類比時脈訊號,其藉由一類比至數位轉換器轉換成與數位脈衝訊號213類似的數位訊號。
數位脈衝訊號213係傳送至DSP 226。DSP 226接收數位脈衝訊號213且辨識該數位脈衝訊號213的狀態。舉例來說,DSP 226判定數位脈衝訊號213在第一組時間段期間具有一第一量值,例如數值1、高狀態量值等等,且在第二組時間段期間具有一第二量值,例如數值0、低狀態量值等等。DSP 226判定,數位脈衝訊號213在第一組時間段期間具有狀態S1且在第二組時間段期間具有狀態S0。狀態S0的例子包含低狀態、具有數值0的狀態、及關狀態。狀態S1的例子包含高狀態、具有數值1的狀態、及開狀態。作為又另一範例,DSP 226將數位脈衝訊號213的量值與一預儲存數值比較,以判別數位脈衝訊號213的量值在第一組時間段期間大於該預儲存數值,以及在第二組時間段期間該數位脈衝訊號213的狀態S0時的量值不大於該預儲存數值。在使用時脈振盪器的實施例中,DSP 226自時脈振盪器接收一類比時脈訊號,轉換該類比訊號為數位形式,且接著辨識二個狀態S0和S1。
當將一狀態辨識成S1,DSP 226將功率值P1及/或頻率值F1提供至參數控制部222。此外,當將該狀態辨識成S0,DSP 226將功率值P0及/或頻率值F0提供至參數控制部224。用以調整頻率的參數控制部的一個例子,包含自動頻率調諧器(AFT)。
應注意的是,參數控制部222、參數控制部224、及DSP 226係控制系統187的部分。舉例來說,參數控制部222及參數控制部224係邏輯塊,例如調整迴圈,其係由DSP 226所執行的電腦程式的部分。在若干實施例中,電腦程式係包含在非暫時性電腦可讀媒體之內,例如一儲存HU。
在一個實施例中,替代參數控制部,使用一控制器,例如硬體控制器、ASIC、PLD等等。舉例來說,使用硬體控制器取代參數控制部222,且使用另一硬體控制器取代參數控制部224。
當接收到功率值P1及/或頻率值F1之時,參數控制部222將該功率值P1及/或該頻率值F1提供至驅動放大系統(DAS)232的驅動器228。驅動器的例子包含功率驅動器、電流驅動器、電壓驅動器、電晶體等等。驅動器228產生具有該功率值P1及/或頻率值F1的RF訊號,且提供該RF訊號至DAS 232的放大器230。
在一個實施例中,驅動器228產生一RF訊號,其具有隨功率值P1變化的一驅動功率值,且/或具有隨頻率值F1變化的一驅動頻率值。舉例來說,該驅動功率值係在該功率值P1的幾瓦(例如1到5瓦等等)之內,且該驅動頻率值係在該頻率值F1的幾赫茲(例如1到5Hz等等)之內。
放大器230將具有該功率值P1及/或該頻率值F1的RF訊號放大,且產生對應接收自驅動器228的RF訊號的一RF訊號215。舉例來說,RF訊號215具有與該功率值P1相比較高的功率量。作為另一範例,RF訊號215具有與功率值P1相同的功率量。RF訊號215經由電纜223及阻抗匹配電路114傳送至ESC 177(圖1)。
電纜223係電纜150或電纜152(圖1)的例子。舉例來說,當RF產生器220係x MHz RF產生器(圖1)的一個例子時,電纜223係電纜150的一個例子,且當RF產生器220係y MHz RF產生器(圖1)的一個例子時,電纜223係電纜152的一個例子。
當將功率值P1及/或頻率值F1藉由參數控制部222提供至DAS 232且產生RF訊號215之時,電壓與電流探測器238測量於連接至電纜223的輸出231處之變數數值。電壓與電流探測器238係電壓與電流探測器110或電壓與電流探測器111(圖1)的例子。電壓與電流探測器238將變數數值經由通訊裝置233傳送至主機系統130,以供主機系統130執行此處所述的方法102(圖2)及方法340、351、及363(圖13、15、及17)。通訊裝置233係通訊裝置185或189(圖1)的一個例子。通訊裝置233應用一協定(例如乙太網路、EtherCAT、USB、串列、平行、封包、拆包等等),以將資料由電壓與電流探測器238傳送至主機系統130。在各種實施例中,主機系統130包含一通訊裝置,其應用通訊裝置233所應用的該協定。舉例來說,當通訊裝置233應用封包協定,主機系統130的通訊裝置應用拆包協定。作為另一範例,當通訊裝置233應用一串列傳輸協定時,主機系統130的通訊裝置應用一串列傳輸協定。
類似地,當接收到功率值P0及/或頻率值F0之時,參數控制部224將該功率值P0及/或該頻率值F0提供至驅動器228。驅動器228產生具有該功率值P0及/或頻率值F0的RF訊號,且提供該RF訊號至放大器230。
在一個實施例中,驅動器228產生一RF訊號,其具有隨功率值P0變化的一驅動功率值,且/或具有隨頻率值F0變化的一驅動頻率值。舉例來說,該驅動功率值係在該功率值P0的幾瓦(例如1到5瓦)之內,且該驅動頻率值係在該頻率值F0的幾赫茲(例如1到5Hz)之內。
放大器230將具有該功率值P0及/或該頻率值F0的RF訊號放大,且產生對應接收自驅動器228的RF訊號的一RF訊號221。舉例來說,RF訊號221具有與該功率值P0相比較高的功率量。作為另一範例,RF訊號221具有與功率值P0 相同的功率量。RF訊號221經由電纜223及阻抗匹配電路114傳送至ESC 177(圖1)。
當將功率值P0及/或頻率值F0藉由參數控制部224提供至DAS 232且產生RF訊號221之時,電壓與電流探測器238測量於輸出231處之變數值。電壓與電流探測器238將變數值傳送至主機系統130,以供主機系統130執行方法102(圖2)、方法340(圖13)、方法351(圖15)、或方法363(圖17)。
應注意的是,在一個實施例中,電壓與電流探測器238係與DSP 226分離。在若干實施例中,電壓與電流探測器238係與DSP 226相連。更應注意的是,在狀態S1期間所產生的RF訊號215及在狀態S0期間所產生的RF訊號221係一合成RF訊號的部分。舉例來說,RF訊號215係具有與RF訊號221相比較高功率量的合成RF訊號的一部分,RF訊號221係該合成RF訊號的另一部分。
圖10係系統250的實施例的方塊圖,在系統250中電壓與電流探測器238和通訊裝置233係位於RF產生器220之外。在圖1中,電壓與電流探測器110係位於x MHz RF產生器之內以測量在x MHz RF產生器的輸出處的變數。電壓與電流探測器238係位於RF產生器220之外以測量在RF產生器220的輸出231處的變數。電壓與電流探測器238係關聯於(例如連接至)RF產生器220的輸出231。
圖11係系統128的實施例的方塊圖,在系統128中使用藉由圖1的系統126所決定的變數值。系統128包含m MHz RF產生器、n MHz RF產生器、阻抗匹配電路115、RF傳輸線287、及電漿腔室134。電漿腔室134可類似於電漿腔室175。
應注意的是,在一個實施例中,圖1的x MHz RF產生器係類似m MHz RF產生器,且圖1的y MHz RF產生器係類似n MHz RF產生器。舉例來說, x MHz係等於m MHz且y MHz係等於n MHz。作為另一範例,x MHz產生器和m MHz產生器具有類似的頻率,且y MHz產生器和n MHz產生器具有類似的頻率。類似頻率的例子係當x MHz係在m MHz頻率的一窗口之內(例如kHz或Hz之內)。在若干實施例中,圖1的x MHz RF產生器係不類似於m MHz RF產生器,且圖1的y MHz RF產生器係不類似於n MHz RF產生器。
更要注意的是,在各種實施例中,在m MHz及n MHz RF產生器每一者中,使用與在x MHz及y MHz RF產生器每一者中所使用不同類型的感測器。舉例來說,在m MHz RF產生器中使用不遵循NIST標準的感測器。作為另一範例,在m MHz RF產生器中使用僅量測電壓的電壓感測器。
更應注意的是,在一個實施例中,阻抗匹配電路115係類似於阻抗匹配電路114(圖1)。舉例來說,阻抗匹配電路114的阻抗係與阻抗匹配電路115的阻抗相同。作為另一範例,阻抗匹配電路115的阻抗係在阻抗匹配電路114的一阻抗窗口之內(例如10-20%之內)。在若干實施例中,阻抗匹配電路115係不類似於阻抗匹配電路114。
阻抗匹配電路115包含電元件,例如電感器、電容器等等,以將連接至阻抗匹配電路115的功率源的阻抗與連接至阻抗匹配電路115之負載的阻抗加以匹配。舉例來說,阻抗匹配電路115將連接至阻抗匹配電路115的來源阻抗(例如m MHz RF產生器、n MHz RF產生器、及連接該m MHz和n MHz RF產生器至阻抗匹配電路115的電纜等等之組合),與負載的阻抗(例如電漿腔室134及RF傳輸線287等等之組合)匹配。
應注意的是,在一個實施例中,RF傳輸線287係類似於RF傳輸線113(圖1)。舉例來說,RF傳輸線287的阻抗係與RF傳輸線113的阻抗相同。作 為另一範例,RF傳輸線287的阻抗係在RF傳輸線113的一阻抗窗口之內(例如10-20%之內)。在各種實施例中,RF傳輸線287係不類似於RF傳輸線113。
電漿腔室134包含ESC 192、上電極264、及其他部件(未顯示),例如圍繞上電極264的上介電環、圍繞該上介電環的上電極延伸部、圍繞ESC 192的下電極的下介電環、圍繞下介電環的下電極延伸部、上電漿排除區(PEZ)環、下PEZ環等等。上電極264係位於ESC 192的對面且面向ESC 192。一工件262(例如半導體晶圓等等)係被支承於ESC 192的上表面263。上電極264與ESC 192的下電極每一者係由金屬製成,例如鋁、鋁合金、銅等等。
在一個實施例中,上電極264包含一開口,連接至一中央氣體饋入部(未顯示)。該中央氣體饋入部從一氣體供應部(未顯示)接收一種以上製程氣體。上電極264係接地。ESC 192係經由阻抗匹配電路115連接至m MHz RF產生器及n MHz RF產生器。
當將製程氣體供應於上電極264及ESC 192之間,且當m MHz RF產生器及/或n MHz RF產生器經由阻抗匹配電路115供應功率至ESC 192之時,製程氣體被點燃而在電漿腔室134之內產生電漿。
應注意的是,系統128不具有探測器(例如計量工具、電壓與電流探測器、電壓探測器等等)量測於阻抗匹配電路115的輸出283處、在RF傳輸線287上的一點、或在ESC 192處的變數。在模型節點N1m、N2m、N4m、及N6m處的變數值係用以決定系統128是否如所期望的運作。
在各種實施例中,系統128不具有晶圓偏壓感測器(例如原位直流(DC)探測器讀取銷)以及用以量測於ESC 192處的晶圓偏壓的相關硬體。不使用晶圓偏壓感測器及相關硬體節省成本。
亦應注意的是,在一個實施例中,系統128包含連接至阻抗匹配電路的任何數量的RF產生器。
圖12A、12B、及12C係實施例圖形268、272、及275的圖示,該等圖形描述藉由電壓探測器於系統126(圖1)之內阻抗匹配電路114(圖1)的輸出(例如節點N4)處所測得的電壓(例如均方根(RMS)電壓、峰值電壓等等)、與利用方法102(圖2)所決定的對應模型節點輸出處(例如節點N4m)的電壓(例如峰值電壓等等)之間的相關性。此外,圖12A、12B、及12C係實施例圖形270、274、及277的圖示,該等圖形描述藉由電流探測器在系統126(圖1)的輸出處(例如節點N4)所測得的電流(例如均方根(RMS)電流等等)、與利用方法102(圖2)所決定的在對應輸出處(例如節點N4m)的電流(例如RMS電流等等)之間的相關性。
利用方法102所決定的電壓係標繪於各圖形268、272、及275之中的x軸之上,且利用電壓探測器所量測的電壓係標繪於各圖形268、272、及275之中的y軸之上。類似地,利用方法102所決定的電流係標繪於各圖形270、274、及277之中的x軸之上,且利用電流探測器所決定的電流係標繪於各圖形270、274、及277之中的y軸之上。
在x MHz RF產生器係開啟且y MHz RF產生器及z MHz RF產生器(例如60MHz RF產生器)係關閉的情況下,將電壓標繪在圖形268之中。此外,在y MHz RF產生器係開啟且x MHz RF產生器及z MHz RF產生器係關閉的情況下,將電壓標繪在圖形272之中。並且,在z MHz RF產生器係開啟且x MHz RF產生器及y MHz RF產生器係關閉的情況下,將電壓標繪在圖形275之中。
類似地,在x MHz RF產生器係開啟且y MHz RF產生器及z MHz RF產生器係關閉的情況下,將電流標繪在圖形270之中。此外,在y MHz RF產生器係開啟且x MHz RF產生器及z MHz RF產生器係關閉的情況下,將電流標繪在圖形274之中。並且,在z MHz RF產生器係開啟且x MHz RF產生器及y MHz RF產生器係關閉的情況下,將電流標繪在圖形277之中。
在圖形268、272、及275每一者之中可觀察到,在該圖形的y軸所標繪的電壓與該圖形的x軸上所標繪的電壓之間存在一近似線性相關性。類似地,在圖形270、274、及277每一者之中可觀察到,在y軸所標繪的電流與在x軸上所標繪的電流之間存在一近似線性相關性。
圖13係方法340實施例的流程圖,方法340用於決定在電漿系統126(圖1)的一模型節點(例如模型節點N4m、模型節點N1m、模型節點N2m、模型節點N6m等等)處的晶圓偏壓。應注意的是,在若干實施例中,晶圓偏壓係由在電漿腔室175(圖1)之內所產生的電漿所產生的直流(DC)電壓。在這些實施例中,晶圓偏壓係存在於ESC 177(圖1)的一表面(例如上表面183)及/或工件131(圖1)的一表面(例如上表面)。
更應注意的是,模型節點N1m及N2m係在RF傳輸模型161(圖1)之上,且模型節點N6m係在ESC模型125(圖1)之上。方法340係由主機系統130(圖1)的處理器所執行。在方法340之中,執行操作106。
此外,在操作341之中,產生對應的一個以上裝置(例如阻抗匹配電路114、RF傳輸線113、ESC 177、其組合等等)的一個以上模型,例如阻抗匹配模型104、RF傳輸模型161、ESC模型125(圖1)、其組合等等。舉例來說,產生ESC模型125,其具有與ESC 177(圖1)類似的特性。
在操作343中,在操作106中所確定的複電壓與電流,係經由一個以上模型的一個以上構件加以傳導,以決定在該一個以上模型的輸出處的複電壓與電流。舉例來說,第二複電壓與電流係由第一複電壓與電流決定。作為另一範例,第二複電壓與電流係由第一複電壓與電流決定,且第三複電壓與電流係由第二複電壓與電流決定。作為又另一範例,第二複電壓與電流係由第一複電壓與電流決定,第三複電壓與電流係由第二複電壓與電流決定,且第三複電壓與電流係經由RF傳輸模型161(圖1)的RF傳輸模型部分197加以傳導,以決定在模型節點N2m處的第四複電壓與電流。在此範例中,第四複電壓與電流係藉由將第三複電壓與電流傳導通過RF傳輸模型部分197的構件的阻抗而加以決定。作為又另一範例,RF傳輸模型161提供由主機系統130的處理器所執行的一代數轉移函數,以將在一個以上RF產生器的一個以上輸出處所測得的複電壓與電流轉移至沿著RF傳輸模型161的一電節點,例如模型節點N1m、模型節點N2m等等。
作為操作343的另一範例,第二複電壓與電流係由第一複電壓與電流加以決定,第三複電壓與電流係由第二複電壓與電流加以決定,第四複電壓與電流係由第三複電壓與電流加以決定,且第四複電壓與電流係經由ESC模型125加以傳導,以決定在模型節點N6m處的第五複電壓與電流。在此範例中,藉由將第四複電壓與電流傳導通過ESC模型125的構件(例如電容器、電感器等等)的阻抗,決定第五複電壓與電流。
在操作342中,基於在一個以上模型的輸出處的複電壓與電流的電壓大小、在該輸出處的複電壓與電流的電流大小、及在該輸出處的複電壓與電流的功率大小,決定在該輸出處的晶圓偏壓。舉例來說,基於第二複電壓與 電流的電壓大小、第二複電壓與電流的電流大小、及第二複電壓與電流的功率大小,決定晶圓偏壓。為進一步說明,當x MHz RF產生器係開啟且y MHz和z MHz RF產生器係關閉時,主機系統130(圖1)的處理器將模型節點N4m(圖1)處的晶圓偏壓決定為第一乘積、第二乘積、第三乘積、及一常數的和。在這個例子中,第一乘積係第一係數和第二複電壓與電流的電壓大小的乘積,第二乘積係第二係數和第二複電壓與電流的電流大小的乘積,且第三乘積係第三係數的平方根、和第二複電壓與電流的功率大小的平方根之乘積。
舉例來說,功率大小係所輸送功率的功率大小,所輸送功率係由主機系統130的處理器決定為前向功率和反射功率的差。前向功率係由系統126(圖1)的一個以上RF產生器供應至電漿腔室175(圖1)的功率。反射功率係由電漿腔室175朝向系統126(圖1)的一個以上RF產生器反射回來的功率。舉例來說,複電壓與電流的功率大小係由主機系統130的處理器決定為複電壓與電流的電流大小和複電壓與電流的電壓大小的乘積。此外,用以決定晶圓偏壓的係數和常數每一者係一正數或一負數。作為決定晶圓偏壓的另一範例,當x MHz RF產生器係開啟且y MHz和z MHz RF產生器係關閉之時,在一模型節點的晶圓偏壓係表示為ax*Vx+bx*Ix+cx*sqrt(Px)+dx,其中「ax」係第一係數,「bx」係第二係數,「dx」係常數,「Vx」係在模型節點處的複電壓與電流的電壓大小,「Ix」係在模型節點處的複電壓與電流的電流大小,且「Px」係在模型節點處的複電壓與電流的功率大小。應注意的是,「sqrt」係平方根運算,其由主機系統130的處理器所執行。在若干實施例中,功率大小Px係電流大小Ix和電壓大小Vx的乘積。
在各種實施例中,用以決定晶圓偏壓的係數,係由主機系統130(圖1)的處理器基於一預測(projection)方法加以決定。在該預測方法中,一晶圓偏壓感測器(例如晶圓偏壓銷等等)量測第一時間的ESC 177的表面(例如上表面183(圖1))上的晶圓偏壓。此外,在該預測方法中,基於在一RF產生器的輸出處所測得的複電壓與電流,決定電漿系統126之內的一模型節點處的電壓大小、電流大小、及功率大小。舉例來說,第一時間之節點N3(圖1)處所測得的複電壓與電流,由主機系統130的處理器傳送至一模型節點(例如模型節點N4m、模型節點N1m、模型節點N2m、或模型節點N6m(圖1)等等),以決定第一時間之該模型節點處的複電壓與電流。電壓大小與電流大小係由主機系統130的處理器自第一時間的該模型節點處的複電壓與電流加以抽取。此外,功率大小係由主機系統130的處理器計算為第一時間之電流大小和電壓大小的乘積。
類似地,在此範例中,在節點N3處測得一個以上額外時間的複電壓與電流,且傳送所測得的複電壓與電流,以決定該一個以上額外時間於模型節點(例如模型節點N4m、模型節點N1m、模型節點N2m、模型節點N6m等等)的複電壓與電流。並且,對於該一個以上額外時間,將電壓大小、電流大小、及功率大小由所決定的該一個以上額外時間的複電壓與電流加以抽取。一數學函數(例如部分最小平方、線性回歸等等)係由主機系統130的處理器應用於對於第一時間和該一個以上額外時間所取得的電壓大小、電流大小、功率大小、及所測得的晶圓偏壓,以決定係數ax、bx、cx、及常數dx。
作為操作342的另一範例,在y MHz RF產生器係開啟且x MHz和z MHz RF產生器係關閉之時,將晶圓偏壓決定為ay*Vy+by*Iy+cy*sqrt(Py)+dy,其中「ay」係一係數,「by」係一係數,「dy」係常數,「Vy」係第二複 電壓與電流的電壓大小,「Iy」係第二複電壓與電流的電流大小,且「Py」係第二複電壓與電流的功率大小。功率大小Py係電流大小Iy和電壓大小Vy的乘積。作為操作342的又另一範例,在z MHz RF產生器係開啟且x MHz和y MHz RF產生器係關閉之時,晶圓偏壓係決定為az*Vz+bz*Iz+cz*sqrt(Pz)+dz,其中「az」係一係數,「bz」係一係數,「dz」係常數,「Vz」係第二複電壓與電流的電壓大小,「Iz」係第二複電壓與電流的電流大小,且「Pz」係第二複電壓與電流的功率大小。功率大小Pz係電流大小Iz和電壓大小Vz的乘積。
作為操作342的另一範例,在x MHz及y MHz RF產生器係開啟且z MHz RF產生器係關閉之時,將晶圓偏壓決定為第一乘積、第二乘積、第三乘積、第四乘積、第五乘積、第六乘積、及一常數的和。第一乘積係第一係數和電壓大小Vx的乘積,第二乘積係第二係數和電流大小Ix的乘積,第三乘積係第三係數和功率大小Px的平方根的乘積,第四乘積係第四係數和電壓大小Vy的乘積,第五乘積係第五係數和電流大小Iy的乘積,且第六乘積係第六係數和功率大小Py的平方根的乘積。在x MHz及y MHz RF產生器係開啟且z MHz RF產生器係關閉之時,晶圓偏壓係表示為axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy,其中「axy」、「bxy」、「cxy」、「dxy」、「exy」、「fxy」、「dxy」、「exy」、及「fxy」為係數,且「gxy」為常數。
作為操作342的另一範例,在y MHz及z MHz RF產生器係開啟且x MHz RF產生器係關閉之時,晶圓偏壓係決定為ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz,其中「ayz」、「byz」、「cyz」、「dyz」、「eyz」、及「fyz」為係數,且「gyz」為常數。作為操作342的又另一範例,在x MHz及z MHz RF產生器係開啟且y MHz RF產生器係關閉之時,晶圓偏壓係決 定axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz,其中「axz」、「bxz」、「cxz」、「dxz」、「exz」、及「fxz」為係數,且「gxz」為常數。
作為操作342的另一範例,在x MHz、y MHz及z MHz RF產生器係開啟之時,晶圓偏壓係決定為第一乘積、第二乘積、第三乘積、第四乘積、第五乘積、第六乘積、第七乘積、第八乘積、第九乘積、及一常數的和。第一乘積係第一係數和電壓大小Vx的乘積,第二乘積係第二係數和電流大小Ix的乘積,第三乘積係第三係數和功率大小Px的平方根的乘積,第四乘積係第四係數和電壓大小Vy的乘積,第五乘積係第五係數和電流大小Iy的乘積,第六乘積係第六係數和功率大小Py的平方根的乘積,第七乘積係第七係數和電壓大小Vz的乘積,第八乘積係第八係數和電流大小Iz的乘積,且第九乘積係第九係數和功率大小Pz的平方根的乘積。在x MHz、y MHz及z MHz RF產生器係開啟之時,晶圓偏壓係表示為axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz,其中「axyz」、「bxyz」、「cxyz」、「dxyz」、「exyz」、「fxyz」、「gxyz」、「hxyz」、及「ixyz」為係數,且「jxyz」為常數。
作為決定一個以上模型的輸出處的晶圓偏壓的另一範例,在模型節點N1m處的晶圓偏壓係藉由主機系統130的處理器基於在模型節點N1m處所決定的電壓與電流大小而加以決定。為進一步說明,第二複電壓與電流係沿著RF傳輸模型部分173(圖1)加以傳導,以決定在模型節點N1m處的複電壓與電流。以類似於自第一複電壓與電流決定第二複電壓與電流的方式,自第二複電壓與電流決定模型節點N1m處的複電壓與電流。舉例來說,第二複電壓與電流 係基於RF傳輸模型部分173的構件的特性沿著RF傳輸模型部分173加以傳導,以決定在模型節點N1m處的複電壓與電流。
基於在模型節點N1m處所決定的複電壓與電流,藉由主機系統130的處理器決定模型節點N1m處的晶圓偏壓。舉例來說,以類似於自第二複電壓與電流決定在模型節點N4m處的晶圓偏壓的方式,自模型節電N1m處的複電壓與電流決定模型節點N1m處的晶圓偏壓。為了說明,當x MHz RF產生器係開啟且y MHz和z MHz RF產生器係關閉時,主機系統130(圖1)的處理器將模型節點N1m處的晶圓偏壓決定為第一乘積、第二乘積、第三乘積、及一常數的和。在此範例中,第一乘積係第一係數和模型節點N1m處的複電壓與電流的電壓大小的乘積,第二乘積係第二係數和模型節點N1m處的複電壓與電流的電流大小的乘積,且第三乘積係第三係數的平方根和模型節點N1m處的複電壓與電流的功率大小的平方根的乘積。當x MHz RF產生器係開啟且y MHz和z MHz RF產生器係關閉時,模型節點N1m處的晶圓偏壓係表示為ax*Vx+bx*Ix+cx*sqrt(Px)+dx,其中ax係第一係數,bx係第二係數,cx係第三係數,dx係常數,Vx係在模型節點N1m處的電壓大小,Ix係在模型節點N1m處的電流大小,且Px係在模型節點N1m處的功率大小。
類似地,基於模型節點N1m處的複電壓與電流且基於x、y、z MHz RF產生器何者為開啟,決定晶圓偏壓ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+ gyz、及axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz。
作為決定一個以上模型的輸出處的晶圓偏壓的又另一範例,在模型節點N2m處的晶圓偏壓係藉由主機系統130的處理器,以與基於模型節點N1m處所決定的電壓和電流大小決定模型節點N1m處的晶圓偏壓類似的方式,基於在模型節點N2m處所決定的電壓和電流大小加以決定。為了進一步說明,決定模型節點N2m處的晶圓偏壓ax*Vx+bx*Ix+cx*sqrt(Px)+dx、ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz、及axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz。
作為決定一個以上模型的輸出處的晶圓偏壓的另一範例,在模型節點N6m處的晶圓偏壓係藉由主機系統130的處理器,以與基於模型節點N2m處所決定的電壓和電流大小決定模型節點N2m處的晶圓偏壓類似的方式,基於在模型節點N6m處所決定的電壓和電流大小加以決定。為了進一步說明,決定模型節點N6m處的晶圓偏壓ax*Vx+bx*Ix+cx*sqrt(Px)+dx、ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz、及axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz。
應注意的是,在若干實施例中,將晶圓偏壓儲存於儲存HU 162(圖1)之內。
圖14係狀態圖,描述晶圓偏壓產生器345的實施例,晶圓偏壓產生器345係實現於主機系統130(圖1)之內。當所有的x、y、及z MHz RF產生器係關閉,在一模型節點(例如模型節點N4m、N1m、N2m、N6m(圖1)等等)處的晶圓偏壓係零或極微小。當x、y、或z MHz RF產生器係開啟且其餘的x、y、及z MHz RF產生器係關閉之時,晶圓偏壓產生器345將一模型節點(例如模型節點N4m、N1m、N2m、N6m等等)處的晶圓偏壓決定為第一乘積a*V、第二乘積b*I、第三乘積c*sqrt(P)、及常數d的和,其中V係該模型節點處的複電壓與電流的電壓大小,I係複電壓與電流的電流大小,P係複電壓與電流的功率大小,a為一係數,b為一係數,c為一係數,且d為常數。在各種實施例中,一模型節點處的功率大小係該模型節點處的電流大小和該模型節點處的電壓大小的乘積。在若干實施例中,功率大小係所輸送功率的大小。
當x、y、及z MHz RF產生器其中二者係開啟且其餘的x、y、及z MHz RF產生器係關閉之時,晶圓偏壓產生器345將於一模型節點(例如模型節點N4m、N1m、N2m、N6m等等)處的晶圓偏壓決定成第一乘積a12*V1、第二乘積b12*I1、第三乘積c12*sqrt(P1)、第四乘積d12*V2、第五乘積e12*I2、第六乘積f12*sqrt(P2)、及常數g12的和,其中「V1」係藉由傳導在開啟之該等RF產生器的其中第一者的一輸出處所測得的電壓而決定的該模型節點處的複電壓與電流的電壓大小,「I1」係藉由傳導在開啟之該第一RF產生器的該輸出處所測得的電流而決定的複電壓與電流的電流大小,「P1」係決定為V1和I1的乘積的複電壓與電流的功率大小,「V2」係藉由傳導在開啟之該等RF產生器的其中第二 者的一輸出處所測得的電壓而決定的該模型節點處的複電壓與電流的電壓大小,「I2」係藉由傳導在開啟之該第二RF產生器的該輸出處所測得的電流而決定的複電壓與電流的電流大小,「P2」係決定為V2和I2的乘積的功率大小,「a12」、「b12」、「c12」、「d12」、「e12」、及「f12」每一者為一係數,且「g12」為常數。
當x、y、及z MHz RF產生器全部開啟時,晶圓偏壓產生器345將於一模型節點(例如模型節點N4m、N1m、N2m、N6m等等)處的晶圓偏壓決定成第一乘積a123*V1、第二乘積b123*I1、第三乘積c123*sqrt(P1)、第四乘積d123*V2、第五乘積e123*I2、第六乘積f123*sqrt(P2)、第七乘積g123*V3、第八乘積h123*I3、第九乘積i123*sqrt(P3)、及常數j123的和,其中「V1」係藉由傳導在該等RF產生器其中第一者的輸出處所測得的電壓而決定的該模型節點處的複電壓與電流的電壓大小,「I1」係藉由傳導該第一RF產生器的該輸出處所測得的電流而決定的複電壓與電流的電流大小,「P1」係決定為V1和I1的乘積的複電壓與電流的功率大小,「V2」係藉由傳導在該等RF產生器的其中第二者的輸出處所測得的電壓而決定的該模型節點處的複電壓與電流的電壓大小,「I2」係藉由傳導在該第二RF產生器的該輸出處所測得的電流而決定的複電壓與電流的電流大小,「P2」係決定為V2和I2的乘積的複電壓與電流的功率大小,「V3」係藉由傳導在該等RF產生器的其中第三者的輸出處所測得的電壓而決定的該模型節點處的複電壓與電流的電壓大小,「I3」係藉由傳導在該第三RF產生器的該輸出處的電流而決定的複電壓與電流的電流大小,「P3」係決定為V3和I3的乘積的複電壓與電流的功率大小,「a123」、「b123」、「c123」、「d123」、 「e123」、「f123」、「g123」、「h123」、及「i123」每一者為一係數,且「j123」為常數。
圖15係方法351實施例的流程圖,方法351用於決定在沿著介於模型節點N4m(圖16)和ESC模型125(圖16)之間的路徑353(圖16)的一點處的晶圓偏壓。圖15係參照圖16加以描述,圖16係系統355的實施例的方塊圖,系統355係用於決定在一模型的一輸出處的晶圓偏壓。
在操作357中,偵測x、y、或z MHz RF產生器的輸出,以確定一產生器輸出的複電壓與電流。舉例來說,電壓與電流探測器110(圖1)量測在節點N3(圖1)處的複電壓與電流。在此範例中,複電壓與電流係自電壓與電流探測器110經由通訊裝置185(圖1)而由主機系統130(圖1)加以接收,以儲存於儲存HU 162(圖1)之內。並且,在此範例中,主機系統130的處理器確定來自儲存HU 162的該複電壓與電流。
在操作359中,主機系統130的處理器使用該產生器輸出的複電壓與電流,決定在沿著介於模型節點N4m和模型節點N6m之間的路徑353的一點處的一預測複電壓與電流。路徑353從模型節點N4m延伸至模型節點N6m。舉例來說,由在x MHz RF產生器、y MHz RF產生器、或z MHz RF產生器的輸出處所測得的複電壓與電流,決定第五複電壓與電流。作為另一範例,在節點N3或節點N5處所測得的複電壓與電流,係經由阻抗匹配模型104加以傳導,以決定在模型節點N4m(圖1)處的複電壓與電流。在此範例中,在模型節點N4m處的複電壓與電流,係經由RF傳輸模型161(圖16)的一個以上構件及/或經由ESC模型125(圖16)的一個以上構件加以傳導,以決定在路徑353上的一點處的複電壓與電流。
在操作361中,主機系統130的處理器將在路徑353上的該點處所決定的預測複電壓與電流使用作為一函數的輸入,以將該預測複電壓與電流映射至ESC模型125(圖15)的節點N6m處的晶圓偏壓。舉例來說,當x、y、或z MHz RF產生器係開啟時,將模型節點N6m處的晶圓偏壓決定成第一乘積a*V、第二乘積b*I、第三乘積c*sqrt(P)、及一常數d的和,其中V為模型節點N6m處的預測複電壓與電流的電壓大小,I為模型節點N6m處的預測複電壓與電流的電流大小,P為模型節點N6m處的複電壓與電流的功率大小,a、b、及c為係數,且d為常數。
作為另一範例,當x、y、及z MHz RF產生器其中二者係開啟且其餘的x、y、及z MHz RF產生器係關閉之時,於模型節點N6m處的晶圓偏壓係決定為第一乘積a12*V1、第二乘積b12*I1、第三乘積c12*sqrt(P1)、第四乘積d12*V2、第五乘積e12*I2、第六乘積f12*sqrt(P2)、及常數g12的和,其中V1係該二個RF產生器的其中第一者開啟所造成的模型節點N6m處的電壓大小,I1係該第一RF產生器開啟所造成的模型節點N6m處的電流大小,P1係該第一RF產生器開啟所造成的模型節點N6m處的功率大小,V2係該二個RF產生器的其中第二者開啟所造成的模型節點N6m處的電壓大小,I2係該第二RF產生器開啟所造成的模型節點N6m處的電流大小,及P2係該第二RF產生器開啟所造成的模型節點N6m處的功率大小,a12、b12、c12、d12、e12、及f12為係數,且g12為常數。
作為又另一範例,當x、y、及z MHz RF產生器全部開啟時,於模型節點N6m處的晶圓偏壓係決定成第一乘積a123*V1、第二乘積b123*I1、第三乘積c123*sqrt(P1)、第四乘積d123*V2、第五乘積e123*I2、第六乘積f123*sqrt(P2)、第七乘積g123*V3、第八乘積h123*I3、第九乘積i123*sqrt(P3)、及常數j123的和,其中V1、I1、P1、V2、I2、及P2係如前面的範例所描述,V3 係該等RF產生器的其中第三者開啟所造成的模型節點N6m處的電壓大小,I3係該第三RF產生器開啟所造成的模型節點N6m處的電流大小,且P3係該第三RF產生器開啟所造成的模型節點N6m處的功率大小,a123、b123、c123、d123、e123、f123、g123、h123、及i123為係數,且j123為常數。
作為另一範例,用以決定晶圓偏壓的函數,係多個特徵數值和一常數的和。該等特徵數值包含大小值,例如大小值V、I、P、V1、I1、P1、V2、I2、P2、V3、I3、P3等等。該等特徵數值亦包含係數,例如係數a、b、c、a12、b12、c12、d12、e12、f12、a123、b123、c123、d123、e123、f123、g123、h123、i123等等。常數的例子包含常數d、常數g12、常數j123等等。
應注意的是,該等特徵數值的係數以及該等特徵數值的常數包含經驗模型資料。舉例來說,使用一晶圓偏壓感測器在ESC 177(圖1)處量測晶圓偏壓多次。此外,在此範例中,進行以下步驟量測該晶圓偏壓之次數:藉由將複電壓與電流自一個以上RF產生器(例如x MHz RF產生器、y MHz RF產生器、z MHz RF產生器等等)的一個以上節點(例如節點N3、N5等等)經由一個以上的模型(例如阻抗匹配模型104、RF傳輸模型部分173、RF傳輸模型161、ESC模型125(圖1))傳導至路徑353(圖16)上的該點,決定路徑353(圖16)上的該點處的複電壓與電流。此外,在此範例中,一統計方法(例如部分最小平方、回歸等等)係由主機系統130的處理器加以使用於測得的晶圓偏壓,以及使用於抽取自在該點處的複電壓與電流的電壓大小、電流大小、及功率大小,以決定該等特徵數值的係數及該等特徵數值的常數。
在各種實施例中,用以決定晶圓偏壓的函數的特徵在於代表路徑353的物理特性之多個數值的總和。路徑353的物理特性係由測試資料(例如經 驗模型資料等等)所導出數值。路徑353的物理特性的例子包含在路徑353上的構件的電容、電感、其組合等等。如上所述,沿著路徑353的構件的電感及/或電容,影響利用預測方法根據經驗決定的路徑353上的該點處的電壓與電流,且因而影響該等特徵數值的係數以及該等特徵數值的常數。
在若干實施例中,用以決定晶圓偏壓的函數係一多項式。
圖17係方法363的實施例的流程圖,方法363用於決定系統126(圖1)的一模型節點處的晶圓偏壓。圖17係參照圖1和16加以描述。方法363係由主機系統130(圖1)的處理器加以執行。在操作365中,一個以上複電壓與電流係由主機系統130從一產生器系統的一個以上通訊裝置接收,該產生器系統包含x MHz RF產生器、y MHz RF產生器、及z MHz RF產生器其中一者以上。舉例來說,在節點N3處所測得的複電壓與電流係接收自通訊裝置185(圖1)。作為另一範例,在節點N5處所測得的複電壓與電流係接收自通訊裝置189(圖1)。作為又另一範例,接收在節點N3處所測得的複電壓與電流、及在節點N5處所測得的複電壓與電流。應注意的是,該產生器系統的輸出包含節點N3、N5、及z MHz RF產生器的一輸出節點其中一者以上。
在操作367中,基於該產生器系統的輸出處的一個以上複電壓與電流,決定在沿著(例如在其上等等)介於阻抗匹配模型104和ESC模型125(圖16)之間的路徑353(圖16)的一點處的預測複電壓與電流。舉例來說,預測該產生器系統的輸出處的複電壓與電流通過阻抗匹配模型104(圖16),以決定在模型節點N4m處的複電壓與電流。作為另一範例,預測產生器系統的輸出處的複電壓與電流通過阻抗匹配模型104和RF傳輸模型161的RF傳輸模型部分173(圖1),以決定模型節點N1m(圖1)處的複電壓與電流。作為又另一範例, 預測該產生器系統的輸出處的複電壓與電流通過阻抗匹配模型104和RF傳輸模型161,以決定模型節點N2m(圖1)處的複電壓與電流。作為另一範例,預測產生器系統輸出處的複電壓與電流通過阻抗匹配模型104、RF傳輸模型161、及ESC模型125,以決定模型節點N6m(圖1)處的複電壓與電流。
在操作369中,藉由使用預測的複V&I作為一函數的輸入,計算沿著路徑353的該點處的晶圓偏壓。舉例來說,當x、y、或z MHz RF產生器係開啟且其餘的x、y、和z MHz RF產生器係關閉時,該點處的晶圓偏壓係由一函數加以決定,其係決定為第一乘積a*V、第二乘積b*I、第三乘積c*sqrt(P)、及一常數d的和,其中V為該點處的預測複電壓與電流的電壓大小,I為該點處的預測複電壓與電流的電流大小,P為該點處的複電壓與電流的功率大小,a、b、及c為係數,且d為常數。
作為另一範例,當x、y、及z MHz RF產生器其中二者係開啟且其餘的x、y、及z MHz RF產生器係關閉之時,於該點處的晶圓偏壓係決定為第一乘積a12*V1、第二乘積b12*I1、第三乘積c12*sqrt(P1)、第四乘積d12*V2、第五乘積e12*I2、第六乘積f12*sqrt(P2)、及常數g12的和,其中V1係該二個RF產生器的其中第一者開啟所造成的該點處的電壓大小,I1係該第一RF產生器開啟所造成的該點處的電流大小,P1係該第一RF產生器開啟所造成的該點處的功率大小,V2係該二個RF產生器的其中第二者開啟所造成的該點處的電壓大小,I2係該第二RF產生器開啟所造成的該點處的電流大小,及P2係該第二RF產生器開啟所造成的該點處的功率大小,a12、b12、c12、d12、e12、及f12為係數,且g12為常數。
作為又另一範例,當x、y、及z MHz RF產生器全部開啟時,於該點處的晶圓偏壓係決定成第一乘積a123*V1、第二乘積b123*I1、第三乘積c123*sqrt(P1)、第四乘積d123*V2、第五乘積e123*I2、第六乘積f123*sqrt(P2)、第七乘積g123*V3、第八乘積h123*I3、第九乘積i123*sqrt(P3)、及常數j123的和,其中V1、I1、P1、V2、I2、及P2係如前面的範例所描述,V3係該等RF產生器的其中第三者開啟所造成的該點處的電壓大小,I3係該第三RF產生器開啟所造成的該點處的電流大小,且P3係該第三RF產生器開啟所造成的該點處的功率大小,a123、b123、c123、d123、e123、f123、g123、h123、及i123為係數,且j123為常數。
圖18係系統330的實施例的方塊圖,用以說明藉由使用方法340(圖13)、方法351(圖15)、或方法363(圖17)而非使用電壓探測器332(例如電壓感測器等等)決定晶圓偏壓的優點。
電壓探測器332係連接至節點N1以決定節點N1處的電壓。在若干實施例中,電壓探測器332係連接至另一節點(例如節點N2、N4等等),以決定在其他節點處的電壓。電壓探測器332包含多個電路,例如一RF分路器電路、一濾波器電路1、一濾波器電路2、一濾波器電路3等等。
此外,x和y MHz RF產生器係連接至主機系統334,主機系統334包含一干擾或訊號判定模組336。應注意的是,一模組可為一處理器、一ASIC、一PLD、由一處理器所執行的一軟體、或其組合。
電壓探測器332量測電壓大小,該電壓大小由主機系統334加以使用以決定晶圓偏壓。模組336判定由電壓探測器332所測得的電壓大小係訊號或 干擾。在判定由電壓探測器332所測得的電壓大小係一訊號時,主機系統334決定晶圓偏壓。
與系統330相比,系統126(圖1)係符合成本效益且節省時間和精力。系統330包含電壓探測器332,該電壓探測器332不需要包含在系統126之中。不需要在系統126的節點N4、N1、或N2處連接一電壓探測器以決定晶圓偏壓。在系統126中,晶圓偏壓係基於阻抗匹配模型104、RF傳輸模型161、及/或ESC模型125(圖1)而加以決定。此外,系統330包含模組336,模組336亦不需要包含在系統126之中。不需要花費時間和精力以決定一複電壓與電流係訊號或干擾。沒有此種決定需要由主機系統130(圖1)加以進行。
圖19A、19B、及19C顯示實施例的圖形328、333、及337,以說明藉由使用電壓探測器在RF傳輸線部分195(圖1)的輸出(例如節點N1)處所測得的電壓(例如峰值電壓等等)與利用方法102(圖2)所決定的一對應模型節點輸出(例如節點N1m)處的電壓(例如峰值電壓等等)之間的相關性(例如線性相關性等等)。在圖形328、333、及337每一者中,所測得的電壓係標繪在y軸上,而利用方法102所決定的電壓係標繪在x軸上。
此外,圖19A、19B、及19C顯示實施例的圖形331、335、及338,以說明藉由使用晶圓偏壓探測器在輸出N6(圖1)處所測得的晶圓偏壓與利用方法340(圖13)、方法351(圖15)、或方法363(圖17)所決定的一對應模型節點輸出(例如節點N6m)處的晶圓偏壓之間的相關性(例如線性相關性等等)。在圖形331、335、及338每一者中,利用晶圓偏壓探測器所測得的晶圓偏壓係標繪在y軸上,而利用方法340、方法351、或方法363所決定的晶圓偏壓係標繪在x軸上。
當y MHz及z MHz RF產生器係開啟且x MHz RF產生器係關閉時,將電壓和晶圓偏壓標繪在圖形328和331之中。此外,當x MHz及z MHz RF產生器係開啟且y MHz RF產生器係關閉時,將電壓和晶圓偏壓標繪在圖形333和335之中。並且,當x MHz及y MHz RF產生器係開啟且z MHz RF產生器係關閉時,將電壓標繪在圖形337和338之中。
圖20A係實施例圖形276和278的圖示,該等圖形說明使用一感測器工具(例如計量工具、探測器、感測器、晶圓偏壓探測器等等)所測得的接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)、或方法363(圖17)所決定的模型晶圓偏壓、及在該模型偏壓中的誤差之間存在相關性。在圖形276中所標繪的接線晶圓偏壓係在一點(例如RF傳輸線113上的一節點、ESC 177的上表面183(圖1)上的一節點等等)處加以量測,且在圖形276所標繪的該模型偏壓係在路徑353(圖16)上的對應模型點處(例如模型節點N4m、模型節點N1m、模型節點N2m、模型節點N6m等等(圖1))加以決定。接線晶圓偏壓係沿著圖形276中的y軸加以標繪,且模型偏壓係沿著圖形276中的x軸加以標繪。
當x MHz RF產生器係開啟且y MHz和z MHz RF產生器係關閉之時,將接線晶圓偏壓及模型偏壓標繪於圖形276之中。此外,利用等式a2*V2+b2*I2+c2*sqrt(P2)+d2決定圖形276的模型偏壓,其中「*」表示乘,「sqrt」表示平方根,「V2」表示沿著路徑353(圖16)的該點處的電壓,I2表示在該點處的電流,P2表示在該點處的功率,「a2」係一係數,「b2」係一係數,「c2」係一係數,且「d2」係一常數。
圖形278將一誤差標繪於y軸上,且將在該點處的模型偏壓標繪在x軸上,該誤差為在該點處的模型偏壓的誤差。該模型誤差係在模型偏壓中的一 誤差,例如變異數、標準差等等。當x MHz RF產生器係開啟且y MHz和z MHz RF產生器係關閉之時,將模型誤差和模型偏壓標繪於圖形278之中。
圖20B係實施例的圖形280及282的圖示,用以說明一接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)、或方法363(圖17)所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形280及282係以類似於圖形276及278(圖20A)的方式加以標繪,除了圖形280及282係在y MHz RF產生器係開啟且x MHz和z MHz RF產生器係關閉之時加以標繪。此外,利用等式a27*V27+b27*I27+c27*sqrt(P27)+d27決定圖形280及282的模型偏壓,其中「V27」表示沿著路徑353(圖16)的該點處的電壓大小,「I27」表示在該點處的電流大小,「P27」表示在該點處的功率大小,「a27」為一係數,「b27」為一係數,「c27」為一係數,且「d27」為一常數。
圖20C係實施例的圖形284及286的圖示,用以說明一接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)、或方法363(圖17)所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形284及286係以類似於圖形276及278(圖20A)的方式加以標繪,除了圖形284及286係在z MHz RF產生器係開啟且x MHz和y MHz RF產生器係關閉之時加以標繪。此外,利用等式a60*V60+b60*I60+c60*sqrt(P60)+d60決定圖形284及286的模型偏壓,其中「V60」表示沿著路徑353(圖16)的該點處的電壓大小,「I60」表示在該點處的電流大小,「P60」表示在該點處的功率大小,「a60」為一係數,「b60」為一係數,「c60」為一係數,且「d60」為一常數。
圖20D係實施例的圖形288及290的圖示,用以說明一接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)、或方法363(圖17)所決定的一 模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形288及290係以類似於圖形276及278(圖20A)的方式加以標繪,除了圖形288及290係在x MHz及y MHz RF產生器係開啟且z MHz RF產生器係關閉之時加以標繪。此外,利用等式a227*V2+b227*I2+c227*sqrt(P2)+d227*V27+e227*I27+f227*sqrt(P27)+g227決定圖形288及290的模型偏壓,其中「a227」、「b227」、「c227」、「d227」、「e227」、及「f227」為係數,且「g227」為一常數。
圖20E係實施例的圖形292及294的圖示,用以說明一接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)、或方法363(圖17)所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形292及294係以類似於圖形276及278(圖20A)的方式加以標繪,除了圖形292及294係在x MHz及z MHz RF產生器係開啟且y MHz RF產生器係關閉之時加以標繪。此外,利用等式a260*V2+b260*I2+c260*sqrt(P2)+d260*V60+e260*I60+f260*sqrt(P60)+g260決定圖形292及294的模型偏壓,其中「a260」、「b260」、「c260」、「d260」、「e260」、及「f260」為係數,且「g260」為一常數。
圖20F係實施例的圖形296及298的圖示,用以說明一接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)、或方法363(圖17)所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形296及298係以類似於圖形276及278(圖20A)的方式加以標繪,除了圖形296及298係在y MHz及z MHz RF產生器係開啟且x MHz RF產生器係關閉之時加以標繪。此外,利用等式a2760*V27+b2760*I27+c2760*sqrt(P27)+d2760*V60+e2760*I60+f2760*sqrt(P60)+g2760決定圖形296及298的模型偏壓,其中「a2760」、「b2760」、「c2760」、「d2760」、「e2760」、及「f2760」為係數,且「g2760」為一常數。
圖20G係實施例的圖形303及305的圖示,用以說明一接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)、或方法363(圖17)所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形303及305係以類似於圖形276及278(圖12A)的方式加以標繪,除了圖形303及305係在x MHz、y MHz及z MHz RF產生器係開啟之時加以標繪。此外,利用等式a22760*V2+b22760*I2+c22760*sqrt(P2)+d22760*V60+e22760*I60+f22760*sqrt(P60)+g22760*V27+h22760*I27+i22760*sqrt(P27)+j22760決定圖形303及305的模型偏壓,其中「a22760」、「b22760」、「c22760」、「d22760」、「e22760」、「f22760」、「g22760」、「h22760」、及「i22760」為係數,且「j22760」為一常數。
圖21係主機系統130的實施例的方塊圖。主機系統130包含處理器168、儲存HU 162、輸入HU 380、輸出HU 382、輸入/輸出(I/O)介面384、I/O介面386、網路介面控制器(NIC)388、及匯流排392。處理器168、儲存HU 162、輸入HU 380、輸出HU 382、I/O介面384、I/O介面386、及NIC 388係透過匯流排392彼此連接。輸入HU 380的例子包含滑鼠、鍵盤、觸控筆(stylus)等等。輸出HU 382的例子包含顯示器、揚聲器、或其組合。顯示器可為液晶顯示器、發光二極體顯示器、陰極射線管、電漿顯示器等等。NIC 388的例子包含網路介面卡、網路配接器等等。
I/O介面的例子包含一介面,其提供連接至該介面的數個硬體件之間的相容性。舉例來說,I/O介面384將接收自輸入HU 380的訊號轉換成與匯流排392相容的形式、振幅、及/或速度。作為另一範例,I/O介面386將接收自匯流排392的訊號轉換成與輸出HU 382相容的形式、振幅、及/或速度。
使用RF傳輸系統模型將故障定位
一個RF傳輸系統可能經歷由於失效電元件、或失效或缺失的物理元件(例如接線、銹蝕(corrosion)、絕緣體)所導致的故障。然而,在沒有物理性移除RF傳輸系統之中的其他元件和接線的情況下,明確識別失效的元件或接線經常是困難的。每次物理性移動或替換一元件或者改變一接線之時,可能在RF傳輸系統中引入額外的錯誤、故障、或其他變化。因此,以下能力是重要的:在不擾亂任何不需要擷取的元件或接線的情況下識別失效元件或接線的位置,並且擷取在RF傳輸系統中該失效元件或接線。
如上所述,藉由執行針對製程腔室系統的RF傳輸系統的校準配方,該RF傳輸系統可準確地模型化。圖22係根據本揭露內容所述實施例的RF傳輸系統2200的方塊圖。RF傳輸系統2200包含一個以上RF產生器2202。RF產生器2202的各別輸出係藉由一RF饋送件第一部分2204(例如RF隧道)連接至對應匹配電路2206的輸入。匹配電路2206的輸出係藉由RF饋送件第二部分2208(例如RF帶)及第三部分2210(例如圓筒形RF饋送件)連接至配置在製程腔室2218之中的靜電夾頭2220。經常將一測試探測器2240連接至RF產生器2202的輸出,以監測RF產生器的輸出。
一個控制器2222包含配方邏輯2224,其包含校準配方2224A及用於在製程腔室2218之中處理晶圓的其他配方2224B。控制器2222係連接至該一個以上RF產生器2202,且對各RF產生器提供各別的一個以上RF控制訊號。控制器2222亦可包含偵錯配方邏輯2226,如以下將更詳細描述者。
如所顯示,RF傳輸系統2200係分成六個節段2230-2236。在至少一些實例中,該六個節段2230-2236每一者可對應在RF傳輸系統之中的測試點或獨立元件(例如RF產生器2202、匹配電路2206、RF饋送件、靜電夾頭2220)。 或者是,節段2230-2236可對應RF產生器2202、匹配電路2206、RF饋送件、靜電夾頭2220其中一者以上之內的選定電元件。
如所顯示,第一節段包含RF產生器2202。第二節段開始於在RF產生器2202的輸出2230處的一第一測試點,且包含RF饋送件第一部分2204(例如RF帶),其延伸至匹配電路2206的輸入2231處之一第二測試點。包含內部電容和電感元件的匹配電路2206,形成第三節段,其中第三測試點位在匹配電路的輸出處。
RF傳輸系統2200的第四節段開始於匹配電路2206的輸出2232,且包含RF饋送件第二部分2208(例如RF帶)且延續至在RF饋送件之中一中間點處的一第四測試點2233,例如該RF帶連接至RF饋送件第三部分2210(例如圓筒形RF饋送件)之處。第五節段開始於第四測試點2233,且包含RF饋送件第三部分2210(例如環形RF饋送件)且延續至位在靜電夾頭2220的輸入2234處的第五測試點。靜電夾頭2220以及其中的元件和結構,形成第六節段,其終止於靜電夾頭的頂部表面2236處。
各種不同儀器可偵測製程的動態參數,例如晶圓偏壓電壓。應理解的是,將RF傳輸系統2200分成六節段僅係為說明目的之範例,且RF傳輸系統可分成較六節段更多或更少。舉例來說,探測器2240可監測RF產生器2202的輸出,且將量測的輸出連接至控制器2222或其他來源,例如外部監測系統(未顯示)。
圖23係根據本揭露內容所述實施例的RF傳輸系統2200的RF傳輸模型2300的準確度圖形。RF傳輸系統2200的RF傳輸模型2300係如上所述加以計算。RF傳輸模型2300可用以將節段2230-2236各者的輸出預測至可由適合測試設 備實際測得者的幾個百分比之內(例如百分之0至約+/-3)。舉例來說,線段2302係當執行校準配方2224A且系統係正常運作(例如在節段1-6之中沒有故障)之時節段1-6各者所實際測得輸出的圖形。線段2310係RF模型可接受範圍的上限,且線段2312係RF模型範圍的可接受下限,且線段2302係各節段2230-2236處的標稱預測數值。
該圖形的垂直軸係複阻抗(Zi),然而,其他參數,例如功率、電壓及電流,亦可於各測試點加以偵測及/或導出,如以上更詳細探討的。RF傳輸模型2300在節段1的輸出處係極準確的,且因為計算模型的複雜度隨在RF傳輸系統2200之中電元件和物理元件數目增加而隨各節段增加,模型的準確度隨節段的數目增加而降低(例如線段2310和2312發散)。
如果故障在RF傳輸系統2200的一節段之內發生,該故障節段的錯誤輸出將從RF傳輸模型所預測的輸出偏離。接著,該錯誤輸出隨著傳輸通過RF模型而被各後續節段放大。
RF產生器2202具有約1%的操作變異。此1%的操作變異係一般接受的標稱變異。又,RF產生器2202的輸出係動態的,該輸出隨製程腔室2218之內的RF傳輸路徑2200及電漿2218A的阻抗而變化。舉例來說,隨著製程腔室2218之內的電漿處理發生,電漿2218A的阻抗變化。RF產生器2202感測下游阻抗的變化且據此調整輸出。如此,當RF傳輸路徑2200的RF模型2300係非常精確時,它容許整體電漿處理系統的系統動態所導致的變化。
RF產生器2202的輸出的動態變化係已知的,且RF產生器的輸出的1%操作允差亦係已知的。因此,對於指示RF傳輸路徑2200的故障,RF產生器的輸出通常不被視為有用的,除非是相對於RF產生器的輸出大到足以或幾乎足 以造成該輸出變化超過該1%操作允差之故障。舉例來說,在第二節段中的一開路或一接地短路將使RF產生器的輸出變化遠大於該1%操作允差。位在RF產生器2202輸出更下游的故障,可能不造成實際測得的RF產生器輸出變化超過該1%操作允差。
此處揭露的RF模型展現準確度,其足以基於小於該1%操作允差之RF產生器2202輸出的變化在RF傳輸路徑2200之中將錯誤定位。舉例來說,小於約0.25%的輸出變化可用作輸入RF模型2300的變數數值以在RF傳輸路徑2200之中定位一故障。應注意的是,雖然在以下範例中探討RF產生器2202的輸出,任何一個以上節段2230-2236的輸出可類似地加以選擇和使用,以在選擇的節段與電漿2218A(例如所選擇節段的下游)之間將故障定位。
當在製程腔室2218之中應用的一晶圓製程開始產生錯誤結果時,可使用一校準配方。如果RF傳輸系統2200正常運作,該校準配方應產生一RF輸出圖形,其擬合於由線段2310及2312界定的RF傳輸模型2300之內,如圖23所顯示。然而,如果故障發生在RF傳輸系統2200之內,例如一失效元件或一故障的接線,校準配方產生一輸出圖形,其可能落在由線段2310和2312所界定的RF傳輸模型2300之外。在校準配方操作期間,RF產生器2202的輸出可使用探測器2240加以量測,且從標稱期望值的任何偏離量可輸入至RF模型以在RF傳輸路徑2200之中決定一故障的位置。
圖24係根據在本揭露內容中所述實施例的一故障RF傳輸系統2200的測得RF輸出2230-2236的例示圖形2400。RF輸出2230-2236係以由RF傳輸模型2300所預測的複阻抗(Zi)顯示,然而,其他參數,例如功率、電壓及電流,亦可在各測試點加以偵測及/或導出,如以上更詳細描述的。由校準配方2224A 所辨識的線段的曲率係在一偏轉點從RF傳輸模型2300發散,該偏轉點實質對應在RF傳輸系統2200之中失效電元件或故障物理元件(例如接線、銹蝕等等)的物理位置。從RF傳輸模型2300的此一發散,將由在RF傳輸系統2200之中各後續節段的模型加以放大。
舉例來說,一RF訊號係從RF產生器輸出2230輸出且一故障係在節段2發生。於2231處的實際RF訊號輸出的一個以上參數,係在偏轉點2422處偏轉,且以介於線段2410與2411之間的任何數值從RF傳輸模型2300發散。偏轉點2422實質對應一失效元件位置。
在一個範例中,在RF傳輸路徑2200之中的一個故障可造成與RF產生器2202的輸出的標稱RF模型預測數值約+0.22%的測得差異。RF傳輸模型2300數學表示RF傳輸路徑2200的操作。因此,將RF產生器2202的標稱RF模型預測輸出替換為實際測得數值(例如約標稱+0.22%),且重新計算RF模型,將造成一故障圖形,例如線段2414所顯示者。故障圖形線段2414顯示RF模型預測所計算數值大幅偏離介於線段2310與2312之間RF模型範圍之處。
故障圖形線段2414在節段3之內大約於偏轉點2426從RF傳輸模型2300偏離。在校準配方2224A期間標稱+0.22%的RF產生器2202的實際測得輸出指示失效元件係在節段3之中的高度可能性。因此,技術員可將他的修復工作聚焦於節段3,而不干擾RF傳輸路徑2200的其他節段。類似地,在RF產生器2202的RF輸出之中的測得偏離量,可傳輸通過RF模型而識別對應偏轉點2422-2430及對應故障圖形線段2410-2419,以在故障的RF傳輸路徑2200之內定位錯誤元件及接線。
圖25係流程圖,描述根據本揭露內容所述實施例在對一故障RF傳輸系統2200的偵錯操作之中所執行的方法操作2500。在操作2505之中,RF傳輸系統2200係使用一校準配方加以特徵化以產生RF傳輸系統的基線RF模型,例如RF模型2300。舉例來說,基線特徵RF模型2300可在已知RF傳輸系統係正常運作時產生於RF傳輸系統2200的啟動/安裝。或者是,基線RF模型2300可基於一理想的模型數值組,例如來自具有運作在理想條件下之理想物理元件及電路元件的理論理想RF傳輸系統。在又另一替代方案中,基線RF模型2300可基於一「標準(golden)」RF傳輸系統或其他的例示RF傳輸系統,例如多個RF傳輸系統的統計分析,以決定在該多個RF傳輸系統之中操作參數的可接受範圍。
RF傳輸系統2200的基線RF模型2300,反映正常運作RF傳輸系統的正常操作參數和範圍。產生基線RF模型的一個方式係將一特徵化配方應用至在處理腔室之內的待處理測試晶圓組。當該測試晶圓組受處理時,該等節段其中一者以上的輸出,較佳是所有或大部份節段的輸出,係加以量測和記錄供後續使用。基線RF模型可選用性地與實際量測比較,以確認RF模型的準確度。
RF傳輸系統2200的基線RF模型2300可加以儲存以供後續調回及比較或其他用途。RF傳輸系統2200的基線RF模型2300可儲存在控制器2222之內或一外部資料庫,例如可由製造商加以維持。
施加在製程腔室2218之中的製程,可能最終經歷可能由RF傳輸系統2200之中的一故障所造成的錯誤。在操作2510中,故障的RF傳輸系統2200係使用該校準配方加以特徵化。或者是,RF傳輸系統2200可依需要加以特徵化,例如依照一預定期限或任何需要的時候。特徵化RF傳輸系統2200的一個方式,係將一特徵化配方應用至處理腔室之內的新的待處理測試晶圓組。當該新的測 試晶圓組受處理時,在操作2515中該等節段其中至少一者的輸出係加以量測和記錄。為探討起見,RF產生器2202的實際輸出係加以量測,然而,如以上所探討,任何一個以上節段可被選擇而加以量測。
在操作2520中,RF產生器2202的實際測得輸出係傳輸通過基線RF模型。傳輸RF產生器2202的實際測得輸出通過基線RF模型,將產生一故障圖形2400,例如上述圖24中所顯示且具有一條以上故障線段2410-2419者。
在操作2515中,一條以上的故障線段2410-2419係加以分析以決定對應的偏轉點2422-2430。在操作2530中,對應的偏轉點2422-2430實質識別在RF傳輸系統2200之中故障的位置,且接著此方法操作可結束。
在至少一個實施例中,在方法操作2500中所描述的分析可包含在電腦可讀媒體之中,例如在控制器2222之中的偵錯配方邏輯2226。控制器2222可執行偵錯配方邏輯2226以識別在RF傳輸系統2200之中故障的可能位置。
圖26係根據本揭露內容所述實施例在受測試之RF傳輸系統2200之上的校準配方2224A之中的處理期間監測之RF產生器2202輸出的圖形2600。圖形2600包含一虛線的圖形2610,作為在校準配方2224A的處理期間RF產生器2202的理想輸出,例如可由「標準」RF傳輸系統2200所產生者。圖形2600亦包含長及短虛線的圖形2612,作為在校準配方2224A的處理期間RF產生器2202的一般輸出,例如可能由正常工作的典型RF傳輸系統2200所產生者。圖形2600亦包含實線的錯誤圖形2614,作為在校準配方2224A的處理期間RF產生器2202的錯誤輸出,例如可能由故障的RF傳輸系統2200所產生者。要注意到,圖形2610、2612、及2614之間的差異係非依比例,且為探討目的加以誇大。
在校準配方2224A的一部分2602期間,顯示介於理想圖形2610與錯誤圖形2614之間的大約2.5%的顯著差異。該2.5%的差異落在RF產生器2202輸出的+/-5%可接受變異範圍之內,此2.5%變異仍可提供可貴的偵錯資訊。
圖27係根據本揭露內容所述實施例在受測試RF傳輸系統2200上於校準配方2224A處理期間RF饋送件第三部分2210(例如圓筒形RF饋送件)的監測輸出的圖形2700。圖形2700亦顯示在校準配方2224A處理期間受測試RF傳輸系統2200的RF模型預測輸出的圖形。
圖形2700包含虛線的圖形2710,作為在校準配方2224A的處理期間RF隧道2204(RF饋送件第一部分)的理想輸出,例如可由「標準」RF傳輸系統2200所產生者。圖形2700亦包含實線的錯誤圖形2714,作為在校準配方2224A的處理期間圓筒形RF饋送件2210(RF饋送件第三部分)的錯誤輸出,例如可能由故障的RF傳輸系統2200所產生者。要注意到,圖形2710及2714之間的差異係非依比例,且為探討目的加以誇大。
在校準配方2224A的部分2602期間,顯示介於理想圖形2710與錯誤圖形2714之間的大約15%的顯著差異。該15%差異係由圓筒形RF饋送件2210(RF饋送件第三部分)之中的故障所造成。該15%差異係藉由RF模型加以預測,即使RF產生器2202輸出的對應2.5%變異落在RF產生器2202輸出的+/-5%可接受變異範圍。傳輸RF產生器2202輸出的該2.5%變異通過RF傳輸模型2300,預測在校準配方2224A的部分2602期間介於理想圖形2710與錯誤圖形2714之間的15%差異。因此,測試工程師可聚焦在圓筒形RF饋送件2210(RF饋送件第三部分)的偵錯工作。
圖28係根據本揭露內容所述實施例故障的RF傳輸系統的圓筒形RF饋送件2210部分的圖式。圓筒形RF饋送件2210形成匹配電路2206的輸出。RF屏蔽2802圍繞圓筒形RF饋送件2210。介於圓筒形RF饋送件2210與RF屏蔽2802之間的一實心絕緣子2804係缺失的。與在沒有實心絕緣子的情況下所存在的空氣相比,實心絕緣子2804具有一差異電容,造成在圓筒形RF饋送件2210之中的不同電容及對應的不同阻抗,導致上述圖27所顯示15%的誤差。
應注意到,在一些實施例中,晶圓偏壓係用以決定一夾持電壓,其用以將工件131(圖1)夾持至ESC 177(圖1)。舉例來說,當晶圓偏壓不存在於電漿腔室175(圖1),在ESC 177之內的二個電極具有帶相反極性的匹配電壓,以將工件131夾持至ESC 177。在此範例中,當晶圓偏壓存在於電漿腔室175之內,供應至二個電極的電壓在大小上不同,以補償晶圓偏壓的存在。在各種實施例中,晶圓偏壓係用以補償在ESC 177(圖1)處的偏壓。
亦要注意的是,使用三個參數(例如電流大小、電壓大小、及電流與電壓之間的相位)來決定晶圓偏壓,相較於使用電壓以補償在ESC 177處的偏壓,允許較佳地決定晶圓偏壓。舉例來說,使用三個參數所計算的晶圓偏壓,與RF電壓與非線性電漿狀態之間的關係相比,對於非線性電漿狀態具有較強相關性。另舉例來說,使用三個參數所計算的晶圓偏壓,與使用電壓探測器所決定者相比更為準確。
更要注意的是,雖然上述操作係參照平行板電漿腔室(例如電容耦合電漿腔室等等)加以描述,在一些實施例中,上述操作適用於其他類型的電漿腔室,例如:包含電感耦合電漿(ICP)反應器、變壓器耦合電漿(TCP)反應器、導體工具、介電質工具的電漿腔室,包含電子迴旋共振(ECR)反應 器的電漿腔室等等。舉例來說,x MHz RF產生器和y MHz RF產生器係連接至ICP電漿腔室之內的一電感器。
亦要注意的是,雖然上述操作係描述成藉由主機系統130(圖1)的處理器加以執行,在一些實施例中,此等操作可藉由主機系統130的一個以上處理器加以執行,或藉由多個主機系統的多個處理器加以執行。
應注意的是,雖然上述實施例相關於將RF訊號提供至ESC 177(圖1及18)的下電極以及提供至ESC 192(圖11)的下電極,並且將上電極179及264(圖1及11)接地,在一些實施例中,RF訊號係提供到上電極179及264而下電極177及192係接地。
此處所述實施例可利用各種電腦系統結構實施,例如手持式硬體單元、微處理器系統、基於為處理器或可編程消費性電子元件、微電腦、主機電腦等等。此等實施例亦可在分散式計算環境中實施,其中工作係藉由透過網路鏈結的遠端處理硬體單元加以執行。
考慮到上述實施例,應理解的是該等實施例可使用各種電腦實現操作,該等電腦實現操作涉及在電腦系統中所儲存資料。這些操作係需要物理量的物理性操作者。形成部分之實施例的此處所述的任何操作係有用的機械操作。該等實施例亦關於執行這些操作的硬體單元或設備。該設備可特別建構用於特殊用途電腦。當定義為特殊用途電腦,該電腦亦可執行非該特殊用途一部分的其他處理、程式執行或常式,而仍能夠操作用於該特殊用途。在若干實施例中,可藉由利用儲存於電腦記憶體、快取記憶體、或由網路取得的一個以上電腦程式選擇性啟動或設定的通用電腦,處理該等操作。當資料係通過網路取得,該資料可藉由網路上的其他電腦(例如雲端計算資源)加以處理。
一個以上實施例亦可製作為非暫時性電腦可讀媒體上的電腦可讀碼。該非暫時性電腦可讀媒體係可儲存資料的任何資料儲存硬體單元,該資料之後可由電腦系統讀出。非暫時性電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟唯讀記憶體(CD-ROM)、可錄CD(CD-R)、可重寫CD(CD-RW)、磁帶、及其他光學和非光學資料儲存硬體單元。非暫時性電腦可讀媒體可包含分布於網路連接電腦系統上的電腦可讀有形媒體,使得電腦可讀碼被分散式地儲存和執行。
雖然上述圖2、圖13、圖15、圖17、及圖25的流程圖中的方法操作係以特定的順序描述,應理解的是其他內務處理操作可在該等操作之間加以執行,或者可調整操作,使得該等操作在些許不同的時間發生,或者可分布於一系統之中,該系統允許在各種與處理相關聯的時間間隔處理操作的發生,只要重疊操作的處理係以所欲的方式執行。
來自任何實施例的一個以上特徵,可與任何其他實施例的一個以上特徵結合,而不偏離本揭露內容之各種實施例所述之範疇。
雖然前述實施例以清楚理解為目的而相當程度詳細地加以描述,顯而易見的是,在隨附申請專利範圍的範疇之內可實施某些變化和修改。因此,本實施例係視為例示性而非限制性,且該等實施例係不限定於此處所提供的細節,而是可在隨附申請專利範圍的範疇和均等者之內加以修改。

Claims (12)

  1. 一種故障定位方法,在RF傳輸系統中將故障定位,該方法包含:特徵化具有複數節段的RF傳輸系統;從該RF傳輸系統的該複數節段選擇一節段作為一初始選定節段;量測在該特徵化的RF傳輸系統之中的該初始選定節段的輸出;傳輸該初始選定節段的測得輸出通過一基線RF模型;及藉由比較所產生之該RF傳輸系統的一RF模型與該基線RF模型,在所產生之該RF傳輸系統的該RF模型中識別一偏轉點,其中,特徵化該RF傳輸系統的步驟包含:對在處理腔室內處理的複數晶圓,應用一特徵化配方;及在該複數晶圓處理期間,量測在該RF傳輸系統中該複數節段的至少一輸出的至少一參數以產生該基線RF模型,其中該基線RF模型包含:一RF傳輸線的模型;阻抗匹配電路的模型,該RF傳輸線係連接在一RF產生器的一輸出與該阻抗匹配電路的一輸入之間;一RF隧道的模型,該RF隧道係連接至該阻抗匹配電路的輸出;一RF帶的模型,該RF隧道模型係與該RF帶模型連接;及一靜電夾頭的模型,該靜電夾頭具有與該RF帶連接的一輸入。
  2. 如申請專利範圍第1項的故障定位方法,更包含指示對應該偏轉點的一故障節段。
  3. 如申請專利範圍第1項的故障定位方法,其中該基線RF模型係基於在該RF傳輸系統中所定義的電路元件,該基線RF模型具有一輸入及一輸出。
  4. 如申請專利範圍第1項的故障定位方法,其中該基線RF模型係基於在該RF傳輸系統中所定義的電元件,該基線RF模型具有一輸入及一輸出。
  5. 如申請專利範圍第4項的故障定位方法,其中RF傳輸系統的該等電元件包含電容器、電感器、或其組合,該RF模型包含一個以上構件,其中該RF模型的該等構件具有與該RF傳輸系統的該等電元件相比類似的特性。
  6. 如申請專利範圍第1項的故障定位方法,其中該靜電夾頭係包含在一電漿處理腔室之中。
  7. 如申請專利範圍第1項的故障定位方法,其中該RF傳輸系統係包含在一電漿處理系統之中。
  8. 如申請專利範圍第1項的故障定位方法,其中該基線RF模型係一理想RF傳輸系統的一理想RF模型。
  9. 如申請專利範圍第1項的故障定位方法,其中該基線RF模型係當已知該RF傳輸系統正常運作時所產生的該RF傳輸系統的RF模型。
  10. 如申請專利範圍第9項的故障定位方法,其中產生該RF傳輸系統的該基線RF模型的步驟包含:對處理腔室內所處理的第二複數晶圓,應用一特徵化配方;在該第二複數晶圓處理期間,量測在該RF傳輸系統中該複數節段之選定的至少一者的輸出的至少一參數;及比較該複數節段之該選定的至少一者的測得輸出與在該基線RF模型中該複數節段之該選定的至少一者的一預測數值。
  11. 一種電漿系統,包含:一電漿處理腔室;一RF傳輸系統,連接至該電漿處理腔室的一RF輸入;一RF產生器,具有連接至該RF傳輸系統的一輸出;及一控制器,連接至該RF產生器及該電漿處理腔室,該控制器包含在電腦可讀媒體上的邏輯,其係可執行用於藉由選定該RF傳輸系統的複數節段其中一者且傳輸該選定節段的一測得輸出通過該RF傳輸系統的一基線RF模型,識別該RF傳輸系統中的一故障,其中該基線RF模型係當已知該RF傳輸系統正常運作時所產生的該RF傳輸系統的一RF傳輸模型,且其中可執行用於識別在該RF傳輸系統中的該故障之在電腦可讀媒體上的該邏輯,包含可執行用於產生該RF傳輸系統的一基線RF模型之在電腦可讀媒體上的邏輯,其包括:對處理腔室內所處理的第二複數晶圓,應用一特徵化配方;在該第二複數晶圓處理期間,量測在該RF傳輸系統中該複數節段之選定的至少一者的輸出的至少一參數;及比較該複數節段之該選定的至少一者的測得輸出與在該基線RF模型中該複數節段之該選定的至少一者的一預測數值,其中該基線RF模型包含:一RF傳輸線的模型;阻抗匹配電路的模型,該RF傳輸線係連接在一RF產生器的一輸出與該阻抗匹配電路的一輸入之間; 一RF隧道的模型,該RF隧道係連接至該阻抗匹配電路的輸出;一RF帶的模型,該RF隧道模型係與該RF帶模型連接;及一靜電夾頭的模型,該靜電夾頭具有與該RF帶連接的一輸入。
  12. 一種故障定位方法,在RF傳輸系統中將故障定位,該方法包含:特徵化具有複數節段的該RF傳輸系統以產生該RF傳輸系統的一基線RF模型,包含:對處理腔室內所處理的第一複數晶圓,應用一特徵化配方;在該第一複數晶圓處理期間,量測在該RF傳輸系統中複數節段之選定的至少一者的輸出的至少一參數;比較該複數節段之該選定的至少一者的測得輸出與在該基線RF模型中該複數節段之該選定的至少一者的一預測數值;從該RF傳輸系統的該複數節段選擇一節段作為一初始選定節段;量測在該特徵化的RF傳輸系統之中的該初始選定節段的輸出;傳輸該初始選定節段的測得輸出通過該基線RF模型;藉由比較所產生之該RF傳輸系統的一RF模型與該基線RF模型,在所產生之該RF傳輸系統的該RF模型中識別一偏轉點;及指示對應該偏轉點的一故障節段,其中該基線RF模型包含:一RF傳輸線的模型;阻抗匹配電路的模型,該RF傳輸線係連接在一RF產生器的一輸出與該阻抗匹配電路的一輸入之間;一RF隧道的模型,該RF隧道係連接至該阻抗匹配電路的輸出; 一RF帶的模型,該RF隧道模型係與該RF帶模型連接;及一靜電夾頭的模型,該靜電夾頭具有與該RF帶連接的一輸入。
TW104112520A 2014-04-21 2015-04-20 使用模型化以識別電漿系統用射頻傳輸系統中的故障位置 TWI685664B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/257,926 US10950421B2 (en) 2014-04-21 2014-04-21 Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US14/257,926 2014-04-21

Publications (2)

Publication Number Publication Date
TW201602596A TW201602596A (zh) 2016-01-16
TWI685664B true TWI685664B (zh) 2020-02-21

Family

ID=54321844

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104112520A TWI685664B (zh) 2014-04-21 2015-04-20 使用模型化以識別電漿系統用射頻傳輸系統中的故障位置

Country Status (4)

Country Link
US (1) US10950421B2 (zh)
KR (1) KR102339668B1 (zh)
CN (1) CN105006419B (zh)
TW (1) TWI685664B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9652567B2 (en) * 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9851389B2 (en) * 2014-10-21 2017-12-26 Lam Research Corporation Identifying components associated with a fault in a plasma system
US10522377B2 (en) * 2016-07-01 2019-12-31 Lam Research Corporation System and method for substrate support feed-forward temperature control based on RF power
KR102469678B1 (ko) * 2017-02-07 2022-11-22 도쿄엘렉트론가부시키가이샤 성막 시스템, 성막 방법 및 컴퓨터 기억 매체
US10761517B2 (en) * 2018-08-23 2020-09-01 Lam Research Corporation Extracting real-time data from EtherCAT sensor bus in a substrate processing system
US10943770B2 (en) 2019-03-04 2021-03-09 Advanced Energy Industries, Inc. Detection of damage in matching networks
JP2023504044A (ja) * 2019-12-02 2023-02-01 ラム リサーチ コーポレーション 無線周波数支援プラズマ生成におけるインピーダンス変換
US11994542B2 (en) 2020-03-27 2024-05-28 Lam Research Corporation RF signal parameter measurement in an integrated circuit fabrication chamber
US20220254617A1 (en) * 2021-02-10 2022-08-11 Tokyo Electron Limited Plasma processing apparatus and monitoring device
TW202243549A (zh) * 2021-04-22 2022-11-01 大陸商北京屹唐半導體科技股份有限公司 用於感應耦合電漿(icp)負載的雙頻匹配電路
US11996274B2 (en) * 2022-04-07 2024-05-28 Mks Instruments, Inc. Real-time, non-invasive IEDF plasma sensor
DE102022108634A1 (de) * 2022-04-08 2023-10-12 TRUMPF Hüttinger GmbH + Co. KG Plasmasystem und Verfahren zum Betrieb eines Plasmasystems

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1436360A (zh) * 2000-06-20 2003-08-13 科学系统研究有限公司 用于等离子体处理中故障识别的方法
CN1684224A (zh) * 2004-03-31 2005-10-19 朗姆研究公司 用于创建等离子体处理系统的数学模型的方法和阵列
TWI259546B (en) * 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
TW201038143A (en) * 2008-12-02 2010-10-16 Tokyo Electron Ltd Plasma processing apparatus and operation method of the same

Family Cites Families (247)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314879A (en) 1979-03-22 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Production of field-reversed mirror plasma with a coaxial plasma gun
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3785083D1 (de) * 1986-06-06 1993-05-06 Siemens Ag Verfahren zur simulation eines verzoegerungsfehlers in einer logikschaltung und anordnungen zur durchfuehrung des verfahrens.
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5645796A (en) 1990-08-31 1997-07-08 Abtox, Inc. Process for plasma sterilizing with pulsed antimicrobial agent treatment
US5244629A (en) 1990-08-31 1993-09-14 Caputo Ross A Plasma sterilizing process with pulsed antimicrobial agent pretreatment
US5084239A (en) 1990-08-31 1992-01-28 Abtox, Inc. Plasma sterilizing process with pulsed antimicrobial agent treatment
DE9109503U1 (de) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier Schaltungsanordnung für ein Stromversorgungsgerät für Geräte und Anlagen der Plasma- und Oberflächentechnik
US5202623A (en) 1992-02-26 1993-04-13 Digital Equipment Corporation Laser-activated plasma chamber for non-contact testing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
TW264601B (zh) * 1993-09-17 1995-12-01 Hitachi Seisakusyo Kk
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
KR0152355B1 (ko) * 1994-03-24 1998-12-01 가나이 쓰토무 플라즈마 처리장치 및 처리방법
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
EP0715334B1 (en) * 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5917286A (en) 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6110405A (en) 1997-09-15 2000-08-29 Wellman, Inc. Melt spinning colored polycondensation polymers
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
KR100574208B1 (ko) 1998-06-02 2006-04-27 가부시키가이샤 니콘 주사형 노광장치 및 그의 제조방법, 및 디바이스 제조방법
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6806201B2 (en) * 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP3670206B2 (ja) * 2000-11-06 2005-07-13 アルプス電気株式会社 プラズマ処理装置又はプラズマ処理システムの性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
JP3670209B2 (ja) * 2000-11-14 2005-07-13 アルプス電気株式会社 プラズマ処理装置の性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP3665265B2 (ja) * 2000-12-28 2005-06-29 株式会社日立製作所 プラズマ処理装置
US6726804B2 (en) 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
AUPR306701A0 (en) 2001-02-14 2001-03-08 Digislide International Pty Ltd Personal entertainment arrangement
WO2002075332A1 (en) 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
AU2002354459A1 (en) * 2001-12-10 2003-07-09 Tokyo Electron Limited High-frequency power source and its control method, and plasma processor
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6826489B2 (en) * 2002-02-14 2004-11-30 Scientific Systems Research Limited Fault classification in a plasma process chamber
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US6841032B2 (en) * 2002-03-12 2005-01-11 Hitachi High-Technologies Corporation Plasma processing apparatus for adjusting plasma processing through detecting plasma processing state within chamber
JP3977114B2 (ja) * 2002-03-25 2007-09-19 株式会社ルネサステクノロジ プラズマ処理装置
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
JP4455887B2 (ja) 2002-03-28 2010-04-21 東京エレクトロン株式会社 電気的特性を利用して、プラズマ反応炉内の膜の状態を判断するシステムおよび方法
US6703080B2 (en) * 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
JP2005527983A (ja) * 2002-05-29 2005-09-15 東京エレクトロン株式会社 データハンドリング、ストレージ及び操作のための方法とシステム
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
WO2004003822A1 (en) * 2002-06-28 2004-01-08 Tokyo Electron Limited Controlling a material processing tool and performance data
AU2003280398A1 (en) 2002-06-28 2004-01-19 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6781383B2 (en) * 2002-09-24 2004-08-24 Scientific System Research Limited Method for fault detection in a plasma process
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US6873114B2 (en) * 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
JP4388287B2 (ja) 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
WO2004105101A2 (en) * 2003-05-16 2004-12-02 Tokyo Electron Limited A process system health index and method of using the same
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
WO2004112950A2 (en) 2003-06-20 2004-12-29 Drexel University Plasma reactor for the production of hydrogen-rich gas
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US6952657B2 (en) * 2003-09-10 2005-10-04 Peak Sensor Systems Llc Industrial process fault detection using principal component analysis
US20050069651A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
US7314537B2 (en) * 2003-09-30 2008-01-01 Tokyo Electron Limited Method and apparatus for detecting a plasma
US7015414B2 (en) * 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
WO2005059579A1 (en) * 2003-12-18 2005-06-30 Lg Chem, Ltd. Apparatus and method for estimating state of charge of battery using neural network
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
JP4359521B2 (ja) 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US20050212450A1 (en) * 2004-03-16 2005-09-29 Scientific Systems Research Limited Method and system for detecting electrical arcing in a plasma process powered by an AC source
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US7430496B2 (en) * 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065631A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US20060180570A1 (en) * 2005-02-14 2006-08-17 Mahoney Leonard J Application of in-situ plasma measurements to performance and control of a plasma processing system
US9607719B2 (en) 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US7794615B2 (en) * 2005-03-31 2010-09-14 Tokyo Electron Limited Plasma processing method and apparatus, and autorunning program for variable matching unit
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
KR101306612B1 (ko) 2005-06-10 2013-09-11 버드 테크놀로지 그룹 인크. 반도체 플라즈마 발생 시스템들에서 전력 흐름을 분석하는시스템 및 방법
US7319316B2 (en) * 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7366622B1 (en) * 2005-10-17 2008-04-29 X-L Synergy Arc fault identification using model reference estimation
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US7476849B2 (en) * 2006-03-10 2009-01-13 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
WO2008024392A2 (en) 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
US8073646B2 (en) * 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
EP2405721B1 (en) 2007-08-06 2016-04-20 Plasma Surgical Investments Limited Pulsed Plasma Device
US7589473B2 (en) 2007-08-06 2009-09-15 Plasma Surgical Investments, Ltd. Pulsed plasma device and method for generating pulsed plasma
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN101918044B (zh) 2007-11-06 2014-08-27 克里奥医药有限公司 微波等离子体灭菌系统及其施放器
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
US8120376B2 (en) * 2007-12-12 2012-02-21 Novellus Systems, Inc. Fault detection apparatuses and methods for fault detection of semiconductor processing tools
US9074285B2 (en) * 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
CN101232177B (zh) * 2008-01-29 2010-11-10 西安交通大学 高压直流输电线路距离保护方法
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
WO2009140371A2 (en) 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8337661B2 (en) * 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) * 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
CN102084471B (zh) * 2008-07-07 2012-11-28 朗姆研究公司 用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置
KR101606734B1 (ko) * 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 인시츄 아킹 이벤트들을 검출하기 위한 패시브 용량성-커플링된 정전식 (cce) 프로브 장치
CN102160167B (zh) 2008-08-12 2013-12-04 应用材料公司 静电吸盘组件
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
CN102160155A (zh) 2008-09-22 2011-08-17 应用材料公司 适合蚀刻高深宽比特征结构的蚀刻反应器
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US9378930B2 (en) * 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8508239B2 (en) * 2009-05-05 2013-08-13 Lam Research Corporation Non-destructive signal propagation system and method to determine substrate integrity
US9305750B2 (en) 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8989888B2 (en) * 2009-06-30 2015-03-24 Lam Research Corporation Automatic fault detection and classification in a plasma processing system and methods thereof
US8901004B2 (en) 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
US20110060442A1 (en) * 2009-09-10 2011-03-10 Valcore Jr John C Methods and arrangement for detecting a wafer-released event within a plasma processing chamber
US8797705B2 (en) * 2009-09-10 2014-08-05 Lam Research Corporation Methods and arrangement for plasma dechuck optimization based on coupling of plasma signaling to substrate position and potential
US8293023B2 (en) * 2009-10-23 2012-10-23 Lam Research Corporation System and method for monitoring wafer stress
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
CN102612864B (zh) 2009-11-19 2015-06-10 朗姆研究公司 用于控制等离子体处理系统的方法和装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
JP2012033409A (ja) 2010-07-30 2012-02-16 Origin Electric Co Ltd 直流プラズマ用逆極性パルス発生回路及び直流プラズマ電源装置
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
JP5718124B2 (ja) * 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
CA2742060C (en) 2011-05-31 2013-09-10 Vln Advanced Technologies Inc. Reverse-flow nozzle for generating cavitating or pulsed jets
US8872429B2 (en) 2011-07-28 2014-10-28 Kirk Rosener Pulsed plasma generator
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130119018A1 (en) 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US8576013B2 (en) * 2011-12-29 2013-11-05 Mks Instruments, Inc. Power distortion-based servo control systems for frequency tuning RF power sources
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9295148B2 (en) * 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) * 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9842725B2 (en) * 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10128090B2 (en) * 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) * 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
KR101564182B1 (ko) 2012-10-30 2015-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
US9620337B2 (en) * 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
TWI647735B (zh) * 2013-03-15 2019-01-11 美商蘭姆研究公司 使用模型化以建立與電漿系統相關的離子能量
US10276350B2 (en) * 2013-05-09 2019-04-30 Lam Research Corporation Systems and methods for using computer-generated models to reduce reflected power towards an RF generator during state transitions of the RF generator by controlling RF values of the RF generator
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9508529B2 (en) * 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1436360A (zh) * 2000-06-20 2003-08-13 科学系统研究有限公司 用于等离子体处理中故障识别的方法
TWI259546B (en) * 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
CN1684224A (zh) * 2004-03-31 2005-10-19 朗姆研究公司 用于创建等离子体处理系统的数学模型的方法和阵列
TW201038143A (en) * 2008-12-02 2010-10-16 Tokyo Electron Ltd Plasma processing apparatus and operation method of the same

Also Published As

Publication number Publication date
TW201602596A (zh) 2016-01-16
US10950421B2 (en) 2021-03-16
US20150301100A1 (en) 2015-10-22
CN105006419A (zh) 2015-10-28
CN105006419B (zh) 2018-01-30
KR20150121672A (ko) 2015-10-29
KR102339668B1 (ko) 2021-12-15

Similar Documents

Publication Publication Date Title
TWI685664B (zh) 使用模型化以識別電漿系統用射頻傳輸系統中的故障位置
TWI633810B (zh) 電漿系統中故障裝置之決定
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
US9508529B2 (en) System, method and apparatus for RF power compensation in a plasma processing system
TWI620471B (zh) 射頻傳輸模型之一變數値的決定
US10102321B2 (en) System, method and apparatus for refining radio frequency transmission system models
US9652567B2 (en) System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
TWI598582B (zh) 使用模型化以決定與電漿系統有關的晶圓偏壓
TWI647735B (zh) 使用模型化以建立與電漿系統相關的離子能量
JP2014195044A5 (zh)