KR102339668B1 - 플라즈마 시스템용 rf 전송 시스템 내에서 장애의 위치를 식별하기 위한 모델링을 사용하는 방법 - Google Patents

플라즈마 시스템용 rf 전송 시스템 내에서 장애의 위치를 식별하기 위한 모델링을 사용하는 방법 Download PDF

Info

Publication number
KR102339668B1
KR102339668B1 KR1020150055341A KR20150055341A KR102339668B1 KR 102339668 B1 KR102339668 B1 KR 102339668B1 KR 1020150055341 A KR1020150055341 A KR 1020150055341A KR 20150055341 A KR20150055341 A KR 20150055341A KR 102339668 B1 KR102339668 B1 KR 102339668B1
Authority
KR
South Korea
Prior art keywords
model
transmission system
output
current
generator
Prior art date
Application number
KR1020150055341A
Other languages
English (en)
Other versions
KR20150121672A (ko
Inventor
주니어 존 씨. 발코어
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150121672A publication Critical patent/KR20150121672A/ko
Application granted granted Critical
Publication of KR102339668B1 publication Critical patent/KR102339668B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)

Abstract

RF 전송 시스템 내에서 장애의 위치를 식별하는 시스템 및 방법은 RF 전송 시스템을 특징화하는 단계 및 상기 RF 전송 시스템의 스테이지 중 하나를 최초로 선택된 스테이지로서 선택하는 단계를 포함한다. 최초 선택된 스테이지의 출력은 특징화된 RF 전송 시스템에서 측정될 수 있다. 최초 선택된 스테이지의 측정된 출력은 베이스라인 RF 모델을 통해 전파되고, 편향 지점이 RF 전송 시스템의 결과적인 RF 모델 내에서 식별된다.

Description

플라즈마 시스템용 RF 전송 시스템 내에서 장애의 위치를 식별하기 위한 모델링을 사용하는 방법{USING MODELING FOR IDENTIFYING A LOCATION OF A FAULT IN AN RF TRANSMISSION SYSTEM FOR A PLASMA SYSTEM}
본 실시형태들은 플라즈마 시스템의 RF 전송 시스템 내에서 장애의 위치를 파악하기 위한 RF 전송 시스템 모델링을 사용하는 방법과 관련한다.
플라즈마-기반 시스템에서, 플라즈마는 웨이퍼 상에서 다양한 동작들 예컨대, 에칭, 세정, 증착 등을 수행하도록 플라즈마 챔버 내에서 생성된다. 플라즈마는 다양한 동작의 퍼포먼스를 제어하기 위해 모니터링되고 제어된다. 예를 들어, 플라즈마는 플라즈마의 전압을 모니터링함으로써 모니터링되고, 플라즈마 챔버로 공급되는 무선 주파수 (RF: radio frequency) 의 양을 제어함으로써 제어된다.
그러나, 동작의 퍼포먼스를 모니터링하고 제어하기 위한 전압의 사용은 만족할만한 결과를 제공하지 못할 수도 있다. 나아가, 전압의 모니터링은 고가이고 시간이 드는 동작일 수도 있다.
이와 관련하여, 본 개시에서 설명되는 실시형태들이 나타나게 되었다.
본 개시의 실시형태는 플라즈마 시스템의 RF 전송 시스템 내에서 장애의 위치를 식별하기 위한 모델링을 사용하는 장치, 방법 및 컴퓨터 프로그램을 제공한다. 본 실시형태가 다양한 방식들, 예컨대, 프로세스, 장치, 시스템, 하드웨어의 부분, 또는 컴퓨터-판독가능 매체 상의 방법으로 구현될 수도 있다는 점이 이해되어야 한다. 몇몇의 실시형태가 이하에서 설명된다.
일 실시형태는 RF 전송 시스템 내에서 장애의 위치를 파악하는 방법으로서, RF 전송 시스템을 특징화하는 단계 및 최초로 선택된 스테이지로서 RF 전송 시스템의 스테이지 중 하나를 선택하는 단계를 포함하는, 장애의 위치를 파악하는 방법을 제공한다. 최초 선택된 스테이지의 출력이 특징화된 RF 전송 시스템 내에서 측정될 수 있다. 최초 선택된 스테이지의 측정된 출력이 베이스라인 RF 모델을 통해 전파되고 RF 전송 시스템의 결과적인 RF 모델 내에서 편향 지점이 식별된다.
장애는 편향 지점에 대응하는 스테이지 내에 위치되는 것으로 식별될 수 있다. 베이스라인 RF 모델은 RF 전송선 내에 규정된 회로 컴포넌트들에 기초할 수 있고, 베이스라인 RF 모델은 입력 및 출력을 갖는다. 대안적으로, 베이스라인 RF 모델은 RF 전송선 내에 규정된 전기적 컴포넌트들에 기초할 수 있고, 베이스라인 RF 모델은 입력 및 출력을 갖는다. RF 전송선의 전기적 컴포넌트들은 커패시터들, 인덕터들, 또는 이들의 조합을 포함할 수 있고, RF 모델은 하나 이상의 엘리먼트들을 포함하고, RF 모델의 엘리먼트들은 RF 전송선의 전기적 컴포넌트들과 유사한 특징들을 갖는다.
제 1 항에 기재된 방법에서, 베이스라인 RF 모델은, RF 전송선의 모델; 임피던스 매칭 회로의 모델로서, RF 전송선이 RF 생성기의 출력과 임피던스 매칭 회로의 입력 사이에 커플링되는, 임피던스 매칭 회로; 임피던스 매칭 회로의 출력에 커플링된 RF 터널의 모델; RF 터널 모델과 커플링된 RF 스트랩의 모델; 및 상기 RF 스트랩에 커플링된 입력을 갖는 정전 척의 모델을 포함한다. 정전 척은 플라즈마 프로세싱 챔버 내에 포함될 수 있다. RF 전송 시스템은 플라즈마 프로세싱 시스템 내에 포함된다.
RF 전송 시스템을 특징화하는 단계는, 프로세싱 챔버 내에서 프로세싱된 웨이퍼들의 세트에 특징화 레시피를 적용하는 단계 및 복수의 웨이퍼들의 프로세싱 동안 RF 전송 시스템의 스테이지들 중 적어도 하나의 출력의 적어도 하나의 파라미터를 측정하는 단계를 포함한다.
베이스라인 RF 모델은 이상적인 RF 전송 시스템의 이상적인 RF 모델일 수 있다. 대안적으로, 베이스라인 RF 모델은 RF 전송 시스템이 정확하게 (properly) 기능한다고 공지될 때 생성된 RF 전송 시스템의 RF 모델일 수 있다. RF 전송 시스템의 베이스라인 RF 모델을 생성하는 단계는, 프로세싱 챔버 내에서 프로세싱된 제 2 복수의 웨이퍼들에 특징화 레시피를 적용하는 단계; 제 2 웨이퍼들의 세트의 프로세싱 동안, 선택된 적어도 하나의 스테이지의 출력의 적어도 하나의 파라미터를 측정하는 단계; 및 복수의 스테이지들 중 선택된 적어도 하나의 스테이지의 측정된 출력을 베이스라인 RF 모델의 복수의 스테이지들 중 선택된 적어도 하나의 스테이지의 예측된 값과 비교하는 단계를 포함할 수 있다.
다른 실시형태는 플라즈마 시스템으로서, 플라즈마 프로세싱 챔버; 플라즈마 프로세싱 챔버의 RF 입력에 커플링된 RF 전송 시스템; RF 전송 시스템에 커플링된 출력을 갖는 RF 생성기; 및 RF 생성기 및 플라즈마 프로세싱 챔버에 커플링된 제어기를 포함하는 플라즈마 시스템을 제공한다. 제어기는 스테이지들 중 하나를 선택하고 RF 전송 시스템의 베이스라인 RF 모델을 통해 선택된 스테이지의 측정된 출력을 전파함으로써 RF 전송 시스템의 장애를 식별하기 위해 실행가능한 컴퓨터 판독가능 매체 상의 로직을 포함한다.
또 다른 실시형태는 RF 전송 시스템 내에서 장애를 검색하기 위한 방법으로서, RF 전송 시스템의 베이스라인 RF 모델을 생성하는 단계로서, 프로세싱 챔버 내에서 프로세싱된 제 1 웨이퍼들의 세트에 특징화 레시피를 적용하는 단계, 제 1 웨이퍼들의 세트의 프로세싱 동안, RF 전송 시스템의 스테이지들 중 선택된 적어도 하나의 스테이지의 출력의 적어도 하나의 파라미터를 측정하는 단계를 포함하는, 상기 베이스라인 RF 모델을 생성하는 단계 및 복수의 스테이지들 중 선택된 적어도 하나의 스테이지의 측정된 출력을 베이스라인 RF 모델의 스테이지들 중 선택된 적어도 하나의 스테이지의 예측된 값과 비교하는 단계를 포함하는, 장애를 검색하기 위한 방법을 제공한다. 고장난 RF 전송 시스템이 제 2 시간에 특징화된다. 스테이지는 최초로 선택된 스테이지로서 선택되고 최초 선택된 스테이지의 출력은 특징화된 고장난 RF 전송 시스템 내에서 측정된다. RF 전송 시스템의 결과적인 RF 모델 내에서 편향 지점을 식별하고 편향 지점에 대응하는 스테이지의 장애를 나타내기 위해 베이스라인 RF 모델을 통해 최초 선택된 스테이지의 측정된 출력이 전파된다.
다른 양태들이 첨부 도면들과 함께 취해진, 이하의 상세한 설명으로부터 명백해질 것이다.
실시형태들은 첨부된 도면들과 연결되어 이하의 설명을 참조로 함으로써 가장 잘 이해될 수도 있다.
도 1은 본 개시에서 설명되는 실시형태에 따른, 임피던스 매칭 모델의 출력에서, 무선 주파수 (RF) 전송 모델의 부분의 출력에서 그리고 정전척 (ESC) 의 출력에서의 변수를 결정하는 시스템의 블록도이다.
도 2는 본 개시에서 설명되는 실시형태에 따른, RF 전송 모델 부분의 출력에서 복소 전압과 전류를 결정하는 방법의 흐름도이다.
도 3a는 본 개시에서 설명되는 실시형태에 따른, 임피던스 매칭 회로를 도시하는데 사용되는 시스템의 블록도이다.
도 3b는 본 개시에서 설명되는 실시형태에 따른, 임피던스 매칭 모델의 회로도이다.
도 4는 본 개시에서 설명되는 실시형태에 따른, RF 전송선을 도시하는데 사용되는 시스템의 도면이다.
도 5a는 본 개시에서 설명되는 실시형태에 따른, RF 전송선의 회로 모델을 도시하는데 사용되는 시스템의 블록도이다.
도 5b는 본 개시에서 설명되는 실시형태에 따른, RF 전송 모델의 터널 (tunnel) 과 스트랩 (strap) 모델을 도시하는데 사용된 전기 회로의 도면이다.
도 5c는 본 개시에서 설명되는 실시형태에 따른, 터널과 스트랩 모델을 도시하는데 사용된 전기 회로의 도면이다.
도 6은 본 개시에서 설명되는 실시형태에 따른, 실린더와 ESC 모델을 도시하는데 사용된 전기 회로의 도면이다.
도 7은 본 개시에서 설명되는 실시형태에 따른, 변수를 결정하는데 사용된 필터를 포함하는 플라즈마 시스템의 블록도이다.
도 8a는 본 개시에서 설명되는 실시형태에 따른, 변수의 정확도를 향상시키기 위한 필터의 모델을 도시하는데 사용된 시스템의 도면이다.
도 8b는 본 개시에서 설명되는 실시형태에 따른, 필터의 모델을 도시하는데 사용된 시스템의 도면이다.
도 9는 본 개시에서 설명되는 실시형태에 따른, 도 1의 시스템의 RF 생성기의 출력에서 변수를 측정하기 위한 전류와 전압 프로브를 사용하는 시스템의 블록도이다.
도 10은 본 개시에서 설명되는 실시형태에 따른, 전압과 전류 프로브 및 통신 장치가 RF 생성기 외부에 위치되는 시스템의 블록도이다.
도 11은 본 개시에서 설명되는 실시형태에 따른, 도 1의 시스템을 사용하여 결정된 변수들의 값이 사용된 시스템의 블록도이다.
도 12a는 본 개시에서 설명되는 실시형태에 따른, x ㎒ RF 생성기가 온 (on) 인 경우 도 2의 방법을 사용하여 결정된 변수 및 프로브를 사용함으로써 도 1의 시스템 내의 노드에서 측정된 변수 사이의 상관관계를 도시하는 그래프의 도면이다.
도 12b는 본 개시에서 설명되는 실시형태에 따른, y ㎒ RF 생성기가 온인 경우 도 2의 방법을 사용하여 결정된 변수 및 프로브를 사용함으로써 도 1의 시스템 내의 노드에서 측정된 변수 사이의 상관관계를 도시하는 그래프의 도면이다.
도 12c는 본 개시에서 설명되는 실시형태에 따른, z ㎒ RF 생성기가 온인 경우 도 2의 방법을 사용하여 결정된 변수 및 프로브를 사용함으로써 도 1의 시스템 내의 노드에서 측정된 변수 사이의 상관관계를 도시하는 그래프의 도면이다.
도 13은 본 개시에서 설명되는 실시형태에 따른, 임피던스 매칭 모델, RF 전송 모델 또는 ESC 모델의 모델 노드에서의 웨이퍼 바이어스를 결정하는 방법의 흐름도이다.
도 14는 본 개시에서 설명되는 실시형태에 따른, 웨이퍼 바이어스를 생성하는데 사용된 웨이퍼 바이어스 생성기를 도시하는 상태도이다.
도 15는 본 개시에서 설명되는 실시형태에 따른, ESC 모델과 임피던스 매칭 모델 사이의 경로에 따르는 지점에서 웨이퍼 바이어스를 결정하는 방법의 흐름도이다.
도 16은 본 개시에서 설명되는 실시형태에 따른, 모델의 노드에서 웨이퍼 바이어스를 결정하는 시스템의 블록도이다.
도 17은 본 개시에서 설명되는 실시형태에 따른, 도 1의 시스템의 모델 노드에서 웨이퍼 바이어스를 결정하는 방법의 흐름도이다.
도 18은 본 개시에서 설명되는 실시형태에 따른, 전압 프로브를 사용하는 것에 대신하여, 도 13, 도 15, 또는 도 17의 방법을 사용함으로써 웨이퍼 바이어스를 결정하는 것의 이점을 도시하는데 사용된 시스템의 블록도이다.
도 19a는 본 개시에서 설명되는 실시형태에 따른, y와 z ㎒ RF 생성기가 온인 경우 도 2, 도 13, 도 15, 또는 도 17의 방법을 사용하여 결정된 대응하는 모델 노드에서의 변수, 및 전압 프로브를 사용함으로써 도 1의 플라즈마 시스템의 노드에서 측정된 변수 사이의 상관관계를 도시하기 위한 그래프의 실시형태들을 도시한다.
도 19b는 본 개시에서 설명되는 실시형태에 따른, x와 z ㎒ RF 생성기가 온인 경우 도 2, 도 13, 도 15, 또는 도 17의 방법을 사용하여 결정된 대응하는 모델 노드에서의 변수, 및 전압 프로브를 사용함으로써 도 1의 플라즈마 시스템의 노드에서 측정된 변수 사이의 상관관계를 도시하기 위한 그래프의 실시형태들을 도시한다.
도 19c는 본 개시에서 설명되는 실시형태에 따른, x와 y ㎒ RF 생성기가 온인 경우 도 2, 도 13, 도 15, 또는 도 17의 방법을 사용하여 결정된 대응하는 모델 노드에서의 변수, 및 전압 프로브를 사용함으로써 도 1의 플라즈마 시스템의 노드에서 측정된 변수 사이의 상관관계를 도시하기 위한 그래프의 실시형태들을 도시한다.
도 20a는 본 개시에서 설명되는 실시형태에 따른, 센서 툴을 사용하여 측정된 와이어드 (wired) 웨이퍼 바이어스, 도 13, 도 15, 또는 도 17의 방법을 사용하여 결정된 모델 웨이퍼 바이어스, 및 x ㎒ RF 생성기가 온인 경우 모델 바이어스에서의 오류 사이의 상관관계를 도시하는데 사용된 그래프의 도면이다.
도 20b는 본 개시에서 설명되는 실시형태에 따른, 센서 툴을 사용하여 측정된 와이어드 웨이퍼 바이어스, 도 13, 도 15, 또는 도 17의 방법을 사용하여 결정된 모델 웨이퍼 바이어스, 및 y ㎒ RF 생성기가 온인 경우 모델 바이어스에서의 오류 사이의 상관관계를 도시하는데 사용된 그래프의 도면이다.
도 20c는 본 개시에서 설명되는 실시형태에 따른, 센서 툴을 사용하여 측정된 와이어드 웨이퍼 바이어스, 도 13, 도 15, 또는 도 17의 방법을 사용하여 결정된 모델 웨이퍼 바이어스, 및 x ㎒ RF 생성기가 온인 경우 모델 바이어스에서의 오류 사이의 상관관계를 도시하는데 사용된 그래프의 실시형태의 도면이다.
도 20d는 본 개시에서 설명되는 실시형태에 따른, 센서 툴을 사용하여 측정된 와이어드 웨이퍼 바이어스, 도 13, 도 15, 또는 도 17의 방법을 사용하여 결정된 모델 웨이퍼 바이어스, 및 x와 y ㎒ RF 생성기가 온인 경우 모델 바이어스에서의 오류 사이의 상관관계를 도시하는데 사용된 그래프의 도면이다.
도 20e는 본 개시에서 설명되는 실시형태에 따른, 센서 툴을 사용하여 측정된 와이어드 웨이퍼 바이어스, 도 13, 도 15, 또는 도 17의 방법을 사용하여 결정된 모델 웨이퍼 바이어스, 및 x와 z ㎒ RF 생성기가 온인 경우 모델 바이어스에서의 오류 사이의 상관관계를 도시하는데 사용된 그래프의 도면이다.
도 20f는 본 개시에서 설명되는 실시형태에 따른, 센서 툴을 사용하여 측정된 와이어드 웨이퍼 바이어스, 도 13, 도 15, 또는 도 17의 방법을 사용하여 결정된 모델 웨이퍼 바이어스, 및 y와 z ㎒ RF 생성기가 온인 경우 모델 바이어스에서의 오류 사이의 상관관계를 도시하는데 사용된 그래프의 도면이다.
도 20g는 본 개시에서 설명되는 실시형태에 따른, 센서 툴을 사용하여 측정된 와이어드 웨이퍼 바이어스, 도 13, 도 15, 또는 도 17의 방법을 사용하여 결정된 모델 웨이퍼 바이어스, 및 x, y와 z ㎒ RF 생성기가 온인 경우 모델 바이어스에서의 오류 사이의 상관관계를 도시하는데 사용된 그래프의 도면이다.
도 21은 본 개시에서 설명되는 실시형태에 따른, 도 1의 시스템의 호스트 시스템의 블록도이다.
도 22는 본 개시에 설명된 실시형태에 따른, RF 전송 시스템의 블록도이다.
도 23은 본 개시에 설명된 실시형태에 따른, RF 전송 시스템의 RF 전송 모델의 정확도 그래프이다.
도 24는 본 개시에 설명된 실시형태에 따른, 고장난 RF 전송 시스템의 측정된 RF 출력들의 예시적인 그래프이다.
도 25는 본 개시에 설명된 실시형태에 따른, RF 전송 시스템 고장 수리 시 수행된 방법 동작들을 도시하는 흐름도이다.
도 26은 본 개시에 설명된 실시형태에 따른, 테스트되는 RF 전송 시스템 상에서의 조정 레시피 (calibration recipe) 의 처리 동안 RF 생성기의 모니터링된 출력의 그래프이다.
도 27은 본 개시에 설명된 실시형태에 따른, 테스트되는 RF 전송 시스템 상에서의 조정 레시피의 처리 동안 RF 피드 파트 3 (예를 들어, 실린더형 RF 피드) 의 모니터링된 출력의 그래프이다.
도 28은 본 개시에 설명된 실시형태에 따른, 고장난 RF 전송 시스템의 실린더형 RF 피드 부분을 도시한다.
이하의 실시형태는 플라즈마 시스템의 RF 전송 시스템 내에서 장애를 검색하기 위한 모델을 사용하는 시스템 및 방법을 설명한다. 본 실시형태들은 특정한 구체 사항의 일부 또는 모두 없이 실시될 수도 있다는 것이 명백할 것이다. 다른 경우들에서, 공지된 동작들은 본 실시형태들을 불필요하게 모호하게 하지 않도록 설명되지 않는다.
도 1은 임피던스 매칭 모델 (104) 의 출력에서, RF 전송선 (113) 의 모델인 RF 전송 모델 (161) 의 부분 (173) 의 출력, 예컨대 모델 노드 N1m에서, 그리고 정전척 (ESC) 모델 (125) 의 출력, 예컨대 모델 노드 N6m에서 변수를 결정하는 시스템 (126) 의 실시형태의 블록도이다. 변수의 예시는 복소 전압, 복소 전류, 복소 전압과 전류, 복소 전력, 웨이퍼 바이어스 등을 포함한다. RF 전송선 (113) 은 출력, 예컨대 노드 N2 를 갖는다. 전압과 전류 (VI) 프로브 (110) 는 복소 전압과 전류 Vx, Ix, 및 Φx, 예컨대 제 1 복소 전압과 전류를 x ㎒ RF 생성기의 출력, 예컨대 노드 N3 에서 측정한다. Vx 는 전압 크기를 나타내고, Ix는 전류 크기를 나타내고, Φx 는 Vx와 Ix 사이의 위상을 나타낸다. 임피던스 매칭 모델 (104) 은 출력, 예컨대 모델 노드 N4m을 갖는다.
또한, 전압과 전류 프로브 (111) 는 y ㎒ RF 생성기의 출력 예컨대 N5 노드에서 복소 전압과 전류 Vy, Iy 및 Φy를 측정한다. Vy는 전압 크기, Iy는 전류 크기 그리고 Φy는 Vy 및 Iy 사이의 위상을 나타낸다는 것에 주목하여야 한다.
일부의 실시형태에서, 노드는 디바이스의 입력, 디바이스의 출력 또는 디바이스 내의 지점이다. 본 명세서에서 사용되는 디바이스가 이하에서 설명된다.
x ㎒의 예시들은 2 ㎒, 27 ㎒ 및 60 ㎒를 포함한다. y ㎒의 예시들은 2 ㎒, 27 ㎒ 및 60 ㎒를 포함한다. x ㎒는 y ㎒와는 상이하다. 예를 들어 x ㎒가 2 ㎒인 경우, y ㎒는 27 ㎒ 또는 60 ㎒이다. x ㎒가 27 ㎒ 인 경우, y ㎒ 는 60 ㎒이다.
전압과 전류 프로브 (110 및 111) 각각의 실시예는 미리-설정된 공식을 따르는 전압과 전류 프로브를 포함한다. 미리-설정된 공식의 예시는 센서에 대한 표준을 개발하는 Association에 의해 따라지는 표준을 포함한다. 미리-설정된 공식의 또 다른 실시예는 NIST (National Institute of Standrads and Technology) 표준을 포함한다. 도시와 같이 전압과 전류 프로브 (110 또는 111) 는 NIST 표준에 따르도록 조정된다. 이 도시에서, 전압과 전류 프로브 (110 또는 111) 는 NIST 표준을 준수하도록 전압과 전류 프로브 (110 또는 111) 를 조정하기 위한 알려진 부하 (load), 개방 회로, 또는 단락 회로와 커플링된다. 전압과 전류 프로브 (110 또는 111) 는 개방 회로와 먼저 커플링될 수도 있으며, 다음으로 단락 회로 그리고 다음으로 NIST 표준에 기초하여 전압과 전류 프로브 (110) 를 조정하기 위한 알려진 부하와 커플링될 수도 있다. 전압과 전류 프로브 (100 또는 111) 는 알려진 로드, 개방 회로, 및 단락 회로와 임의의 순서로 NIST 표준에 따라 전압과 전류 프로브 (110 또는 111) 을 조정하도록 커플링될 수도 있다. 알려진 로드의 예시는 50 옴 부하, 100 옴 부하, 200 옴 부하, 정적 부하, 직류 (DC) 부하, 저항기 등을 포함한다. 도시로서, 전압과 전류 프로브 (110 및 111) 각각은 NIST-추적가능한 표준에 따라 조정된다.
전압과 전류 프로브 (110) 는 x ㎒ RF 생성기의 출력 예컨대 노드 N3과 커플링된다. x ㎒ RF 생성기의 출력 예컨대 노드 N3은 케이블 (150) 을 통해 임피던스 매칭 회로 (114) 의 입력 (153) 과 커플링된다. 또한, 전압과 전류 프로브 (111) 는 y ㎒ RF 생성기의 출력 예컨대 노드 N5와 커플링된다. y ㎒ RF 생성기의 출력 예컨대 노드 N5는 케이블 (152) 을 통해 임피던스 매칭 회로 (114) 의 또 다른 입력 (155) 과 커플링된다.
임피던스 매칭 회로 (114) 의 출력 예컨대 노드 N4는 RF 전송선 (113) 의 입력과 커플링된다. RF 전송선 (113) 은 부분 (169) 과 또 다른 부분 (195) 을 포함한다. 부분 (169) 의 입력은 RF 전송선 (113) 의 입력이다. 부분 (169) 의 출력 예컨대 노드 N1은 부분 (195) 의 입력과 커플링된다. 부분 (195) 의 출력 예컨대 노드 N2는 플라즈마 챔버 (175) 와 커플링된다. 부분 (195) 의 출력은 RF 전송선 (113) 의 출력이다. 부분 (169) 의 예시는 RF 실린더와 RF 스트랩을 포함한다. RF 실린더는 RF 스트랩과 커플링된다. 부분 (195) 의 예시는 플라즈마 챔버 (175) 를 지지하기 위한 RF 막대 (rod) 및/또는 지지부 예컨대 실린더 등을 포함한다.
플라즈마 챔버 (175) 는 ESC (177), 상부 전극 (179), 및 다른 파트들 (미도시) 예컨대 상부 전극 (179) 를 둘러싸는 상부 유전체링, 상부 유전체링을 둘러싸는 상부 전극 확장부, ESC (177) 의 하부 전극을 둘러싸는 하부 유전체링, 하부 유전체링을 둘러싸는 하부 전극 확장부, 상부 플라즈마 배제 구역 (PEZ: plasma exclusion zone) 링, 하부 PEZ링 등을 포함한다. 상부 전극 (179) 은 ESC (177) 의 반대편에 위치되고 ESC (177) 를 대향한다. 워크 피스 (work piece) (131) 예컨대 반도체 웨이퍼 등은 ESC (177) 의 상부면 (183) 상에서 지지된다. 상부면 (183) 은 ESC (177) 의 출력 N6을 포함한다. 워크 피스 (131) 는 출력 N6 상에 놓여진다. 다양한 처리들 예컨대 화학 기상 증착, 세정, 증착, 스퍼터링, 에칭, 이온 주입, 저항 박리 등이 제작 동안 워크 피스 (131) 상에서 수행된다. 집적 회로 예컨대 주문형 반도체 (application specific integrated circuit, ASIC), 설계가능 논리 소자 (PLD) 등은 워크피스 (131) 상에서 성장되고, 집적회로는 다양한 전자 아이템 예컨대, 핸드폰, 테블렛, 스마트폰, 컴퓨터, 랩탑, 네트워킹 장비 등에 사용된다. 하부 전극과 상부 전극 (179) 각각은 금속 예컨대 알루미늄, 알루미늄 합금, 구리 등으로 만들어진다.
일 실시형태에서, 상부 전극 (179) 은 중앙 가스 피드 (미도시) 와 커플링된 홀 (hole) 을 포함한다. 중앙 가스 피드는 가스 공급기 (미도시) 로부터 하나 이상의 처리 가스를 받는다. 처리 가스의 예시는 O2와 같은 산소-함유 가스를 포함한다. 처리 가스의 다른 예시는 불소-함유 가스 예컨대, 테트라플루오로메탄 (CF4), 황 헥사플루오라이드 (SF4), 헥사플루오로에탄 (C2F4) 등을 포함한다. 상부 전극 (179) 은 접지된다. ESC (177) 는 x ㎒ RF 생성기 및 y ㎒ RF 생성기와 임피던스 매칭 회로 (114) 를 통해 커플링된다.
처리 가스가 상부 전극 (179) 과 ESC (177) 사이에서 공급되는 경우, 그리고 x ㎒ RF 생성기 및/또는 y ㎒ RF 생성기가 임피던스 매칭 회로 (114) 와 RF 전송선 (113) 을 통해 ESC (177) 로 RF 신호를 공급하는 경우, 처리 가스는 플라즈마 챔버 (175) 내에서 플라즈마를 생성하도록 점화된다.
x ㎒ RF 생성기가 노드 N3, 임피던스 매칭 회로 (114) 및 RF 전송선 (113) 을 통해 ESC (177) 로 RF 신호를 생성하고 제공하는 경우, 그리고 y ㎒ 생성기가 노드 N5, 임피던스 매칭 회로 (114) 및 RF 전송선 (113) 을 통해 ESC (177) 로 RF 신호를 생성하고 공급하는 경우, 전압과 전류 프로브 (110) 는 노드 N3에서 복소 전압과 전류를 측정하고, 전압과 전류 프로브 (111) 는 노드 N5에서 복소 전압과 전류를 측정한다.
전압과 전류 프로브 (110 및 111) 에 의해 측정된 복소 전압과 전류는 대응하는 전압과 전류 프로브 (110 및 111) 로부터 저장을 위한 호스트 시스템 (130) 의 저장 하드웨어 유닛 (HU: hardware unit) (162) 으로 대응하는 통신 디바이스 (185 및 189) 를 통해 제공된다. 예를 들어, 전압과 전류 프로브 (110) 에 의해 측정된 복소 전압과 전류는 통신 디바이스 (185) 와 케이블 (191) 을 통해 호스트 시스템 (130) 으로 제공되고, 전압과 전류 프로브 (111) 에 의해 측정된 복소 전압과 전류는 통신 디바이스 (189) 와 케이블 (193) 을 통해 호스트 시스템 (130) 으로 제공된다. 통신 디바이스의 예시는 데이터를 이더넷 (Ethernet) 패킷으로 변환하고, 이더넷 패킷을 데이터로 변환하는 이더넷 디바이스, Control Automation Technology를 위한 이더넷 (EtherCAT) 디바이스, 직렬로 데이터를 전송하는 직렬 인터페이스 디바이스, 병렬로 데이터를 전송하는 병렬 인터페이스 디바이스, USB (Universal Serial Bus) 인터페이스 디바이스 등을 포함한다.
호스트 시스템 (130) 의 예시는 컴퓨터 예컨대 데스크탑, 랩탑, 테블렛 등을 포함한다. 도시로서, 호스트 시스템 (130) 은 프로세서와 저장 HU (162) 를 포함한다. 본 명세서에서 사용된 것과 같이, 프로세서는 CPU (central processing unit), 마이크로프로세서, ASIC (application specific integrated circuit), PLD (programmable logic device) 등일 수도 있다. 저장 HU의 예시는 ROM (read-only memory), RAM (random access memory), 또는 이들의 조합을 포함한다. 저장 HU는 플래시 메모리, RAID (redundant array of storage disk), 하드 디스크 등일 수도 있다.
임피던스 매칭 모델 (104) 은 저장 HU (162) 내에 저장된다. 임피던스 매칭 모델 (104) 은 임피던스 매칭 회로 (114) 의 특성들과 유사한 특성 예컨대 커패시턴스, 인덕턴스, 복소 전력, 복소 전압과 전류 등을 갖는다. 예를 들어, 임피던스 매칭 모델 (104) 은 임피던스 매칭 회로 (114) 내의 것들과 동일한 숫자의 커패시터 및/또는 인덕터를 가지며, 커패시터 및/또는 인덕터는 임피던스 매칭 회로 (114) 내의 것들과 동일한 방식 예컨대 직렬, 병렬 등으로 서로에게 연결된다. 도시를 제공하기 위해, 임피던스 매칭 회로 (114) 가 인덕터와 직렬로 커플링된 커패시터를 포함하는 경우, 임피던스 매칭 모델 (104) 은 또한 인덕터와 직렬로 커플링된 커패시터를 포함한다.
예시로서, 임피던스 매칭 회로 (114) 는 하나 이상의 전기적 컴포넌트를 포함하고, 임피던스 매칭 모델 (104) 은 임피던스 매칭 회로 (114) 의 설계 예컨대 컴퓨터-생성된 모델을 포함한다. 컴퓨터-생성된 모델은 입력 하드웨어 유닛을 통해 사용자로부터 수신된 입력 신호를 기초로 프로세서에 의해 생성될 수도 있다. 입력 신호는, 모델에 어떤 전기적 컴포넌트 예컨대 커패시터, 인덕터 등이 포함되는지와 전기적 컴포넌트들 서로가 커플링되는 방식, 예컨대 직렬, 병렬 등과 관련한 신호들을 포함한다. 또 다른 예시로, 임피던스 매칭 회로 (114) 는 하드웨어 전기적 컴포넌트 및 전기적 컴포넌트들 사이의 하드웨어적 연결을 포함하고, 임피던스 매칭 모델 (104) 은 하드웨어 전기적 컴포넌트와 하드웨어 연결의 소프트웨어적 표현을 포함한다. 또 다른 예시로서, 임피던스 매칭 모델 (104) 은 소프트웨어 프로그램을 사용하여 설계되고, 임피던스 매칭 회로 (114) 는 인쇄 회로 기판 상에 만들어진다. 본 명세서에서 사용된 바와 같이, 전기적 컴포넌트들은 저항기, 커패시터, 인덕터, 저항기들 사이의 연결, 인덕터들 사이의 연결, 커패시터들 사이의 연결, 및/또는 저항기, 인덕터 및 커패시터의 조합 사이의 연결을 포함할 수도 있다.
유사하게, 케이블 모델 (163) 과 케이블 (150) 은 유사한 특성을 가지며, 케이블 모델 (165) 과 케이블 (152) 은 유사한 특성을 가진다. 예시로서, 케이블 모델 (163) 의 인덕턴스는 케이블 (150) 의 인덕턴스와 동일하다. 또 다른 예시로서, 케이블 모델 (163) 은 케이블 (150) 의 컴퓨터-생성된 모델이며, 케이블 모델 (165) 은 케이블 (152) 의 컴퓨터-생성된 모델이다.
유사하게, RF 전송 모델 (161) 과 RF 전송선 (113) 은 유사한 특성을 가진다. 예를 들어, RF 전송 모델 (161) 은 RF 전송선 (113) 내의 것들과 동일한 숫자의 저항기, 커패시터 및/또는 인덕터를 가지며, 저항기, 커패시터 및/또는 인덕터는 RF 전송선 (113) 내의 것들과 동일한 방식, 예컨대 직렬, 병렬 등으로 서로에게 연결된다. 더 설명하기 위해, RF 전송선 (113) 이 인덕터와 병렬로 커플링된 커패시터를 포함하는 경우, RF 전송 모델 (161) 은 또한 인덕터와 병렬로 커플링된 커패시터를 포함한다. 또 다른 예시로서, RF 전송선 (113) 은 하나 이상의 전기적 컴포넌트를 포함하고, RF 전송 모델 (161) 은 RF 전송선 (113) 의 설계, 예컨대 컴퓨터-생성된 모델을 포함한다.
일부의 실시형태에서, RF 전송 모델 (161) 은 엘리먼트, 예컨대 커패시터, 인덕터, 저항기, 이들의 조합 등의 특성, 예컨대 커패시턴스, 저항, 인덕턴스, 이들의 조합 등의 산출, 및 엘리먼트들 사이의 연결, 예컨대 직렬, 병렬 등의 결정을 수반하는 컴퓨터-생성된 임피던스 변형이다.
전압과 전류 프로브 (110) 로부터 케이블 (191) 을 통해 수신된 복소 전압과 전류 및 임피던스 매칭 모델 (104) 내의 엘리먼트들, 예컨대, 인덕터, 커패시터 등의 특성들, 예컨대 커패시턴스, 인덕턴스 등에 기초하여, 호스트 시스템 (130) 의 프로세서는 임피던스 매칭 모델 (104) 의 출력, 예컨대 모델 노드 N4m에서 복소 전압과 전류 V, I 및 Φ, 예컨대, 제 2 복소 전압과 전류를 산출한다. 모델 노드 N4m에서의 복소 전압과 전류는 호스트 시스템 (130) 의 저장 HU (162) 및/또는 또다른 저장 HU 예컨대, 콤팩트 디스크, 플래시 메모리 등에 저장된다. 복소 V, I 및 Φ는 전압 크기 V, 전류 크기 I 및 전압과 전류 사이의 위상 Φ을 포함한다.
임피던스 매칭 모델 (104) 의 출력은 저장 하드웨어 유닛 (162) 에 저장된 RF 전송 모델 (161) 의 입력과 커플링된다. 임피던스 매칭 모델 (104) 은 또한 노드 N3에서 측정된 복소 전압과 전류를 수신하는데 사용된 입력, 예컨대 노드 N3m을 갖는다.
RF 전송 모델 (161) 은 부분 (173), 다른 부분 (197), 및 ESC 모델 (125) 를 통해 모델 노드 N6m과 커플링된 출력 N2m을 포함한다. ESC 모델 (125) 은 ESC (177) 의 모델이다. 예를 들어, ESC 모델 (125) 은 ESC (177) 의 특성과 유사한 특성을 가진다. 예를 들어, ESC 모델 (125) 은 ESC (177) 의 것들과 동일한 인덕턴스, 커패시턴스, 저항, 또는 그 조합을 갖는다.
부분 (173) 의 입력은 RF 전송 모델 (161) 의 입력이다. 부분 (173) 의 출력은 부분 (197) 의 입력과 커플링된다. 부분 (173) 은 부분 (169) 의 특성과 유사한 특성을 가지며, 부분 (197) 은 부분 (195) 의 특성과 유사한 특성을 갖는다.
모델 노드 N4m에서 측정된 복소 전압과 전류에 기초하여, 호스트 시스템 (130) 의 프로세서는 RF 전송 모델 (161) 의 부분 (173) 의 출력, 예컨대 모델 노드 N1m) 에서 복소 전압과 전류 V, I 및 Φ, 예컨대 제 3 복소 전압과 전류를 산출한다. 모델 노드 (N1m) 에서 결정된 복소 전압과 전류는 호스트 시스템 (130) 의 저장 HU (162) 및/또는 다른 저장 HU, 예컨대 컴팩트 디스크, 플래시 메모리 등에 저장된다.
몇몇의 실시형태에서, 제 3 복소 전압과 전류를 결정하는 것을 대신하여 또는 이에 추가적으로, 호스트 시스템 (130) 의 프로세서는, 임피던스 매칭 모델 (104) 의 출력에서의 복소 전압과 전류 및 RF 전송 모델 (161) 의 입력과 부분 (173) 내의 지점 사이의 엘리먼트들의 특성에 기초하여, 부분 (173) 내의 지점, 예컨대 노드 등에서 복소 전압과 전류, 예컨대 중간 복소 전압과 전류 V, I 및 Φ을 산출한다.
다양한 실시형태에서, 제 3 복소 전압과 전류를 결정하는 것을 대신하여 또는 이에 추가적으로, 호스트 시스템 (130) 의 프로세서는, 임피던스 매칭 모델 (104) 의 출력에서의 복소 전압과 전류 및 RF 전송 모델 (161) 의 입력과 부분 (197) 내의 지점 사이의 엘리먼트들의 특성에 기초하여, 부분 (197) 내의 지점, 예컨대 노드 등에서 복소 전압과 전류, 예컨대 중간 복소 전압과 전류 V, I 및 Φ를 산출한다.
일부의 실시형태에서 임피던스 매칭 모델 (104) 의 출력에서의 복소 전압과 전류는 x ㎒ RF 생성기의 출력에서의 복소 전압과 전류, 케이블 모델 (163) 엘리먼트의 특성 및 임피던스 매칭 모델 (104) 의 특성에 기초하여 산출된다는 점이 주목되어야 한다.
2개의 생성기가 임피던스 매칭 회로 (114) 와 커플링되게 도시되나, 일 실시형태에서, 임의의 숫자의 RF 생성기, 예컨대 단일 생성기, 3개의 생성기 등이 임피던스 매칭 회로를 통해 플라즈마 챔버 (175) 와 커플링된다는 것이 주목되어야 한다. 예를 들어, 2 ㎒ 생성기, 27 ㎒ 생성기 및 60 ㎒ 생성기는 임피던스 매칭 회로를 통해 플라즈마 챔버 (175) 와 커플링될 수도 있다. 예를 들어, 전술된 실시형태가 노드 N3에서 측정된 복소 전압과 전류를 사용하는 것으로 설명되나, 다양한 실시형태에서, 전술된 실시형태는 또한 노드 N5에서 측정된 복소 전압과 전류를 사용할 수도 있다.
도 2는 RF 전송 모델 부분 (173) (도 1) 의 출력에서 복소 전압과 전류를 결정하는 방법 (102) 의 실시형태의 흐름도이다. 방법 (102) 은 호스트 시스템 (130) (도 1) 의 프로세서에 의해 실행된다. 동작 (106) 에서, 노드 N3에서 측정된 복소 전압과 전류, 예컨대 제 1 복소 전압과 전류는 저장 HU (162) (도 1) 내로부터 식별된다. 예를 들어, 제 1 복소 전압과 전류가 전압과 전류 프로브 (110) (도 1) 로부터 수신된다는 것이 결정된다. 또 다른 예시로서, 저장 HU (162) (도 1) 내에서 저장된 전압과 전류 프로브 (110) 의 식별에 기초하여, 제 1 복소 전압과 전류가 식별과 연관된다는 것이 결정된다.
또한, 동작 (107) 에서, 임피던스 매칭 모델 (104) (도 1) 은 임피던스 매칭 회로 (114) (도 1) 의 전기적 컴포넌트에 기초하여 생성된다. 예를 들어, 임피던스 매칭 회로 (114) 의 전기적 컴포넌트들 사이의 연결과 전기적 컴포넌트의 특성은 호스트 시스템 (130) 과 커플링된 입력 하드웨어 유닛을 통해 사용자에 의해 호스트 시스템 (130) 의 프로세서로 제공된다. 연결과 특성을 수신하면, 프로세서는 임피던스 매칭 회로 (114) 의 전기적 컴포넌트들의 특성과 동일한 특성을 갖는 엘리먼트들을 생성하고, 전기적 컴포넌트들 사이의 연결과 동일한 엘리먼트들 사이의 연결을 생성한다.
임피던스 매칭 모델 (104) 의 입력, 예컨대 노드 N3m은 제 1 복소 전압과 전류를 수신한다. 예를 들어, 호스트 시스템 (130) 의 프로세서는 저장 HU (162) 로부터 제 1 복소 전압과 전류를 액세스, 예컨대 판독 등을 하고, 제 1 복소 전압과 전류를 제 1 복소 전압과 전류를 처리하도록 임피던스 매칭 모델 (104) 의 입력으로 공급한다.
동작 (116) 에서, 제 1 복소 전압과 전류는, 임피던스 매칭 모델 (104) 의 출력에서의 제 2 복소 전압과 전류를 결정하도록, 임피던스 매칭 모델 (104) 의 입력, 예컨대 노드 N3m (도 1) 로부터 임피던스 매칭 모델 (104) 의 출력, 예컨대 노드 N4m (도 1) 로 임피던스 매칭 모델 (104) (도 1) 의 하나 이상의 엘리먼트를 통해 전파된다. 예를 들어, 도 3b를 참조하면, 2 ㎒ RF 생성기는 온 (on), 예컨대, 동작준비되고, 전원인가되고, 예를 들어, 플라즈마 시스템 (126) 의 임피던스 매칭 회로 (104) 등과 같은 디바이스에 커플링된 경우, 노드 (251), 예컨대 중간 노드에서, 전압 크기 Vx1, 전류 크기 Ix1 및 복소 전압과 전류 사이의 위상 Φx1을 포함하는 복소 전압과 전류 Vx1, Ix1 및 Φx1, 예컨대 중간 복소 전압과 전류는, 커패시터 (253) 의 커패시턴스에 기초하여, 커패시터 C5 의 커패시턴스에 기초하여, 그리고 입력 (255) 에서 수신된 제 1 복소 전압과 전류에 기초하여 결정된다. 또한, 노드 (257) 에서의 복소 전압과 전류 Vx2, Ix2 및 Φx2는 인덕터 L3의 인덕턴스에 기초한, 복소 전압과 전류 Vx1, Ix1 및 Φx1에 기초하여 결정된다. 복소 전압과 전류 Vx2, Ix2 및 Φx2는 전압 크기 Vx2, 전류 크기 Ix2 및 전압과 전류 사이의 위상 Φx2 를 포함한다. 27 ㎒ RF 생성기와 60 ㎒ RF 생성기가 오프 (off), 예컨대 비-동작준비되고, 전원 오프되고, 임피던스 매칭 회로 (104) 등으로부터 디커플링된 경우, 복소 전압과 전류 V2, I2 및 Φ2는, 임피던스 매칭 모델 (104) (도 1) 의 출력, 예컨대 모델 노드 N4m (도 1) 의 예시인 출력 (259) 에서의 제 2 복소 전압과 전류로 결정된다. 복소 전압과 전류 V2, I2 및 Φ2는 복소 전압과 전류 Vx2, Ix2, 및 Φx2 및 인턱터 L2의 인덕터에 기초하여 결정된다. 복소 전압과 전류 V2, I2 및 Φ2는 전압 크기 V2, 전류 크기 I2 및 전압과 전류 사이의 위상 Φ2를 포함한다.
유사하게, 27 ㎒ RF 생성기가 온이고, 2 ㎒ 와 60 ㎒ RF 생성기가 오프인 경우, 출력 (259) 에서의 복소 전압과 전류 V27, I27, 및 Φ27은 노드 (261) 에서 수신된 복소 전압과 전류 및 인덕터 LPF2, 커패시터 C3, 커패시터 C4 및 인덕터 L2의 특성에 기초하여 결정된다. 복소 전압과 전류 V27, I27 및 Φ27은 전압 크기 V27, 전류 크기 I27 및 전압과 전류 사이의 위상 Φ27을 포함한다. 노드 (261) 에서 수신된 복소 전압과 전류는 노드 N5 (도 1) 에서 측정된 복소 전압과 전류와 동일하다. 2 ㎒, 27 ㎒ RF 발생기 모두가 온이고, 60 ㎒ RF 발생기가 오프인 경우, 복소 전압과 전류 V2, I2, Φ2, V27, I27 및 Φ27은 제 2 복소 전압과 전류의 예시이다. 또한, 유사하게, 60 ㎒ RF 발생기는 온이고, 2 및 27 ㎒ RF 발생기가 오프인 경우, 출력 (259) 에서의 복소 전압과 전류 V60, I60, Φ60은 노드 (265) 에서 수신된 복소 전압과 전류 및 인덕터 LPF1, 커패시터 C1, 커패시터 C2, 인덕터 L4, 커패시터 (269) 및 인덕터 L1의 특성에 기초하여 결정된다. 복소 전압과 전류 V60, I60 및 Φ60은 전압 크기 V60, 전류 크기 I60, 전압과 전류 사이의 위상 Φ60을 포함한다. 2 ㎒, 27 ㎒ 및 60 ㎒ RF 발생기가 온인 경우, 복소 전압과 전류 V2, I2, Φ2, V27, I27, Φ27, V60, I60 및 Φ60은 제 2 복소 전압과 전류의 예시이다.
동작 (117) 에서, RF 전송 모델 (161) (도 1) 은 RF 전송선 (113) (도 1) 의 전기적 컴포넌트에 기초하여 생성된다. 예를 들어, RF 전송선 (113) 의 전기적 컴포넌트들 사이의 연결 및 전기적 컴포넌트의 특성은 호스트 시스템 (130) 과 커플링된 입력 디바이스를 통해 사용자에 의해 호스트 시스템 (130) 의 프로세서로 제공된다. 연결과 특성을 수신하면, 프로세서는 RF 전송선 (113) 의 전기적 컴포넌트의 특성과 동일한 특성을 갖는 엘리먼트를 생성하고, 전기적 컴포넌트들 사이의 연결과 동일한 엘리먼트들 사이의 연결을 생성한다.
동작 (119) 에서, 제 2 복소 전압과 전류는, RF 전송 모델 부분 (173) 의 출력에서 제 3 복소 전압과 전류를 결정하도록, RF 전송 모델 부분 (173) 의 입력으로부터 RF 전송 모델 부분 (173) 의 출력, 예컨대 모델 노드 N1m (도 1) 로 RF 전송 모델 부분 (173) 의 하나 이상의 엘리먼트를 통해 전파된다. 예를 들어, 도 5b를 참조하면, 2 ㎒ RF 생성기가 온이고, 27 및 60 ㎒ RF 생성기가 오프인 경우, 노드 (293), 예컨대 중간 노드에서의 복소 전압과 전류 Vx4, Ix4 및 Φx4, 예컨대, 중간 복소 전압과 전류는 인덕터 Ltunnel의 인덕턴스에 기초하여, 커패시터 Ctunnel의 커패시턴스에 기초하여, 제 2 복소 전압과 전류의 예시인 복소 전압과 전류 V2, I2 및 Φ2 (도 3b) 에 기초하여 결정된다. Ltunnel이 RF 터널의 컴퓨터-생성된 모델의 인덕턴스이며, Ctunnel이 RF 터널 모델의 커패시턴스라는 것이 주목되어야 한다. 또한, 터널과 스트랩 모델 (210) 의 출력 (297) 에서의 복소 전압과 전류 V21, I21 및 Φ21은 복소 전압과 전류 Vx4, Ix4 및 Φx4에 기초하고, 인덕터 Lstrap의 인덕턴스에 기초하여 결정된다. 출력 (297) 은 부분 (173) (도 1) 의 출력, 예컨대 모델 노드 N1m (도 1) 의 예시이다. Lstrap이 RF 스트랩의 컴퓨터-생성된 모델의 인덕턴스라는 것이 주목되어야 한다. 2 ㎒ RF 생성기가 온이고, 27 및 60 ㎒ 생성기가 오프인 경우, 복소 전압과 전류 V21, I21, Φ21은 출력 (297) 에서의 제 3 복소 전압과 전류로 결정된다.
유사하게, 27 ㎒ RF 생성기가 온이고 2 및 60 ㎒ RF 생성기가 오프인 경우, 출력 (297) 에서의 복소 전압과 전류 V271, I271 및 Φ271은 출력 (259) 에서의 복소 전압과 전류 V27, I27 및 Φ27 (도 3b) 및 인덕터 Ltunnel, 커패시터 Ctunnel 및 인덕터 Lstrap의 특성에 기초하여 결정된다. 2 ㎒ 및 27 ㎒ RF 생성기 모두가 온이고 60 ㎒ RF 생성기가 오프인 경우, 복소 전압과 전류 V21, I21, Φ21, V271, I271 및 Φ271은 제 3 복소 전압과 전류의 예시이다.
또한, 유사하게, 60 ㎒ RF 생성기가 전원 온되고, 2 및 27 ㎒ RF 생성기가 전원 오프되면, 출력 (297) 에서의 복소 전압과 전류 V601, I601 및 Φ601은 노드 (259) 에서 수신된 복소 전압과 전류 V60, I60 및 Φ60 (도 3b) 및 인덕터 Ltunnel, 커패시터 Ctunnel 및 인덕터 Lstrap의 특성에 기초하여 결정된다. 2 ㎒, 27 ㎒ 및 60 ㎒ RF 생성기가 온인 경우, 복소 전압과 전류 V21, I21, Φ21, V271, I271, Φ271, V601, I601 및 Φ601은 제 3 복소 전압과 전류의 예시이다. 방법 (102) 은 동작 (119) 후에 종료된다.
도 3a는 임피던스 매칭 회로 (122) 를 도시하는데 사용된 시스템 (123) 의 실시형태의 블록도이다. 임피던스 매칭 회로 (122) 는 임피던스 매칭 회로 (114) (도 1) 의 예시이다. 임피던스 매칭 회로 (122) 는 전기적 컴포넌트들 사이의 직렬 연결 및/또는 전기적 컴포넌트들 사이의 병렬적 연결을 포함한다.
도 3b는 임피던스 매칭 모델 (172) 의 실시형태의 회로도이다. 임피던스 매칭 모델 (172) 은 임피던스 매칭 모델 (104) (도 1) 의 예시이다. 도시된 바와 같이, 임피던스 매칭 모델 (172) 은 커패시턴스 C1 내지 C9 를 갖는 커패시터, 인덕턴스 LPF1, LPF2 및 L1 내지 L4 를 갖는 인덕터를 포함한다. 인덕터 및/또는 커패시터가 도 3b에서 서로와 커플링되는 방식은 예시적이라는 것이 주목되어야 한다. 예를 들어 도 3b에 도시된 인덕터 및/또는 커패시터는 서로와 직렬 및/또는 병렬적 방식으로 커플링될 수 있다. 또한, 일부의 실시형태에서, 임피던스 매칭 모델 (172) 은 도 3b에서 도시된 것과는 상이한 숫자의 커패시터 및/또는 상이한 숫자의 인덕터를 포함한다.
도 4는 RF 전송선 (113) (도 1) 의 예시인 RF 전송선 (181) 을 도시하도록 사용된 시스템 (178) 의 실시형태의 도면이다. RF 전송선 (181) 은 실린더 (148), 예컨대 터널을 포함한다. 실린더 (148) 의 중공 (hollow) 내에 절연체 (190) 와 RF 막대 (142) 가 있다. 실린더 (148) 와 RF 막대 (142) 의 조합은 RF 전송선 (113) 의 부분 (169) (도 1) 의 예시이다. RF 전송선 (181) 은 임피던스 매칭 회로 (114) 와 볼트 B1, B2, B3 및 B4를 통해 접합된다. 일 실시형태에서, RF 전송선 (181) 은 임피던스 매칭 회로 (114) 와 임의의 숫자의 볼트를 통해 접합된다. 일부의 실시형태에서, 볼트를 대신하여 또는 이에 추가적으로, 임의의 형태의 부착, 예컨대 풀, 스크류 등이 RF 전송선 (181) 을 임피던스 매칭 회로 (114) 에 부착하는데 사용될 수 있다.
RF 전송 막대 (142) 는 임피던스 매칭 회로 (114) 의 출력과 커플링된다. 또한, RF 스푼으로 또한 알려진 RF 스트랩은, 지지부 (146), 예컨대 실린더 내에 위치된 부분인 RF 막대 (199) 및 RF 막대 (142) 와 커플링된다. RF 막대 (199) 를 포함하는 지지부 (146) 는 부분 (195) (도 1) 의 예시이다. 일 실시형태에서, 실린더 (148), RF 막대 (142), RF 스트랩 (144), 지지부 (146) 및 RF 막대 (199) 의 조합은 RF 전송선 (113) (도 1) 의 예시인 RF 전송선 (181) 을 형성한다. 지지부 (146) 는 플라즈마 챔버에 대한 지지를 제공한다. 지지부 (146) 는 플라즈마 챔버의 ESC (177) 에 부착된다. RF 신호는 x ㎒ 생성기로부터 케이블 (150), 임피던스 매칭 회로 (114), RF 막대 (142), RF 스트랩 (144) 및 RF 막대 (199) 를 통해 ESC (177) 로 공급된다.
일 실시형태에서, ESC (177) 는 가열 엘리먼트와 가열 엘리먼트의 상단의 전극을 포함한다. 일 실시형태에서, ESC (177) 는 가열 엘리먼트와 하부 전극을 포함한다. 일 실시형태에서, ESC (177) 는 하부 전극과 하부 전극 내에 형성된 홀들 내 실장된 가열 엘리먼트, 예컨대, 코일 전선 등을 포함한다. 일부의 실시형태에서 전극은 금속, 예컨대 알루미늄, 구리 등으로 만들어진다. RF 전송선 (181) 이 RF 신호를 ESC (177) 의 하부 전극으로 RF 신호를 공급한다는 것이 주목되어야 한다.
도 5a는 RF 전송선 (113) (도 1) 의 회로 모델 (176) 을 도시하는데 사용된 시스템 (171) 의 실시형태의 블록도이다. 예를 들어, 회로 모델 (176) 은 인덕터 및/또는 커패시터, 인덕터들 사이의 연결, 커패시터들 사이의 연결, 및/또는 인덕터와 커패시터 사이의 연결을 포함한다. 연결들의 예시는 직렬 및/또는 병렬적 연결을 포함한다. 회로 모델 (176) 은 RF 전송 모델 (161) (도 1) 의 예시이다.
도 5b는 RF 전송 모델 (161) (도 1) 의 부분 (173) (도 1) 의 예시인 터널과 스트랩 모델 (210) 을 도시하는데 사용되는 전기적 회로 (180) 의 실시형태의 도면이다. 전기적 회로 (180) 는 임피던스 매칭 모델 (172) 및 터널과 스트랩 모델 (210) 을 포함한다. 터널과 스트랩 모델 (210) 은 인덕터 Ltunnel 과 Lstrap 및 커패시터 Ctunnel을 포함한다. 인덕터 Ltunnel은 실린더 (148) (도 4) 와 RF 막대 (142) 의 인덕턴스를 나타내고, 커패시터 Ctunnel은 실린더 (148) 와 RF 막대 (142) 의 커패시턴스를 나타낸다. 또한, 인덕터 Lstrap은 RF 스트랩 (144) (도 4) 의 인덕턴스를 나타낸다.
일 실시형태에서, 터널과 스트랩 모델 (210) 은 임의의 숫자의 인덕터 및/또는 임의의 숫자의 커패시터를 포함한다. 일 실시형태에서, 터널과 스트랩 모델 (210) 은 커패시터를 다른 커패시터와 커플링하는, 커패시터를 인덕터와 커플링하는, 및/또는 인덕터를 다른 인덕터와 커플링하는 임의의 방식, 예컨대 직렬, 병렬 방식 등을 포함한다.
도 5c는 RF 전송 모델 (161) (도 1) 의 부분 (173) (도 1) 의 예시인 터널과 스트랩 모델 (302) 을 도시하는데 사용된 전기적 회로 (300) 의 실시형태의 도면이다. 터널과 스트랩 모델 (302) 은 임피던스 매칭 모델 (172) 과 출력 (259) 을 통해 커플링된다. 터널과 스트랩 모델 (302) 은 20 nanoHenry (nH) 인덕턴스를 갖는 인덕터 및 15 picoFarad (pF), 31 pF, 15.5pF 및 18.5 pF 커패시턴스를 갖는 커패시터를 포함한다. 터널과 스트랩 모델 (302) 은 ESC (177) (도 1) 과 커플링된 RF 실린더로 노드 (304) 를 통해 커플링된다. RF 실린더는 부분 (195) (도 1) 의 예시이다.
일부의 실시형태에서, 터널과 스트랩 모델 (302) 의 인덕터와 커패시터는 다른 값을 가진다는 것이 주목되어야 한다. 예를 들어, 20nH 인덕터는 15와 20 nH 사이 범위 또는, 20과 25nH 사이의 범위의 인덕턴스를 갖는다. 또 다른 예시로서, 터널과 스트랩 모델 (302) 의 둘 이상의 인덕터들은 상이한 인덕턴스를 갖는다. 또 다른 예시로서, 15 pF 커패시터는 8 pF과 25 pF 사이의 범위의 커패시턴스를 가지고, 31 pF 커패시터는 15 pF과 45 pF 사이의 범위의 커패시턴스를 가지며, 15.5 pF 커패시터는 9 pF과 20 pF 사이의 범위의 커패시턴스를 가지며, 18.5 pF 커패시터는 10 pF과 27 pF 사이의 범위의 커패시턴스를 갖는다.
다양한 실시형태에서, 임의의 숫자의 인덕터가 터널과 스트랩 모델 (302) 에 포함되고, 임의의 숫자의 커패시터가 터널과 스트랩 모델 (302) 에 포함된다.
도 6은 인덕터 (314) 와 커패시터 (316) 의 조합인 실린더와 ESC 모델 (312) 을 도시하는데 사용된 전기적 회로 (310) 의 실시형태의 도면이다. 실린더와 ESC 모델 (312) 은 실린더 모델과 ESC 모델 (125) (도 1) 의 예시인 ESC 모델을 포함한다. 실린더 모델은 RF 전송 모델 (161) (도 1) 의 부분 (197) (도 1) 의 예시이다. 실린더와 ESC 모델 (312) 은 부분 (195) 과 ESC (177) (도 1) 의 조합의 특성과 유사한 특성을 갖는다. 예를 들어, 실린더와 ESC 모델 (312) 은 부분 (195) 과 ESC (177) 의 조합의 저항과 동일한 저항을 갖는다. 다른 예시로서, 실린더와 ESC 모델 (312) 은 부분 (195) 과 ESC (177) 의 조합의 인덕턴스와 동일한 인덕턴스를 갖는다. 또 다른 예시로서, 실린더와 ESC 모델 (312) 은 부분 (195) 과 ESC (177) 의 조합의 커패시턴스와 동일한 커패시턴스를 갖는다. 또 다른 예시로서, 실린더와 ESC 모델 (312) 은 부분 (195) 과 ESC (177) 의 조합의 인덕턴스, 커패시턴스, 저항, 또는 이들의 조합과 동일한 인덕턴스, 커패시턴스, 저항, 또는 이들의 조합을 갖는다.
실린더와 ESC 모델 (312) 은 노드 (318) 를 통해 터널과 스트랩 모델 (302) 과 커플링된다. 노드 (318) 은 모델 노드 N1m (도 1) 의 예시이다.
일부의 실시형태에서, 44 milliHenry (mH) 와는 다른 인덕턴스를 갖는 인덕터가 실린더와 ESC 모델 (312) 에서 사용된다는 것이 주목되어야 한다. 예를 들어 35 mH 내지 43.9 mH 또는 45.1 mH 내지 55 mH 사이의 범위의 인덕턴스를 갖는 인덕터가 사용된다. 다양한 실시형태에서, 550 pF 와는 다른 커패시턴스를 갖는 커패시터가 사용된다. 예를 들어, 550 pF 커패시터를 대신하여, 250 내지 550 pF 또는 550 내지 600 pF 사이의 커패시턴스를 갖는 커패시터가 사용된다.
호스트 시스템 (130) (도 1) 의 프로세서는 모델 (172), 터널과 스트랩 모델 (302) 및 실린더와 ESC 모델 (312) 의 조합의 조합된 임피던스, 예컨대 총 임피던스 등을 산출한다. 조합된 임피던스와 모델 노드 (318) 에서 결정된 복소 전압과 전류는 노드 N6m에서의 복소 전압과 임피던스를 산출하기 위해, 호스트 시스템 (130) 의 프로세서에 의해 입력으로 사용된다. 실린더와 ESC 모델 (312) 의 출력이 모델 노드 N6m이라는 점이 주목되어야 한다.
도 7은 변수를 결정하는데 사용된 시스템 (200) 의 실시형태의 블록도이다. 시스템 (200) 은 ESC (201) 을 더 포함하고 입력 (285) 을 갖는 플라즈마 챔버 (135) 를 포함한다. 플라즈마 챔버 (135) 는 플라즈마 챔버 (175) (도 1) 의 예시이고, ESC (201) 는 ESC (177) (도 1) 의 예시이다. ESC (201) 는 가열 엘리먼트 (198) 를 포함한다. 또한, ESC (201) 는 에지 링 (194) (ER: edge ring) 에 의해 둘러싸인다. ER (194) 은 가열 엘리먼트 (196) 를 포함한다. 일 실시형태에서, ER (194) 은 균일한 에칭 속도 및 ESC (201) 에 의해 지지되는 워크 피스 (131) 의 에지 근방의 감소된 에칭 속도 드리프트를 용이하게 한다.
전력 공급기 (206) 는 가열 엘리먼트 (196) 를 가열하도록 필터 (208) 를 통해 가열 엘리먼트 (196) 로 전력을 공급하고, 전력 공급기 (204) 는 가열 엘리먼트 (198) 를 가열하도록 필터 (202) 를 통해 가열 엘리먼트 (198) 로 전력을 공급한다. 일 실시형태에서, 단일의 전력 공급기는 가열 엘리먼트 (196 및 198) 모두로 전력을 공급한다. 필터 (208) 는 전력 공급기 (206) 로부터 수신된 전력 신호의 미리 결정된 주파수를 필터링하고, 필터 (202) 는 전력 공급기 (204) 로부터 수신된 전력 신호의 미리 결정된 주파수를 필터링한다.
가열 엘리먼트 (198) 는 바람직한 온도에서 플라즈마 챔버 (135) 내의 환경을 더 유지하도록 바람직한 온도에서 ESC (201) 의 전극을 유지하게 전력 공급기 (204) 로부터 수신된 전력 신호에 의해 가열된다. 또한, 가열 엘리먼트 (196) 는 바람직한 온도에서 플라즈마 챔버 (135) 내의 환경을 더 유지하도록 바람직한 온도에서 ER (194) 를 유지하게 전력 공급기 (206) 로부터 수신된 전력 신호에 의해 가열된다.
일 실시형태에서, ER (194) 와 ESC (201) 가 임의의 숫자의 가열 엘리먼트와 임의의 종류의 가열 엘리먼트를 포함한다는 것을 주목하여야 한다. 예를 들어, ESC (201) 는 유도성 가열 엘리먼트 또는 금속 플레이트를 포함한다. 일 실시형태에서, ESC (201) 및 ER (194) 각각은 바람직한 온도에서 플라즈마 챔버 (135) 를 유지하도록 하나 이상의 냉각 엘리먼트, 예컨대 찬 물 등의 통과를 허용하는 하나 이상의 튜브를 포함한다.
일 실시형태에서 시스템 (200) 이 임의의 숫자의 필터들을 포함한다는 것이 주목되어야 한다. 예를 들어, 전력 공급기 (204 및 206) 는 ESC (201) 과 ER (194) 와 단일의 필터를 통해 커플링된다.
도 8a는 변수의 정확성을 향상시키도록 필터 (202 및 208) (도 7) 의 모델을 도시하는데 사용된 시스템 (217) 의 실시형태의 도면이다. 시스템 (217) 은 커패시터 및/또는 인덕터 및 필터 (202 및 208) 의 커패시터 및/또는 인덕터 사이의 연결을 포함하는 모델 (216) 과 실린더 모델 (211) 을 통해 커플링되는 터널과 스트랩 (210) 을 포함한다. 모델 (216) 은 저장 HU (162) (도 1) 및/또는 다른 저장 HU 내에 저장되다. 모델 (216) 의 커패시터 및/또는 인덕터는 방식, 예컨대 병렬적 방식, 직렬적 방식, 이들의 조합 등으로 서로와 커플링된다. 모델 (216) 은 필터 (202 및 208) 의 커패시턴스 및/또는 인덕턴스를 나타낸다.
또한, 시스템 (217) 은 RF 막대 (199) (도 4) 와 지지부 (146) (도 4) 의 컴퓨터-생성된 모델인 실린더 모델 (211) 을 포함한다. 실린더 모델 (211) 은 RF 막대 (199) 와 지지부 (146) 의 전기적 컴포넌트의 특성과 유사한 특성을 갖는다. 실린더 모델 (211) 은 하나 이상의 커패시터, 하나 이상의 인덕터, 인덕터들 사이의 연결, 커패시터들 사이의 연결, 및/또는 커패시터와 인덕터의 조합 사이의 연결을 포함한다.
호스트 시스템 (130) (도 1) 의 프로세서는 모델 (216), 터널과 스트랩 모델 (210) 및 실린더 모델 (211) 의 조합된 임피던스, 예컨대 총 임피던스를 산출한다. 조합된 임피던스는 노드 N2m에서의 복소 전압과 임피던스를 제공한다. 노드 N2m에서의 변수를 결정하는데 있어 모델 (216) 과 터널과 스트랩 모델 (210) 의 포함과 함께, 변수의 정확성이 향상된다. 모델 (216) 의 출력이 모델 노드 N2m이라는 것이 주목되어야 한다.
도 8b는 변수의 정확성을 향상시키도록 필터 (202 및 208) (도 7) 의 모델을 도시하는데 사용된 시스템 (219) 의 실시형태의 도면이다. 시스템 (219) 은 터널과 스트랩 모델 (210) 및 터널과 스트랩 모델 (210) 과 병렬적으로 커플링된 모델 (218) 을 포함한다. 모델 (218) 은 모델 (216) (도 8a) 의 예시이다. 모델 (218) 은 필터 (202 및 208) 의 조합된 인덕턴스를 나타내는 인덕터 Lfilter를 포함한다. 모델 (218) 은 필터 (202 및 208) 의 유도된 (dircted) 조합된 커패시턴스를 나타내는 커패시터 Cfilter를 더 포함한다.
도 9는 RF 생성기 (220) 의 출력 (231) 에서 변수를 측정하도록 전압과 전류 프로브 (238) 를 사용하는 시스템 (236) 의 실시형태의 블록도이다. 출력 (231) 은 노드 N3 (도 1) 또는 노드 N5 (도 1) 의 예시이다. RF 생성기 (220) 는 x ㎒ 생성기 또는 y ㎒ 생성기 (도 1) 의 예시이다. 호스트 시스템 (130) 은 DSP (digital signal processor) (226) 에 대해 2개 이상의 상태를 갖는 디지털 펄싱 신호 (213) 를 생성하고 제공한다. 일 실시형태에서, 디지털 펄싱 신호 (213) 는 TTL (transistor-transistor logic) 신호이다. 상태들의 예시는 온 상태와 오프 상태, 1의 디지털 값을 갖는 상태 및 0의 디지털 값을 갖는 상태, 하이 상태 및 로우 상태 등을 포함한다.
또 다른 실시 형태에서, 호스트 시스템 (130) 을 대신하여, 클럭 오실레이터, 예컨대 크리스탈 오실레이터 등이, 디지털 펄싱 신호 (213) 와 비슷한 디지털 신호로 아날로그-디지털 변환기에 의해 변환되는 아날로그 클럭 신호를 생성하는데 사용된다.
디지털 펄싱 신호 (213) 는 DSP (226) 으로 보내진다. DSP (226) 는 디지털 펄싱 신호 (213) 를 수신하고, 디지털 펄싱 신호 (213) 의 상태를 식별한다. 예를 들어, DSP (226) 는 디지털 펄싱 신호 (213) 가 제 1 세트의 기간 동안 제 1 크기, 예컨대 1의 값, 하이 상태 크기 등을 갖는지, 제 2 세트의 기간 동안 제 2 크기, 예컨대 0의 값, 로우 상태 크기 등을 갖는지를 결정한다. DSP (226) 는 제 1 세트의 기간 동안 상태 S1 을 갖는지, 제 2 세트의 기간 동안 상태 S0 를 갖는지를 결정한다. 상태 S0의 예시는 로우 상태, 0의 값을 갖는 상태 및 오프 상태를 포함한다. 상태 S1의 예시는 하이 상태, 1의 값을 갖는 상태 및 온 상태를 포함한다. 또 다른 예시로서, DSP (226) 는, 디지털 펄싱 신호 (213) 의 크기가 제 1 세트의 기간 동안 미리-저장된 값보다 더 큰지, 디지털 펄싱 신호 (213) 의 상태 S0 동안의 크기가 제 2 세트의 기간 동안 미리-저장된 값보다 더 크지 않은지를 결정하도록 미리 저장된 값과 디지털 펄싱 신호 (213) 의 크기를 비교한다. 클럭 오실레이터가 사용된 실시형태에서, DSP (226) 는 클럭 오실레이터로부터 아날로그 클럭 신호를 수신하고, 디지털 형태로 아날로그 신호를 변환하고, 다음으로 2개의 상태 S0 및 S1 을 식별한다.
상태가 S1로 식별되는 경우, DSP (226) 는 파라미터 제어 (222) 로 전력 값 P1 및/또는 주파수 값 F1 을 제공한다. 또한, 상태가 S0로 식별되는 경우, DSP (226) 는 파라미터 제어 (224) 로 전력 값 P0 및/또는 주파수 값 F0 를 제공한다. 주파수를 튜닝하는데 사용되는 파라미터 제어의 예시는 AFT (auto frequency tuner) 이다.
파라미터 제어 (222), 파라미터 제어 (224) 및 DSP (226) 가 제어 시스템 (187) 의 부분들이라는 것이 주목되어야 한다. 예를 들어, 파라미터 제어 (222) 와 파라미터 제어 (224) 는 DSP (226) 에 의해 실행되는 컴퓨터 프로그램의 부분인 논리 블록, 예컨대 튜닝 루프 등이다. 일부의 실시형태에서, 컴퓨터 프로그램은 비-일시적인 컴퓨터-판독가능 매체 예컨대 저장 HU 내에 실장된다.
일 실시형태에서, 제어기, 예컨대 하드웨어 제어기, ASIC, PLD등이 파라미터 제어 대신에 사용된다. 예를 들어, 하드웨어 제어기는 파라미터 제어 (222) 대신에 사용되고 다른 하드웨어 제어기가 파라미터 제어 (224) 대신에 사용된다.
전력 값 P1 및/또는 주파수 값 F1을 수신하면, 파라미터 제어 (222) 는 전력 값 P1 및/또는 주파수 값 F1을 DAS (driver and amplifier system) (232) 의 구동기 (228) 로 제공한다. 구동기의 예시는 전력 구동기, 전류 구동기, 전압 구동기, 트랜지스터 등을 포함한다. 구동기 (228) 는 전력 값 P1 및/또는 주파수 값 F1을 갖는 RF 신호를 생성하고 RF 신호를 DAS (232) 의 증폭기 (230) 로 제공한다.
일 실시형태에서, 구동기 (228) 는 전력 값 P1의 함수인 구동 전력 값 및/또는 주파수 값 F1의 함수인 구동 주파수 값을 갖는 RF 신호를 생성한다. 예를 들어, 구동 전력 값은 전력 값 P1의 조금의 와트, 예컨대 1 내지 5 와트 등 내에 있으며, 구동 주파수 값은 주파수 값 F1 의 조금의 ㎐, 예컨대 1 내지 5 ㎐ 등 내에 있다.
증폭기 (230) 는 전력 값 P1 및/또는 주파수 값 F1을 갖는 RF 신호를 증폭시키며, 구동기 (228) 로부터 수신된 RF 신호에 대응하는 RF 신호 (215) 를 생성한다. 예를 들어, RF 신호 (215) 는 전력 값 P1보다 더 높은 전력량을 갖는다. 또 다른 예시로서, RF 신호 (215) 는 전력 값 P1의 양과 동일한 양을 가진다. RF 신호 (215) 는 케이블 (223) 과 임피던스 매칭 회로 (114) 를 통해 ESC (117) (도 1) 로 전송된다.
케이블 (223) 은 케이블 (150) 또는 케이블 (152) (도 1) 의 예시이다. 예를 들어, RF 생성기 (220) 가 x ㎒ RF 생성기 (도 1) 의 예시인 경우, 케이블 (223) 은 케이블 (150) 의 예시이며, RF 생성기 (220) 가 y ㎒ RF 생성기 (도 1) 인 경우, 케이블 (223) 은 케이블 (152) 의 예시이다.
전력 값 P1 및/또는 주파수 값 F1 은 파라미터 제어 (222) 에 의해 DAS (232) 로 제공되며, RF 신호 (215) 가 생성되고, 전압과 전류 프로브 (238) 는 케이블 (223) 과 커플링된 출력 (231) 에서 변수의 값을 측정한다. 전압과 전류 프로브 (238) 는 전압과 전류 프로브 (110) 또는 전압과 전류 프로브 (111) (도 1) 의 예시이다. 전압과 전류 프로브 (238) 는 본 명세서에서 설명된 방법 (102) (도 2) 및 방법 (340, 351, 및 363) (도 13, 15 및 17) 을 호스트 시스템 (130) 이 실행하도록 호스트 시스템 (130) 으로 통신 디바이스 (233) 를 통해 변수의 값을 보낸다. 통신 디바이스 (233) 는 통신 디바이스 (185 또는 189) (도 1) 의 예시이다. 통신 디바이스 (233) 는, 전압과 전류 프로브 (238) 로부터 호스트 시스템 (130) 으로 데이터를 전송하도록, 프로토콜, 예컨대 이더넷, EtherCAT, USB, 직렬, 병렬, 패킷화, 역패킷화 등을 적용한다. 다양한 실시형태에서, 호스트 시스템 (130) 은 통신 디바이스 (233) 에 의해 적용되는 프로토콜을 적용하는 통신 디바이스를 포함한다. 예를 들어, 통신 디바이스 (233) 가 패킷화를 적용하는 경우, 호스트 시스템 (130) 의 통신 디바이스는 역패킷화를 적용한다. 또 다른 예시로서, 통신 디바이스 (233) 가 직렬 전송 프로토콜을 적용하는 경우, 호스트 시스템 (130) 의 통신 디바이스는 직렬 전송 프로토콜을 적용한다.
유사하게, 전력 값 P0 및/또는 주파수 값 F0를 수신하면, 파라미터 제어 (224) 는 구동기 (228) 로 전력 값 P0 및/또는 주파수 값 F0를 제공한다. 구동기 (228) 는 전력 값 P0 및/또는 주파수 값 F0을 갖는 RF 신호를 생성하고, RF 신호를 증폭기 (230) 로 제공한다.
일 실시형태에서, 구동기 (228) 는 전력 값 P0의 함수인 구동 전력 값 및/또는 주파수 값 F0의 함수인 구동 주파수 값을 갖는 RF 신호를 생성한다. 예를 들어, 구동 전력 값은 전력 값 P0의 조금의, 예컨대 1 내지 5 와트 내에 있으며, 구동 주파수 값은 주파수 값 F0의 조금의, 예컨대 1 내지 5 ㎐ 내에 있다.
증폭기 (230) 는 전력 값 P0 및/또는 주파수 값 F0를 갖는 RF 신호를 증폭시키고, 구동기 (228) 로부터 수신된 RF 신호에 대응하는 RF 신호 (221) 를 생성한다. 예를 들어, RF 신호 (221) 는 전력 값 P0보다 더 높은 전력량을 갖는다. 다른 예시로서, RF 신호 (221) 는 전력 값 P0와 동일한 전력량을 갖는다. RF 신호 (221) 는 케이블 (223) 과 임피던스 매칭 회로 (114) 를 통해 ESC (177) (도 1) 로 전송된다.
전력 값 P0 및/또는 주파수 값 F0이 파라미터 제어 (224) 에 의해 DAS (232) 로 제공되고, RF 신호 (221) 가 생성되는 경우, 전압과 전류 프로브 (238) 는 출력 (231) 에서의 변수의 값을 측정한다. 전압과 전류 프로브 (238) 는 호스트 시스템 (130) 이 방법 (102) (도 2), 방법 (340) (도 13), 방법 (351) (도 15), 또는 방법 (363) (도 17) 을 실행하도록 변수의 값을 호스트 시스템 (130) 으로 보낸다.
일 실시형태에서, 전압과 전류 프로브 (238) 는 DSP (226) 로부터 디커플링된다는 것이 주목되어야 한다. 일부의 실시형태에서, 전압과 전류 프로브 (238) 는 DSP (226) 로 커플링된다. 상태 S1 동안 생성된 RF 신호 (215) 와 상태 S0 동안 생성된 RF 신호 (221) 가 조합된 RF 신호의 부분이라는 것이 또한 주목되어야 한다. 예를 들어, RF 신호 (215) 는, 조합된 RF 신호의 다른 부분인 RF 신호 (221) 보다 더 높은 전력량을 갖는 조합된 RF 신호의 부분이다.
도 10은 전압과 전류 프로브 (238) 와 통신 디바이스 (233) 가 RF 생성기 (220) 외부에 위치되는 시스템 (250) 의 실시형태의 블록도이다. 도 1 에서, 전압과 전류 프로브 (110) 는 x ㎒ RF 생성기의 출력에서 변수를 측정하도록 x ㎒ RF 생성기 내에 위치된다. 전압과 전류 프로브 (238) 는 RF 생성기 (220) 의 출력 (231) 에서 변수를 측정하도록 RF 생성기 (220) 외부에 위치된다. 전압과 전류 프로브 (238) 는 RF 생성기 (220) 의 출력 (231) 과 연관, 예컨대 커플링된다.
도 11은 도 1의 시스템 (126) 을 사용하여 결정된 변수의 값이 사용된 시스템 (128) 의 실시형태의 블록도이다. 시스템 (128) 은 m ㎒ RF 생성기, n ㎒ RF 생성기, 임피던스 매칭 회로 (115), RF 전송선 (287) 및 플라즈마 챔버 (134) 를 포함한다. 플라즈마 챔버 (134) 는 플라즈마 챔버 (175) 와 유사할 수도 있다.
일 실시형태에서, 도 1의 x ㎒ RF 생성기는 m ㎒ RF 생성기와 유사하고, 도 1의 y ㎒ RF 생성기는 n ㎒ RF 생성기와 유사하다. 예시로서, x ㎒는 m ㎒와 동일하고, y ㎒는 n ㎒와 동일하다. 다른 예시로서, x ㎒ 생성기와 m ㎒ 생성기는 유사한 주파수를 가지고, y ㎒ 생성기와 n ㎒ 생성기는 유사한 주파수를 가진다. 유사한 주파수의 예시는 x ㎒가 m ㎒ 주파수의 윈도우, 예컨대 ㎑ 또는 ㎐ 내에 있는 경우이다. 일부의 실시형태에서, 도 1의 x ㎒ RF 생성기는 m ㎒ RF 생성기와 유사하지 않고, 도 1의 y ㎒ RF 생성기는 n ㎒ RF 생성기와 유사하지 않다.
다양한 실시형태에서, x ㎒ 및 y ㎒ RF 생성기 각각에서 사용되는 것과 상이한 종류의 센서가 m ㎒ 및 n ㎒ RF 생성기 각각에서 사용된다는 것이 또한 주목되어야 한다. 예를 들어, NIST 표준을 따르지 않는 센서가 m ㎒ RF 생성기에서 사용된다. 다른 예시로서, 오직 전압만 측정하는 전압 센서가 m ㎒ RF 생성기에서 사용된다.
일 실시형태에서, 임피던스 매칭 회로 (115) 가 임피던스 매칭 회로 (114) (도 1) 와 유사하다는 점이 또한 주목되어야 한다. 예를 들어, 임피던스 매칭 회로 (114) 의 임피던스는 임피던스 매칭 회로 (115) 의 임피던스와 동일하다. 다른 예시로서, 임피던스 매칭 회로 (115) 의 임피던스는 임피던스 매칭 회로 (114) 의 임피던스의 윈도우, 예컨대 10-20% 내에 있다. 일부의 실시형태에서, 임피던스 매칭 회로 (115) 는 임피던스 매칭 회로 (114) 와 유사하지 않다.
임피던스 매칭 회로 (115) 는, 회로 (115) 와 커플링된 부하의 임피던스와, 임피던스 매칭 회로 (115) 와 커플링된 전력 소스의 임피던스를 매칭시키기 위해, 전기적 컴포넌트, 예컨대 인덕터, 커패시터 등을 포함한다. 예를 들어, 임피던스 매칭 회로 (115) 는, 임피던스 매칭 회로 (115) 와 커플링된 소스, 예컨대 m ㎒ RF 생성기, n ㎒ RF 생성기 및 m과 n ㎒ RF 생성기를 임피던스 매칭 회로 (115) 와 커플링하는 케이블 등의 조합의 임피던스와, 부하, 예컨대 플라즈마 챔버 (134) 와 RF 전송선 (287) 의 조합 등의 임피던스를 매칭시킨다.
일 실시형태에서, RF 전송선 (287) 이 RF 전송선 (113) (도 1) 과 유사하다는 것이 주목되어야 한다. 예를 들어, RF 전송선 (287) 의 임피던스는 RF 전송선 (113) 의 임피던스와 동일하다. 다른 예시로서, RF 전송선 (287) 의 임피던스는 RF 전송선 (113) 의 임피던스의 윈도우, 예컨대 10-20% 내에 있다. 다양한 실시형태에서, RF 전송선 (287) 은 RF 전송선 (113) 과 유사하지 않다.
플라즈마 챔버 (134) 는 ESC (192), 상부 전극 (264), 및 다른 파트들 (미도시), 예컨대 상부 전극 (264) 을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 상부 전극 확장부, ESC (192) 의 하부 전극을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 확장부, 상부 플라즈마 배제 구역 (PEZ) 링, 하부 PEZ 링 등을 포함한다. 상부 전극 (264) 은 ESC (192) 반대에 위치하고, ESC (192) 에 대향한다. 워크 피스 (262), 예컨대 반도체 웨이퍼 등은 ESC (192) 의 상면 (263) 상에서 지지된다. 상부 전극 (264) 과 ESC (192) 의 하부 전극 각각은 금속, 예컨대 알루미늄, 알루미늄 합금, 구리 등으로 만들어진다.
일 실시형태에서, 상부 전극 (264) 은 중앙 가스 피드 (미도시) 와 커플링된 홀을 포함한다. 중앙 가스 피드는 가스 공급기 (미도시) 로부터 하나 이상의 처리 가스를 받는다. 상부 전극 (264) 은 접지된다. ESC (192) 는 임피던스 매칭 회로 (115) 를 통해 m ㎒ RF 생성기와 n ㎒ RF 생성기로 커플링된다.
처리 가스가 상부 전극 (264) 과 ESC (192) 사이에서 공급되고, m ㎒ RF 생성기 및/또는 n ㎒ RF 생성기가 ESC (192) 로 임피던스 매칭 회로 (115) 를 통해 전력을 공급하는 경우, 처리 가스는 플라즈마 챔버 (134) 내에서 플라즈마를 생성하도록 점화된다.
시스템 (128) 은, RF 전송선 (287) 상에서의 지점에서 또는 ESC (192) 에서 임피던스 매칭 회로 (115) 의 출력 (283) 에서 변수를 측정하기 위한 프로브, 예컨대 계측 툴, 전압과 전류 프로브, 전압 프로브 등이 결핍된다. 모델 노드 N1m, N2m, N4m 및 N6m에서의 변수의 값은 시스템 (128) 이 원하는데로 기능하는지를 결정하는데 사용된다.
다양한 실시형태에서, 시스템 (128) 은 웨이퍼 바이어스 센서, 예컨대 인-시츄 직류 (DC) 프로브 픽-업 핀 및 ESC (192) 에서의 웨이퍼 바이어스를 측정하는데 사용되는 관련된 하드웨어가 결핍된다. 웨이퍼 바이어스 센서와 관련된 하드웨어를 사용하지 않는 것은 비용을 절감시킨다.
일 실시형태에서, 시스템 (128) 은 임피던스 매칭 회로와 커플링된 임의의 숫자의 RF 생성기를 포함한다는 것이 주목되어야 한다.
도 12a, 도 12b 및 도 12c는, 방법 (102) (도 2) 을 사용하여 결정된 대응하는 모델 노드 출력, 예컨대 노드 N4m에서의 전압 프로브 및 전압, 예컨대 피크 전압 등을 사용하여, 시스템 (126) (도 1) 내에서 임피던스 매칭 회로 (114) (도 1) 의 출력, 예컨대 노드 N4에서 측정된 전압, 예컨대 RMS (root mean square) 전압, 피크 전압 등 사이의 상관관계를 도시하는 그래프 (268, 272 및 275) 의 실시형태의 도면이다. 또한, 도 12a, 도 12b 및 도 12c는, 방법 (102) (도 2) 을 사용하여 결정된 대응하는 출력, 예컨대 노드 N4m에서의 전류 프로브 및 전류, 예컨대 RMS 전류 등을 사용함으로써 시스템 (126) (도 1) 의 출력, 예컨대 노드 N4에서 측정된 전류, 예컨대 RMS 전류 등 사이의 상관관계를 도시하는 그래프 (270, 274 및 277) 의 실시형태의 도면이다.
방법 (102) 을 사용하여 결정된 전압은 그래프 (268, 272 및 275) 각각에서의 x-축 상에 나타내어지며, 전압 프로브로 측정된 전압은 그래프 (268, 272 및 275) 각각에서 y-축 상에서 나타내어진다. 유사하게, 방법 (102) 을 사용하여 결정된 전류는 그래프 (270, 274 및 277) 각각에서의 x-축 상에 나타내어지며, 전류 프로브로 측정된 전류는 그래프 (270, 227 및 277) 각각에서의 y-축 상에 나타내어진다.
x ㎒ RF 생성기가 온이고 y ㎒ RF 생성기와 z ㎒ RF 생성기, 예컨대 60 ㎒ RF 생성기가 오프인 경우, 전압은 그래프 (268) 에서 나타내어진다. 또한, y ㎒ RF 생성기가 온이고, x 및 z ㎒ RF 생성기가 오프인 경우, 전압은 그래프 (272) 에서 나타내어진다. 또한, z ㎒ RF 생성기가 온이고, x 및 y ㎒ RF 생성기가 오프인 경우, 전압은 그래프 (275) 에서 나타내어진다.
유사하게, x ㎒ RF 생성기가 온이고, y ㎒ RF 생성기 및 z ㎒ RF 생성기가 오프인 경우, 전류는 그래프 (270) 에서 나타내어진다. 또한, y ㎒ RF 생성기가 온이고, x 및 z ㎒ RF 생성기가 오프인 경우 전류는 그래프 (274) 에서 나타내어진다. 또한, z ㎒ RF 생성기가 온이고, x 및 y ㎒ RF 생성기가 오프인 경우, 전류는 그래프 (277) 에서 나타내어진다.
그래프 (268, 272 및 275) 에서, 그래프의 y-축 상에 나타내어진 전압과 그래프의 x-축 상에 나타내어진 전압 사이에 대략적으로 선형 상관관계가 존재한다는 것을 알 수 있다. 유사하게, 그래프 (270, 274 및 277) 에서, 그래프의 y-축 상에 나타내어진 전류와 그래프의 x-축 상에 나타내어진 전류 사이에 대략적으로 선형 상관관계가 존재한다는 것을 알 수 있다.
도 13은 플라즈마 시스템 (126) (도 1) 의 모델 노드, 예컨대, 모델 노드 N4m, 모델 노드 N1m, 모델 노드 N2m, 모델 노드 N6m 등에서 웨이퍼 바이어스를 결정하는 방법 (340) 의 실시형태의 흐름도이다. 일부의 실시형태에서 웨이퍼 바이어스가 플라즈마 챔버 (175) (도 1) 내에서 생성된 플라즈마에 의해 생성된 직류 (DC) 전압이라는 것이 주목되어야 한다. 이런 실시형태에서, 웨이퍼 바이어스는 ESC (177) (도 1) 의 표면, 예컨대 상면 (183) 상에, 및/또는 워크 피스 (131) (도 1) 의 표면, 예컨대 상면 상에 존재한다.
모델 노드 N1m 및 N2m이 RF 전송 모델 (161) (도 1) 상에 있으며, 모델 노드 N6m 이 ESC 모델 (125) (도 1) 상에 있다는 것이 주목되어야 한다. 방법 (340) 은 호스트 시스템 (130) (도 1) 의 프로세서에 의해 실행된다. 방법 (340) 에서 동작 (106) 이 수행된다.
또한, 동작 (341) 에서 대응하는 하나 이상의 디바이스, 예컨대, 임피던스 매칭 회로 (114), RF 전송선 (113), ESC (177), 이들의 조합 등의 하나 이상의 모델들, 예컨대 임피던스 매칭 모델 (104), RF 전송 모델 (161), ESC 모델 (125) (도 1), 이들의 조합이 생성된다. 예를 들어, ESC 모델 (125) 은 ESC (177) (도 1) 의 특성과 유사한 특성으로 생성된다.
동작 (343) 에서, 동작 (106) 에서 식별된 복소 전압과 전류는, 하나 이상의 모델의 출력에서 복소 전압과 전류를 결정하도록 하나 이상의 모델의 하나 이상의 엘리먼트를 통해 전파된다. 예를 들어, 제 2 복소 전압과 전류는 제 1 복소 전압과 전류로부터 결정된다. 또 다른 예시로서, 제 2 복소 전압과 전류는 제 1 복소 전압과 전류로부터 결정되고, 제 3 복소 전압과 전류는 제 2 복소 전압과 전류로부터 결정된다. 또 다른 예시로서, 제 2 복소 전압과 전류는 제 1 복소 전압과 전류로부터 결정되고, 제 3 복소 전압과 복소 전류는 제 2 복소 전압과 전류로부터 결정되고, 제 3 복소 전압과 전류는 모델 노드 N2m에서 제 4 복소 전압과 전류를 결정하도록 RF 전송 모델 (161) (도 1) 의 부분 (197) 을 통해 전파된다. 이 예시에서, 제 4 복소 전압과 전류는 부분 (197) 의 엘리먼트의 임피던스를 통해 제 3 복소 전압과 전류를 전파함으로써 결정된다. 또 다른 예시로서, RF 전송 모델 (161) 은, RF 전송 모델 (161) 을 따르는 전기적 노드, 예컨대 모델 노드 N1m, 모델 노드 N2m 등으로 하나 이상의 RF 생성기의 하나 이상의 출력에서 측정된 복소 전압과 전류를 전환 (translate) 하도록 호스트 시스템 (130) 의 프로세서에 의해 실행되는 대수적 전달 함수를 제공한다.
동작 (343) 의 다른 예시로서, 제 2 복소 전압과 전류는 제 1 복소 전압과 전류로부터 결정되고, 제 3 복소 전압과 전류는 제 2 복소 전압과 전류로부터 결정되고, 제 4 복소 전압과 전류는 제 3 복소 전압과 전류로부터 결정되고, 제 4 복소 전압과 전류는 모델 노드 N6m에서 제 5 복소 전압과 전류를 결정하도록 ESC 모델 (125) 을 통해 전파된다. 일 예시에서, 제 5 복소 전압과 전류는 ESC 모델 (125) 의 엘리먼트, 예컨대 커패시터, 인덕터 등의 임피던스를 통해 제 4 복소 전압과 전류를 전파함으로써 결정된다.
동작 (342) 에서, 웨이퍼 바이어스는 출력에서의 복소 전압과 복소 전류의 전압 크기, 출력에서의 복소 전압과 전류의 전류 크기 및 출력에서의 복소 전압과 전류의 전력 크기에 기초하여 하나 이상의 모델의 출력에서 결정된다. 예를 들어, 웨이퍼 바이어스는 제 2 복소 전압과 전류의 전압 크기, 제 2 복소 전압과 전류의 전류 크기 및 제 2 복소 전압과 전류의 전력 크기에 기초하여 결정된다. 보다 자세한 도시를 위해, x ㎒ RF 생성기가 온이고 y ㎒ 및 z ㎒ RF 생성기가 오프인 경우, 호스트 시스템 (130) (도 1) 의 프로세서는 제 1 곱, 제 2 곱, 제 3 곱, 및 상수의 합으로, 모델 노드 N4m (도 1)에서 웨이퍼 바이어스를 결정한다. 이 도시에서, 제 1 곱은 제 1 계수와 제 2 복소 전압과 전류의 전압 크기의 곱이고, 제 2 곱은 제 2 계수와 제 2 복소 전압과 전류의 전류 크기의 곱이고, 제 3 곱은 제 3 계수의 제곱근과 제 2 복소 전압과 전류의 전력 크기의 제곱근의 곱이다.
예시로서, 전력 크기는 순방향 전력과 반사 (reflected) 전력 사이의 차이로 호스트 시스템 (130) 의 프로세서에 의해 결정된 전달된 전력의 전력 크기이다. 순방향 전력은 플라즈마 챔버 (175) (도 1) 로 시스템 (126) (도 1) 의 하나 이상의 RF 생성기에 의해 공급되는 전력이다. 반사 전력은 플라즈마 챔버 (175) 로부터 시스템 (126) (도 1) 의 하나 이상의 RF 생성기로 반사된 전력이다. 예시로서, 복소 전압과 전류의 전력 크기는 복소 전압과 전류의 전류 크기와 복소 전압과 전류의 전압 크기의 곱으로 호스트 시스템 (130) 의 프로세서에 의해 결정된다. 또한, 웨이퍼 바이어스를 결정하는데 사용되는 상수와 계수 각각은 양수 또는 음수이다. 웨이퍼 바이어스의 결정의 다른 예시로서, x ㎒ RF 생성기가 온이고, y 및 z ㎒ RF 생성기가 오프인 경우, 모델 노드에서의 웨이퍼 바이어스는 ax*Vx + bx*Ix +cx*sqrt(Px) + dx로 나타내지고, "ax" 는 제 1 계수, "bx"는 제 2 계수, "dx"는 상수, "Vx"는 모델 노드에서의 복소 전압과 전류의 전압 크기이고, "Ix"는 모델 노드에서의 복소 전압과 전류의 전류 크기이고, 그리고 "Px"는 모델 노드에서의 복소 전압과 전류의 전력 크기이다. "sqrt"는 호스트 시스템 (130) 의 프로세서에 의해 수행되는 제곱근 연산이라는 것이 주목되어야 한다. 일부의 실시형태에서, 전력 크기 Px는 전류 크기 Ix와 전압 크기 Vx의 곱이다.
다양한 실시형태에서, 웨이퍼 바이어스를 결정하는데 사용된 계수는 예측 방법 (projection method) 에 기초하여 호스트 시스템 (130) (도 1) 의 프로세서에 의해 결정된다. 예측 방법에서, 웨이퍼 바이어스 센서, 예컨대 웨이퍼 바이어스 핀 등은 처음으로 ESC (177) 의 표면, 예컨대 상면 (183) (도 1) 등 상에서 웨이퍼 바이어스를 측정한다. 또한, 예측 방법에서, 전압 크기, 전류 크기 및 전력 크기는 RF 생성기의 출력에서 측정된 복소 전압과 전류에 기초하여 플라즈마 시스템 (126) 내 모델 노드에서 결정된다. 예를 들어, 노드 N3 (도 1) 에서 처음 측정된 복소 전압과 전류는, 모델 노드에서 복소 전압과 전류를 처음으로 결정하도록, 모델 노드, 예컨대 모델 노드 N4m, 모델 노드 N1m, 모델 노드 N2m, 또는 모델 노드 N6m (도 1) 등으로 호스트 시스템 (130) 의 프로세서에 의해 전파된다. 전압 크기와 전류 크기는 모델 노드에서 복소 전압과 전류로부터 호스트 시스템 (130) 의 프로세서에 의해 처음으로 추출된다. 또한, 전력 크기는 전류 크기와 전압 크기의 곱으로 호스트 시스템 (130) 의 프로세서에 의해 처음으로 산출된다.
유사하게, 예시에서, 복소 전압과 전류는 한번 이상 추가적으로 노드 N3에서 측정되고, 측정된 복소 전압과 전류는 모델 노드, 예컨대 모델 노드 N4m, 모델 노드 N1m, 모델 노드 N2m, 모델 노드 N6m, 등에서 복소 전압과 전류를 결정하도록 한 번 이상 추가적으로 전파된다. 또한, 한번 이상 추가적으로, 전압 크기, 전류 크기, 전력 크기는 한번 이상 추가적으로 결정된 복소 전압과 전류로부터 추출된다. 수학적 함수, 예컨대 부분 최소 자승법, 선형회귀 등이 호스트 시스템 (130) 의 프로세서에 의해 계수 ax, bx, cx 및 상수 dx를 결정하도록 처음으로 및 한번 이상 추가적으로 획득된 측정된 웨이퍼 바이어스, 전압 크기, 전류 크기 및 전력 크기에 적용된다.
동작 (342) 의 다른 실시예로서, y ㎒ RF 생성기가 온이고, x 및 z ㎒ RF 생성기가 오프인 경우, 웨이퍼 바이어스는 ay*Vy + by*Iy + cy*sqrt(Py) + dy로 결정되고, "ay"는 계수, "by"는 계수, "dy"는 상수, "Vy"는 제 2 복소 전압과 전류의 전압 크기, "Iy"는 제 2 복소 전압과 전류의 전류 크기, 그리고 "Py"는 제 2 복소 전압과 전류의 전력 크기이다. 전력 크기 Py는 전류 크기 Iy와 전압 크기 Vy의 곱이다. 동작 (342) 의 또 다른 예시로서, z ㎒ RF 생성기가 온이고, x 및 y ㎒ RF 생성기가 오프인 경우, 웨이퍼 바이어스는 az*Vz + bz*Iz + cz*sqrt(Pz) + dz로 결정되며, 여기서, "az"는 계수, "bz"는 계수, "dz"는 상수, "Vz"는 제 2 복소 전압과 전류의 전압 크기, "Iz"는 제 2 복소 전압과 전류의 전류 크기, 그리고 "Pz"는 제 2 복소 전압과 전류의 전력 크기이다. 전력 크기 Pz는 전류 크기 Iz와 전압 크기 Vz의 곱이다.
동작 (342) 의 다른 예시로서, x 및 y ㎒ RF 생성기가 온이고, z ㎒ RF 생성기가 오프인 경우, 웨이퍼 바이어스는 제 1 곱, 제 2 곱, 제 3 곱, 제 4 곱, 제 5 곱, 제 6 곱 및 상수의 합으로 결정된다. 제 1 곱은 제 1 계수와 전압 크기 Vx의 곱이고, 제 2 곱은 제 2 계수와 전류 크기 Ix의 곱이고, 제 3 곱은 제 3 계수와 전력 크기 Px의 제곱근의 곱이고, 제 4 곱은 제 4 계수와 전압 크기 Vy의 곱이고, 제 5 곱은 제 5 계수와 전류 크기 Iy의 곱이고, 제 6 곱은 제 6 계수와 전력 크기 Py의 제곱근의 곱이다. x 및 y ㎒ RF 생성기가 온이고 z ㎒ RF 생성기가 오프인 경우, 웨이퍼 바이어스는 axy*Vx + bxy*Ix + cxy*sqrt(Px) + dxy*Vy + exy*Iy + fxy*sqrt(Py) + gxy로 나타내지며, 여기서 "axy", "bxy", "cxy", "dxy", "exy", "fxy", "dxy", "exy", 및 "fxy" 는 계수이고, "gxy"는 상수이다.
동작 (342) 의 다른 예시로서, y 및 z ㎒ RF 생성기가 온이고, x ㎒ RF 생성기 오프인 경우, 웨이퍼 바이어스는 ayz*Vy + byz*Iy + cyz*sqrt(Py) + dyz*Vz + eyz*Iz + fyz*sqrt(Pz) + gyz로 결정되고, 여기서 "ayz", "byz", "cyz", "dyz", "eyz", 및 "fyz"는 계수이고, "gyz"는 상수이다. 동작 (342) 의 또 다른 예시로서, x 및 z ㎒ RF 생성기가 온이고, y ㎒ RF 생성기가 오프인 경우, 웨이퍼 바이어스는 axz*Vx + bxz*Ix + cxz*sqrt(Px) + dxz*Vz + exz*Iz + fxz*sqrt(Pz) + gxz로 결정되고, 여기서 "axz", "bxz", "cxz", "dxz", "exz", 및 "fxz"는 계수이고 "gxz"는 상수이다.
동작 (342) 의 또 다른 예시로서, x, y 및 z ㎒ RF 생성기가 온인 경우, 웨이퍼 바이어스는 제 1 곱, 제 2 곱, 제 3 곱, 제 4 곱, 제 5 곱, 제 6 곱, 제 7 곱, 제 8 곱, 제 9 곱 및 상수의 합으로 결정된다. 제 1 곱은 제 1 계수와 전압 크기 Vx의 곱이고, 제 2 곱은 제 2 계수와 전류 크기 Ix의 곱이고, 제 3 곱은 제 3 계수와 전력 크기 Px의 제곱근의 곱이고, 제 4 곱은 제 4 계수와 전압 크기 Vy의 곱이고, 제 5 곱은 제 5 계수와 전류 크기 Iy의 곱이고, 제 6 곱은 제 6 계수와 전력 크기 Py의 제곱근의 곱이고, 제 7 곱은 제 7 계수와 전압 크기 Vz의 곱이고, 제 8 곱은 제 8 계수와 전류 크기 Iz의 곱이고, 제 9 곱은 제 9 계수와 전력 크기 Pz의 제곱근의 곱이다. x, y 및 z ㎒ RF 생성기가 온인 경우, 웨이퍼 바이어스는 axyz*Vx + bxyz*Ix + cxyz*sqrt(Px) + dxyz*Vy + exyz*Iy + fxyz*sqrt(Py) + gxyz*Vz + hxyz*Iz + ixyz*sqrt(Pz) + jxyz로 나타내고, 여기서 "axyz", "bxyz", "cxyz", "dxyz", "exyz", "fxyz", "gxyz", "hxyz", 및 "ixyz"는 계수이고, "jxyz"는 상수이다.
하나 이상의 모델의 출력에서 웨이퍼 바이어스의 결정의 다른 예시로서, 모델 노드 N1m에서 웨이퍼 바이어스는 모델 노드 N1m에서 결정된 전압과 전류 크기에 기초하여 호스트 시스템 (130) 의 프로세서에 의해 결정된다. 구체적인 도시를 위해, 제 2 복소 전압과 전류는 모델 노드 N1m에서 복소 전압과 전류를 결정하도록 부분 (173) (도 1) 을 따라 전파된다. 복소 전압과 전류는, 제 1 복소 전압과 전류로부터 제 2 복소 전압과 전류를 결정하는 방식과 유사한 방식으로 제 2 복소 전압과 전류로부터 모델 노드 N1m에서 결정된다. 예를 들어, 제 2 복소 전압과 전류는 모델 노드 N1m에서의 복소 전압과 전류를 결정하도록 부분 (173)의 엘리먼트의 특성에 기초하여 부분 (173) 을 따라 전파된다.
모델 노드 N1m에서 결정된 복소 전압과 전류에 기초하여, 웨이퍼 바이어스는 호스트 시스템 (130) 의 프로세서에 의해 모델 노드 N1m에서 결정된다. 예를 들어, 웨이퍼 바이어스는, 제 2 복소 전압과 전류로부터 모델 노드 N4m에서 웨이퍼 바이어스를 결정하는 방식과 유사한 방식으로 모델 노드 N1m에서의 복소 전압과 전류로부터 모델 노드 N1m에서 결정된다. 도시를 위해, x ㎒ RF 생성기가 온이고, y ㎒ 및 z ㎒ RF 생성기가 오프인 경우, 호스트 시스템 (130) (도 1) 의 프로세서는 제 1 곱, 제 2 곱, 제 3 곱 및 상수의 합으로 모델 노드 N1m에서 웨이퍼 바이어스를 결정한다. 이 예시에서, 제 1 곱은 제 1 계수와 모델 노드 N1m에서의 복소 잔압과 전류의 전압 크기의 곱, 제 2 곱은 제 2 계수와 모델 노드 N1m에서의 복소 전압과 전류의 전류 크기의 곱, 제 3 곱은 제 3 계수의 제곱근과 모델 노드 N1m에서의 복소 전압과 전류의 전력 크기의 제곱근의 곱이다. x ㎒ RF 생성기가 온이고, y 및 z ㎒ RF 생성기가 오프인 경우, 모델 노드 N1m에서의 웨이퍼 바이어스는 ax*Vx + bx*Ix + cx*sqrt(Px) + dx로 나타내지고, 여기서 ax는 제 1 계수, bx는 제 2 계수, cx는 제 3 계수, dx는 상수이고, Vx는 모델 노드 N1m에서의 전압 크기, Ix는 모델 노드 N1m에서의 전류 크기이고, Px는 모델 노드 N1m에서의 전력 크기이다.
유사하게, 모델 노드 N1m에서의 복소 전압과 전류에 기초하여, 그리고 x, y 및 z ㎒ RF 생성기 중 어느 것이 온인지에 기초하여, 웨이퍼 바이어스 ay*Vy + by*Iy + cy*sqrt(Py) + dy, az*Vz + bz*Iz + cz*sqrt(Pz) + dz, axy*Vx + bxy*Ix + cxy*sqrt(Px) + dxy*Vy + exy*Iy + fxy*sqrt(Py) + gxy, axz*Vx + bxz*Ix + cxz*sqrt(Px) + dxz*Vz + exz*Iz + fxz*sqrt(Pz) + gxz, ayz*Vy + byz*Iy + cyz*sqrt(Py) + dyz*Vz + eyz*Iz + fyz*sqrt(Pz) + gyz, 및 axyz*Vx + bxyz*Ix + cxyz*sqrt(Px) + dxyz*Vy + exyz*Iy + fxyz*sqrt(Py) + gxyz*Vz + hxyz*Iz + ixyz*sqrt(Pz) + jxyz가 결정된다.
하나 이상의 모델의 출력에서 웨이퍼 바이어스의 결정의 또 다른 예시로서, 모델 노드 N2m에서의 웨이퍼 바이어스는, 모델 노드 N1m에서 결정된 전압과 전류 크기에 기초하여 모델 노드 N1m에서의 웨이퍼 바이어스를 결정하는 방식과 유사한 방식으로, 모델 노드 N2m에서 결정된 전압과 전류 크기에 기초하여 호스트 시스템 (130) 의 프로세서에 의해 결정된다. 더 구체적으로 도시하기 위해, 웨이퍼 바이어스 ax*Vx + bx*Ix + cx*sqrt(Px) + dx, ay*Vy + by*Iy + cy*sqrt(Py) + dy, az*Vz + bz*Iz + cz*sqrt(Pz) + dz, axy*Vx + bxy*Ix + cxy*sqrt(Px) + dxy*Vy + exy*Iy + fxy*sqrt(Py) + gxy, axz*Vx + bxz*Ix + cxz*sqrt(Px) + dxz*Vz + exz*Iz + fxz*sqrt(Pz) + gxz, ayz*Vy + byz*Iy + cyz*sqrt(Py) + dyz*Vz + eyz*Iz + fyz*sqrt(Pz) + gyz, 및 axyz*Vx + bxyz*Ix + cxyz*sqrt(Px) + dxyz*Vy + exyz*Iy + fxyz*sqrt(Py) + gxyz*Vz + hxyz*Iz + ixyz*sqrt(Pz) + jxyz가 모델 노드 N2m에서 결정된다.
하나 이상의 모델에서 웨이퍼 바이어스의 결정의 다른 예시로서, 모델 노드 N6m에서 웨이퍼 바이어스는, 모델 노드 N2m에서 결정된 전압과 전류 크기에 기초하여 모델 노드 N2m에서의 웨이퍼 바이어스를 결정하는 방식과 유사한 방식으로 모델 노드 N6m에서 결정된 전압과 전류 크기에 기초하여 호스트 시스템 (130) 의 프로세서에 의해 결정된다. 더 구체적으로 도시하기 위해, 웨이퍼 바이어스 ax*Vx + bx*Ix + cx*sqrt(Px) + dx, ay*Vy + by*Iy + cy*sqrt(Py) + dy, az*Vz + bz*Iz + cz*sqrt(Pz) + dz, axy*Vx + bxy*Ix + cxy*sqrt(Px) + dxy*Vy + exy*Iy + fxy*sqrt(Py) + gxy, axz*Vx + bxz*Ix + cxz*sqrt(Px) + dxz*Vz + exz*Iz + fxz*sqrt(Pz) + gxz, ayz*Vy + byz*Iy + cyz*sqrt(Py) + dyz*Vz + eyz*Iz + fyz*sqrt(Pz) + gyz, 및 axyz*Vx + bxyz*Ix + cxyz*sqrt(Px) + dxyz*Vy + exyz*Iy + fxyz*sqrt(Py) + gxyz*Vz + hxyz*Iz + ixyz*sqrt(Pz) + jxyz가 모델 노드 N6m에서 결정된다.
일부의 실시형태에서, 웨이퍼 바이어스는 저장 HU (162) (도 1) 내에 저장된다.
도 14는 호스트 시스템 (130) (도 1) 내에서 구현되는 웨이퍼 바이어스 생성기 (345) 의 실시형태를 도시하는 상태도이다. x, y 및 z ㎒ RF 생성기가 오프인 경우, 웨이퍼 바이어스는 모델 노드, 예컨대 모델 노드 N4m, N1m, N2m, N6m (도 1) 등에서 제로 또는 최소이다. x, y 또는 z ㎒ RF 생성기가 온이고 x, y 및 z ㎒ RF 생성기의 나머지가 오프인 경우, 웨이퍼 바이어스 생성기 (345) 는 제 1 곱 a*V, 제 2 곱 b*I, 제 3 곱 c*sqrt(P) 및 상수 d의 합으로 모델 노드, 예컨대 N4m, N1m, N2m, N6m 등에서 웨이퍼 바이어스를 결정하며, 여기서 V는 모델 노드에서의 복소 전압과 전류의 전압 크기이고, I는 복소 전압과 전류의 전류 크기이고, P는 복소 전압과 전류의 전력 크기이고, a는 계수이고, b는 계수이고, c는 계수이고, d는 상수이다. 다양한 실시형태에서, 모델 노드에서의 전력 크기는 모델 노드에서의 전류 크기와 모델 노드에서의 전압 크기의 곱이다. 일부의 실시형태에서, 전력 크기는 전달된 전력의 크기이다.
x, y 및 z ㎒ RF 생성기 중 2개가 온이고, x, y 및 z ㎒ RF 생성기 중 나머지가 오프인 경우, 웨이퍼 바이어스 생성기 (345) 는, 제 1 곱 a12*V1, 제 2 곱 b12*I1, 제 3 곱 c12*sqrt(P1), 제 4 곱 d12*V2, 제 5 곱 e12*I12, 제 6 곱 f12*sqrt(P2) 및 상수 g12의 합으로, 모델 노드, 예컨대 모델 노드 N4m, N1m, N2m, N6m 등에서 웨이퍼 바이어스를 결정하며, 여기서, "V1"은 온이된 첫번째 RF 생성기의 출력에서 측정된 전압을 전파함으로써 결정된 모델 노드에서의 복소 전압과 전류의 전압 크기이고, "I1"은 온이된 첫번째 RF 생성기의 출력에서 측정된 전류를 전파함으로써 결정된 모델 노드에서의 복소 전압과 전류의 전류 크기이고, "P1"은 V1과 I1의 곱으로 결정된 복소 전압과 전류의 전력 크기이며, "V2"는 온이된 두번째 RF 생성기의 출력에서 측정된 전압을 전파함으로써 결정된 모델 노드에서의 복소 전압과 전류의 전압 크기이며, "I2"는 온이된 두번째 RF 생성기의 출력에서 측정된 전류를 전파함으로써 측정된 모델 노드에서의 복소 전압과 전류의 전류 크기이며, "P2"는 V2와 I2의 곱으로 결정된 전력 크기이며, "a12", "b12", "c12", "d12", "e12" 및 "f12"는 계수이며, "g12"는 상수이다.
x, y 및 z ㎒ RF 생성기 모두가 온인 경우, 웨이퍼 바이어스 생성기 (345) 는, 제 1 곱 a123*V1, 제 2 곱 b123*I1, 제 3 곱 c123*sqrt(P1), 제 4 곱 d123*V2, 제 5 곱 e123*I2, 제 6 곱 f123*sqrt(P2), 제 7 곱 g123*V3, 제 8 곱 h123*I3, 제 9 곱 i123*sqrt(P3), 및 상수 j123의 합으로, 모델 노드, 예컨대 모델 노드 N4m, N1m, N2m, N6m 등에서 웨이퍼 바이어스를 결정하며, 여기서 "V1"은 제 1 RF 생성기의 출력에서 측정된 전압을 전파함으로써 결정된 모델 노드에서의 복소 전압과 전류의 전압 크기이며, "I1"은 제 1 RF 생성기의 출력에서 측정된 전류를 전파함으로써 결정된 복소 전압과 전류의 전류 크기이며, "P1"은 V1과 I1의 곱으로 결정된 복소 전압과 전류의 전력 크기이며, "V2"는 제 2 RF 생성기의 출력에서 측정된 전압을 전파함으로써 결정된 모델 노드에서의 복소 전압과 전류의 전압 크기이며, "I2"는 제 2 RF 생성기의 출력에서 측정된 전류를 전파함으로써 결정된 복소 전압과 전류의 전류 크기이며, "P2"는 V2와 I2의 곱으로 결정된 복소 전압과 전류의 전력 크기이며, "V3"은 제 3 RF 생성기의 출력에서 측정된 전압을 전파함으로써 결정된 모델 노드에서의 복소 전압과 전류의 전압 크기이며, "I3"은 제 3 RF 생성기의 출력에서 측정된 전류를 전파함으로써 결정된 복소 전압과 전류의 전류 크기이며, "P3"은 V3과 I3의 곱으로 결정된 복소 전압과 전류의 전력 크기이며, "a123", "b123", "c123", "d123", "e123", "f123", "g123", "h123", 및 "i123"는 계수이며, "j123"는 상수이다.
도 15는 ESC 모델 (125) (도 16)과 모델 노드 N4m (도 16) 사이의 경로 (353) (도 16) 를 따르는 지점에서 웨이퍼 바이어스를 결정하는 방법 (351) 의 실시형태의 흐름도이다. 도 15는 모델의 출력에서 웨이퍼 바이어스를 결정하기 위한 시스템 (355) 의 실시형태의 블록도인 도 16을 참조하여 설명된다.
동작 (357) 에서, x, y 및 z ㎒ RF 생성기의 출력은 생성기 출력 복소 전압 및 전류를 식별하도록 검출된다. 예를 들어, 전압과 전류 프로브 (110) (도 1) 는 노드 N3 (도 1) 에서의 복소 전압과 전류를 측정한다. 본 예시에서, 복소 전압과 전류는 저장 HU (162) (도 10) 내에서의 저장을 위해 호스트 시스템 (130) (도 1) 에 의해 통신 디바이스 (185) (도 1) 를 통해 전압과 전류 프로브 (110) 로부터 수신된다. 또한, 본 예시에서, 호스트 시스템 (130) 의 프로세서는 저장 HU (162) 로부터 복소 전압과 전류를 식별한다.
동작 (359) 에서, 호스트 시스템 (130) 의 프로세서는 모델 노드 N4m과 모델 노드 N6m 사이의 경로 (353) 를 따르는 지점에서 예측된 복소 전압과 전류를 결정하도록 생성기 출력 복소 전압과 전류를 사용한다. 경로 (353) 는 모델 노드 N4m으로부터 모델 노드 N6m으로 연장된다. 예를 들어, 제 5 복소 전압과 전류는 x ㎒ RF 생성기, y ㎒ RF 생성기, 또는 z ㎒ RF 생성기의 출력에서 측정된 복소 전압과 전류로부터 결정된다. 다른 예시로서, 노드 N3 또는 노드 N5에서 측정된 복소 전압과 전류는 모델 노드 N4m (도 1) 에서의 복소 전압과 전류를 결정하도록 임피던스 매칭 모델 (104) 을 통해 전파된다. 본 예시에서, 모델 노드 N4m에서의 복소 전압과 전류는, 경로 (353) 상의 지점에서 복소 전압과 전류를 결정하도록 ESC 모델 (125) (도 16) 의 하나 이상의 엘리먼트를 통해 및/또는 RF 전송 모델 (161) (도 16) 의 하나 이상의 엘리먼트를 통해 전파된다.
동작 (361) 에서, 호스트 시스템 (130) 의 프로세서는 ESC 모델 (125) (도 15) 의 노드 N6m에서 웨이퍼 바이어스 값으로 예측된 복소 전압과 전류를 매핑하기 위한 함수로, 경로 (353) 상의 지점에서 결정된 예측된 복소 전압과 전류를 입력으로서 적용한다. 예를 들어, x, y 또는 z ㎒ RF 생성기가 온인 경우, 모델 노드 N6m에서의 웨이퍼 바이어스는 제 1 곱 a*V, 제 2 곱 b*I, 제 3 곱 c*sqrt(P) 및 상수 d의 합으로 결정되며, 여기서 V는 모델 노드 N6m에서의 예측된 복소 전압과 전류의 전압 크기이며, I는 모델 노드 N6m에서의 예측된 복소 전압과 전류의 전류 크기이고, P는 모델 노드 N6m에서의 예측된 복소 전압과 전류의 전력 크기이며, a, b 및 c는 계수이고, d는 상수이다.
다른 예시로서, x, y 및 z ㎒ RF 생성기 중 2개가 온이고, x, y 및 z ㎒ RF 생성기 중 나머지가 오프인 경우, 모델 노드 N6m에서의 웨이퍼 바이어스는 제 1 곱 a12*V1, 제 2 곱 b12*I1, 제 3 곱 c12*sqrt(P1), 제 4 곱 d12*V2, 제 5 곱 e12*I2, 제 6 곱 f12*sqrt(P2) 및 상수 g12의 합으로 결정되며, 여기서 V1은 온인 2개의 RF 생성기 중 제 1 RF 생성기가 온인 결과로서 모델 노드 N6m에서의 전압 크기이고, I1은 제 1 RF 생성기가 온인 결과로서 모델 노드 N6m에서의 전류 크기이고, P1은 제 1 RF 생성기가 온인 결과로서 모델 노드 N6m에서의 전력 크기이고, V2는 2개의 RF 생성기 중 제 2 RF 생성기가 온인 결과로서 모델 노드 N6m에서의 전압 크기이고, I2는 제 2 RF 생성기가 온인 결과로서 모델 노드 N6m에서의 전류 크기이고, P2는 제 2 RF 생성기가 온인 결과로서 모델 노드 N6m에서의 전력 크기이며, a12, b12, c12, d12, e12 및 f12는 계수이고, g12는 상수이다.
또 다른 예시로서, x, y 및 z ㎒ RF 생성기 모두가 온인 경우, 모델 노드 N6m에서의 웨이퍼 바이어스는 제 1 곱 a123*V1, 제 2 곱 b123*I1, 제 3 곱 c123*sqrt(P1), 제 4 곱 d123*V2, 제 5 곱 e123*I2, 제 6 곱 f123*sqrt(P2), 제 7 곱 g123*V3, 제 8 곱 h123*I3, 제 9 곱 i123*sqrt(P3) 및 상수 j123의 합으로 결정되며, 여기서 V1, I1, P1, V2, I2 및 P2는 이전의 예시에서 전술되며, V3은 RF 생성기 중 제 3 RF 생성기가 온인 결과로서, 모델 노드 N6m에서의 전압 크기이고, I3은 제 3 RF 생성기가 온인 결과로서, 모델 노드 N6m에서의 전류 크기이고, P3은 제 3 RF 생성기가 온인 결과로서, 모델 노드 N6m에서의 전력 크기이고, a123, b123, c123, d123, e123, f123, g123, h123, 및 i123은 계수이고, j123은 상수이다.
다른 예시로서, 웨이퍼 바이어스를 결정하는데 사용되는 함수는 특성화된 값과 상수의 합이다. 특성화된 값은 예컨대 크기 V, I, P, V1, I1, P1, V2, I2, P2, V3, I3, P3 등을 포함한다. 또한, 특성화된 값은 계수, 예컨대 계수 a, b,c, a12, b12, c12, d12, e12, f12, a123, b123, c123, d123, e123, f123, g123, h123, i123 등을 포함한다. 상수의 예시는 상수 d, 상수 g12, 상수 j123 등을 포함한다.
특성화된 값의 계수와 특성화된 값의 상수가 경험적 모델링 데이터를 통합한다는 것이 주목되어야 한다. 예를 들어, 웨이퍼 바이어스는 웨이퍼 바이어스 센서를 사용하여 ESC (177) (도 1) 에서 복수회 측정된다. 또한, 본 예시에서, 웨이퍼 바이어스가 측정된 횟수만큼, 경로 (353) (도 16) 를 따르는 지점에서의 복소 전압과 전류는, 경로 (353) (도 16) 상의 지점에 도달하기 위해, 하나 이상의 모델들, 예컨대 임피던스 매칭 모델 (104), 모델 부분 (173), RF 전송 모델 (161), ESC 모델 (125) (도 1) 을 통해 하나 이상의 RF 생성기, 예컨대 x ㎒ RF 생성기, y ㎒ RF 생성기, z ㎒ RF 생성기의 하나 이상의 노드들, 예컨대 노드 N3, N5 등으로부터 복소 전압과 전류를 전파함으로써 결정된다. 또한, 본 예시에서, 통계적인 방법, 예컨대 부분 최소 자승법, 회귀법 등은, 특성화된 값의 계수와 특성화된 값의 상수를 결정하도록, 지점에서의 복소 전압과 전류로부터 추출된 전압 크기, 전류 크기 및 전력 크기와 측정된 웨이퍼 바이어스로 호스트 시스템 (130) 의 프로세서에 의해 적용된다.
다양한 실시형태에서, 웨이퍼 바이어스를 결정하는데 사용된 함수는 경로 (353) 의 물리적 속성을 나타내는 값들의 합산에 의해 특징화된다. 경로 (353) 의 물리적 속성은 테스트 데이터, 예컨대 경험적 모델링 데이터 등으로부터 도출된 값들이다. 경로 (353) 의 물리적 속성의 예시는 경로 (353) 상의 엘리먼트의 커패시턴스, 인덕턴스, 이들의 조합 등을 포함한다. 전술된 바와 같이, 경로 (353) 을 따르는 엘리먼트의 커패시턴스 및/또는 인덕턴스는 경로 (353) 상의 지점에서 예측 방법을 사용하여 경험적으로 결정된 전압과 전류에 영향을 주며, 결과적으로 특성화된 값의 상수와 특징화된 값의 계수에 영향을 준다.
일부의 실시형태에서, 웨이퍼 바이어스를 결정하는데 사용된 함수는 다항식이다.
도 17은 시스템 (126) (도 1) 의 모델 노드에서 웨이퍼 바이어스를 결정하는 방법 (363) 의 실시형태의 흐름도이다. 도 17은 도 1 및 도 16을 참조하여 설명된다. 방법 (363) 은 호스트 시스템 (130) (도 1) 의 프로세서에 의해 실행된다. 동작 (365) 에서, 하나 이상의 복소 전압과 전류는, 하나 이상의 x ㎒ RF 생성기, y ㎒ RF 생성기 및 z ㎒ RF 생성기를 포함하는 생성기 시스템의 하나 이상의 통신 디바이스로부터 호스트 시스템 (130) 에 의해 수신된다. 예를 들어, 노드 N3에서 측정된 복소 전압과 전류는 통신 디바이스 (185) (도 1) 로부터 수신된다. 다른 예시로서, 노드 N5에서 측정된 복소 전압과 전류는 통신 디바이스 (189) (도 1) 로부터 수신된다. 또 다른 예시로서, 노드 N3에서 측정된 복소 전압과 전류 및 노드 N5에서 측정된 복소 전압과 전류가 수신된다. 생성기 시스템의 출력은 z ㎒ RF 생성기의 출력 노드, 노드 N3, 및 N5 중 하나 이상을 포함한다는 것을 주목해야 한다.
동작 (367) 에서 생성기 시스템의 출력에서의 하나 이상의 복소 전압과 전류에 기초하여, 예측된 복소 전압과 전류는 임피던스 매칭 모델 (104) 과 ESC 모델 (125) (도 16) 사이의 경로 (353) (도 16) 를 따르는, 예컨대 경로 (353) 상에서의 지점에서 결정된다. 예를 들어, 생성기 시스템의 출력에서의 복소 전압과 전류는 모델 노드 N4m에서 복소 전압과 전류를 결정하도록 임피던스 매칭 모델 (104) (도 16) 을 통해 예측된다. 다른 예시로서, 생성기 시스템의 출력에서의 복소 전압과 전류는 모델 노드 N1m (도 1) 에서의 복소 전압과 전류를 결정하도록 RF 전송 모델 (161) 의 부분 (173) (도 1) 과 임피던스 매칭 모델 (104) 을 통해 예측된다. 또 다른 예시로서, 생성기 시스템의 출력에서의 복소 전압과 전류는 모델 노드 N2m (도 1) 에서 복소 전압과 전류를 결정하도록 RF 전송 모델 (161) 과 임피던스 매칭 모델 (104) 을 통해 예측된다. 다른 예시로서, 생성기 시스템의 출력에서의 복소 전압과 전류는 모델 노드 N6m (도 1) 에서 복소 전압과 전류를 결정하도록 임피던스 매칭 모델 (104), RF 전송 모델 (161) 및 ESC 모델 (125) 을 통해 예측된다.
동작 (369) 에서, 웨이퍼 바이어스는 예측된 복소 V&I를 함수로의 입력으로서 사용함으로써 경로 (353) 를 따르는 지점에서 산출된다. 예를 들어, x, y 또는 z ㎒ RF 생성기가 온이고, x, y 및 z ㎒ RF 생성기의 나머지가 오프인 경우, 지점에서의 웨이퍼 바이어스는 제 1 곱 a*V, 제 2 곱 b*I, 제 3 곱 c*sqrt(P) 및 상수 d의 합과 같은 함수로부터 결정되며, 여기서 V는 지점에서의 예측된 복소 전압과 전류의 전압 크기이며, I는 지점에서의 예측된 복소 전압과 전류의 전류 크기이고, P는 지점에서의 예측된 복소 전압과 전류의 전력 크기이며, a, b 및 c는 계수이고, d는 상수이다.
다른 예시로서, x, y 및 z ㎒ RF 생성기 중 2개가 온이고, x, y 및 z ㎒ RF 생성기 중 나머지가 오프인 경우, 지점에서의 웨이퍼 바이어스는 제 1 곱 a12*V1, 제 2 곱 b12*I1, 제 3 곱 c12*sqrt(P1), 제 4 곱 d12*V2, 제 5 곱 e12*I2, 제 6 곱 f12*sqrt(P2) 및 상수 g12의 합으로 결정되며, 여기서 V1은 온인 2개의 RF 생성기 중 제 1 RF 생성기가 온인 결과로서 지점에서의 전압 크기이고, I1은 제 1 RF 생성기가 온인 결과로서 지점에서의 전류 크기이고, P1은 제 1 RF 생성기가 온인 결과로서 지점에서의 전력 크기이고, V2는 2개의 RF 생성기 중 제 2 RF 생성기가 온인 결과로서 지점에서의 전압 크기이고, I2는 제 2 RF 생성기가 온인 결과로서 지점에서의 전류 크기이고, P2는 제 2 RF 생성기가 온인 결과로서 지점에서의 전력 크기이며, a12, b12, c12, d12, e12 및 f12는 계수이고, g12는 상수이다.
또 다른 예시로서, x, y 및 z ㎒ RF 생성기 모두가 온인 경우, 지점에서의 웨이퍼 바이어스는 제 1 곱 a123*V1, 제 2 곱 b123*I1, 제 3 곱 c123*sqrt(P1), 제 4 곱 d123*V2, 제 5 곱 e123*I2, 제 6 곱 f123*sqrt(P2), 제 7 곱 g123*V3, 제 8 곱 h123*I3, 제 9 곱 i123*sqrt(P3) 및 상수 j123의 합으로 결정되며, 여기서 V1, I1, P1, V2, I2 및 P2는 이전의 예시에서 전술되며, V3은 RF 생성기 중 제 3 RF 생성기가 온인 결과로서, 지점에서의 전압 크기이고, I3은 제 3 RF 생성기가 온인 결과로서, 지점에서의 전류 크기이고, P3은 제 3 RF 생성기가 온인 결과로서, 지점에서의 전력 크기이고, a123, b123, c123, d123, e123, f123, g123, h123, 및 i123은 계수이고, j123은 상수이다.
도 18은 전압 프로브 (332), 예컨대 전압 센서 등을 사용하는 것을 대신하여 방법 (340) (도 13), 방법 (351) (도 15) 또는 방법 (363) (도 17) 을 사용함으로써 웨이퍼 바이어스를 결정하는 것의 이점을 도시하는데 사용된 시스템 (330) 의 실시형태의 블록도이다.
전압 프로브 (332) 는 노드 N1에서 전압을 결정하도록 커플링된다. 일부의 실시형태에서, 전압 프로브 (332) 는 다른 노드에서 전압을 결정하도록 다른 노드, 예컨대 노드 N2, N4 등과 커플링된다. 전압 프로브 (332) 는 다중의 회로, 예컨대 RF 스플리터 회로, 필터 회로 1, 필터 회로 2, 필터 회로 3 등을 포함한다.
또한, x 및 y ㎒ RF 생성기는 잡음 또는 신호 결정 모듈 (336) 을 포함하는 호스트 시스템 (334) 과 커플링된다. 모듈이 프로세서, ASIC, PLD, 프로세서에 의해 실행된 소프트웨어 또는 이들의 조합일 수도 있다는 것이 주목되어야 한다.
전압 프로브 (332) 는 웨이퍼 바이어스를 결정하도록 호스트 시스템 (334) 에 의해 사용된 전압 크기를 측정한다. 모듈 (336) 은 전압 프로브 (332) 에 의해 측정된 전압 크기가 신호인지 잡음인지를 결정한다. 전압 프로브 (332) 에 의해 측정된 전압 크기가 신호인 것으로 결정되면, 호스트 시스템 (334) 은 웨이퍼 바이어스를 결정한다.
시스템 (126) (도 1) 은 시스템 (330) 과 비교하여 비용 효율이 높고, 시스템 (330) 과 비교하여 시간과 노력을 절감시킨다. 시스템 (330) 은 시스템 (126) 에서 포함될 필요가 없는 전압 프로브 (332) 를 포함한다. 웨이퍼 바이어스를 결정하기 위해 시스템 (126) 의 노드 N4, N1 또는 N2에서 전압 프로브가 결합될 필요가 없다. 시스템 (126) 에서, 웨이퍼 바이어스는 임피던스 매칭 모델 (104), RF 전송 모델 (161) 및/또는 ESC 모델 (125) (도 1) 에 기초하여 결정된다. 또한, 시스템 (330) 은 시스템 (126) 에 포함될 필요가 또한 없는 모듈 (336) 을 포함한다. 복소 전압과 전류가 신호인지 잡음인지를 결정하는데 시간과 노력을 소비할 필요가 없다. 이러한 결정은 호스트 시스템 (130) (도 1) 이 할 필요가 없다.
도 19a, 도 19b 및 도 19c는 방법 (102) (도 2) 를 사용하여 결정된 대응하는 모델 노드 출력, 예컨대 노드 N1m에서 전압 프로브 및 전압, 예컨대 피크 전압 등을 사용함으로써, 부분 (195) (도 1) 의 출력, 예컨대 노드 N1에서 측정된 전압, 예컨대 피크 전압 등 사이의 상관관계, 예컨대 선형 상관관계 등을 도시하기 위한 그래프 (328, 333 및 337) 의 그래프의 실시형태를 도시한다. 그래프 (328, 333 및 337) 에서, 측정된 전압은 y-축에 나타내어지고, 방법 (102) 을 사용하여 결정된 전압은 x-축 상에 나타내어진다.
또한, 도 19a, 도 19b 및 도 19c는 방법 (340) (도 13), 방법 (351) (도 15) 또는 방법 (363) (도 17)을 사용하여 결정된 대응하는 모델 노드 출력, 예컨대 노드 N6m에서의 웨이퍼 바이어스와 웨이퍼 바이어스 프로브를 사용함으로써, 출력 N6 (도 1) 에서 측정된 웨이퍼 바이어스 사이의 상관관계, 예컨대 선형 상관관계 등을 도시하기 위한 그래프 (331, 335 및 338) 의 실시형태를 도시한다. 그래프 (331, 335 및 338) 각각에서, 웨이퍼 바이어스 프로브를 사용하여 결정된 웨이퍼 바이어스는 y-축에 나타내어지고, 방법 (340), 방법 (351) 또는 방법 (363) 을 사용하여 결정된 웨이퍼 바이어스는 x-축 상에 나타내어진다.
y ㎒ 및 z ㎒ RF 생성기가 온이고, x ㎒ RF 생성기가 오프인 경우, 전압과 웨이퍼 바이어스는 그래프 (328 및 331) 에 나타내어진다. 또한, x ㎒ 및 z ㎒ RF 생성기가 온이고, y ㎒ RF 생성기가 오프인 경우, 전압과 웨이퍼 바이어스는 그래프 (333 및 335) 에 나타내어진다. 또한, x ㎒ 및 y ㎒ RF 생성기가 온이고, z ㎒ RF 생성기가 오프인 경우, 전압은 그래프 (337, 338) 에 나타내어진다.
도 20a는 센서 툴, 예컨대 계측 툴, 프로브, 센서, 웨이퍼 바이어스 프로브 등을 사용하여 측정된 와이어드 (wired) 된 웨이퍼 바이어스, 방법 (340) (도 13), 방법 (351) (도 15) 또는 방법 (363) (도 17) 을 사용하여 결정된 모델 웨이퍼 바이어스, 및 모델 바이어스에서의 에러 사이의 상관관계가 있다는 것을 도시하기 위한 그래프 (276 및 278) 의 실시형태의 도면이다. 그래프에서 나타내어진 와이어드된 웨이퍼 바이어스는 지점, 예컨대 RF 전송선 (113) 상의 노드, ESC (177) 의 상면 (183) (도 1) 상의 노드 등에서 측정되며, 그래프 (276) 에서 나타내어지는 모델 바이어스는 경로 (353) (도 16) 상에서 대응하는 모델 지점, 예컨대 모델 노드 N4m, 모델 노드 N1m, 모델 노드 N2m, 모델 노드 N6m 등 (도 1) 에서 결정된다. 와이어드된 웨이퍼 바이어스는 그래프 (276) 에서 y-축을 따라 나타내어지며, 모델 바이어스는 그래프 (276) 에서 x-축을 따라 나타내어진다.
와이어드된 웨이퍼 바이어스와 모델 바이어스는, x ㎒ RF 생성기가 온이고, y 및 z ㎒ RF 생성기가 오프인 경우, 그래프 (276) 에서 나타내어진다. 또한, 그래프 (276) 의 모델 바이어스는 식 a2*V2 + b2*I2 + c2*sqrt(P2) + d2을 사용하여 결정되고, 여기서 "*"은 승산을 나타내고, "sqrt"는 제곱근을 나타내고, "V2"는 경로 (353) (도 16) 를 따르는 지점에서의 전압을 나타내고, I2는 지점에서의 전류를 나타내고, P2는 지점에서의 전력을 나타내고, "a2"는 계수이고, "b2"는 계수이고, "c2"는 계수이고, "d2"는 상수 값이다.
그래프 (278) 는 y-축 상에 지점에서의 모델 바이어스에서 에러인 에러를 나타내고, x-축 상에서 지점에서의 모델 바이어스를 나타낸다. 모델 에러는 모델 바이어스에서의 에러, 예컨대 분산, 표준 편차 등이다. 모델 에러와 모델 바이어스는, x ㎒ RF 생성기가 온이고, y 및 z ㎒ RF 생성기가 오프인 경우, 그래프 (278) 에서 나타내어진다.
도 20b는 와이어드된 웨이퍼 바이어스, 방법 (340) (도 13), 방법 (351) (도 15) 또는 방법 (363) (도 17) 을 사용하여 결정된 모델 바이어스, 및 모델 바이어스에서의 에러 사이에 상관관계가 있다는 것을 도시하기 위한 그래프 (280 및 282) 의 실시형태의 도면이다. 그래프 (280 및 282) 는, y ㎒ RF 생성기가 온이고, x 및 z ㎒ RF 생성기가 오프인 경우에서 그래프 (280 및 282) 가 나타내어진 것을 제외하고 그래프 (276 및 278) (도 20a) 와 유사한 방법으로 나타내어진다. 또한, 그래프 (280 및 282) 의 모델 바이어스는 식 a27*V27 + b27*I27 + c27*sqrt(P27) + d27을 사용하여 결정되고, 여기서 "V27"은 경로 (353) (도 16) 를 따르는 지점에서의 전압 크기를 나타내고, "I27"은 지점에서의 전류 크기를 나타내고, "P27"은 지점에서의 전력 크기를 나타내고, "a27"은 계수이고, "b27"은 계수이고, "c27"은 계수이고, "d27"은 상수 값이다.
도 20c는 와이어드된 웨이퍼 바이어스, 방법 (340) (도 13), 방법 (351) (도 15) 또는 방법 (363) (도 17) 을 사용하여 결정된 모델 바이어스, 및 모델 바이어스에서의 에러 사이에 상관관계가 있다는 것을 도시하기 위한 그래프 (284 및 286) 의 실시형태의 도면이다. 그래프 (284 및 286) 는, z ㎒ RF 생성기가 온이고, x 및 y ㎒ RF 생성기가 오프인 경우에서 그래프 (284 및 286) 가 나타내어진 것을 제외하고 그래프 (276 및 278) (도 20a) 와 유사한 방법으로 나타내어진다. 또한, 그래프 (284 및 286) 의 모델 바이어스는 식 a60*V60 + b60*I60 + c60*sqrt(P60) + d60을 사용하여 결정되고, 여기서 "V60"은 경로 (353) (도 16) 를 따르는 지점에서의 전압 크기를 나타내고, "I60"은 지점에서의 전류 크기를 나타내고, "P60"은 지점에서의 전력 크기를 나타내고, "a60"은 계수이고, "b60"은 계수이고, "c60"은 계수이고, "d60"은 상수 값이다.
도 20d는 와이어드된 웨이퍼 바이어스, 방법 (340) (도 13), 방법 (351) (도 15) 또는 방법 (363) (도 17) 을 사용하여 결정된 모델 바이어스, 및 모델 바이어스에서의 에러 사이에 상관관계가 있다는 것을 도시하기 위한 그래프 (288 및 290) 의 실시형태의 도면이다. 그래프 (288 및 290) 는, x 및 y ㎒ RF 생성기가 온이고, z ㎒ RF 생성기가 오프인 경우에서 그래프 (288 및 290) 가 나타내어진 것을 제외하고 그래프 (276 및 278) (도 20a) 와 유사한 방법으로 나타내어진다. 또한, 그래프 (288 및 290) 의 모델 바이어스는 식 a227*V2 + b227*I2 + c227*sqrt(P2) + d227*V27 + e227*I27 + f227*sqrt(P27) + g227을 사용하여 결정되고, 여기서 "a227", "b227", "c227", "d227", "e227" 및 "f227"은 계수이고, "g227"은 상수 값이다.
도 20e는 와이어드된 웨이퍼 바이어스, 방법 (340) (도 13), 방법 (351) (도 15) 또는 방법 (363) (도 17) 을 사용하여 결정된 모델 바이어스, 및 모델 바이어스에서의 에러 사이에 상관관계가 있다는 것을 도시하기 위한 그래프 (292 및 294) 의 실시형태의 도면이다. 그래프 (292 및 294) 는, x 및 z ㎒ RF 생성기가 온이고, y ㎒ RF 생성기가 오프인 경우에서 그래프 (292 및 294) 가 나타내어진 것을 제외하고 그래프 (276 및 278) (도 20a) 와 유사한 방법으로 나타내어진다. 또한, 그래프 (292 및 294) 의 모델 바이어스는 식 a260*V2 + b260*I2 + c260*sqrt(P2) + d260*V60 + e260*I60 + f260*sqrt(P60) + g260을 사용하여 결정되고, 여기서, "a260", "b260" "c260", "d260", "e260" 및 "f260"은 계수이고, "g260"은 상수 값이다.
도 20f는 와이어드된 웨이퍼 바이어스, 방법 (340) (도 13), 방법 (351) (도 15) 또는 방법 (363) (도 17) 을 사용하여 결정된 모델 바이어스, 및 모델 바이어스에서의 에러 사이에 상관관계가 있다는 것을 도시하기 위한 그래프 (296 및 298) 의 실시형태의 도면이다. 그래프 (296 및 298) 는, y 및 z ㎒ RF 생성기가 온이고, x ㎒ RF 생성기가 오프인 경우에서 그래프 (296 및 298) 가 나타내어진 것을 제외하고 그래프 (276 및 278) (도 20a) 와 유사한 방법으로 나타내어진다. 또한, 그래프 (296 및 298) 의 모델 바이어스는 식 a2760*V27 + b2760*I27 + c2760*sqrt(P27) + d2760*V60 + e2760*I60 + f2760*sqrt(P60) + g2760을 사용하여 결정되고, 여기서, "a2760", "b2760" "c2760", "d2760", "e2760" 및 "f2760"은 계수이고, "g2760"은 상수 값이다.
도 20g는 와이어드된 웨이퍼 바이어스, 방법 (340) (도 13), 방법 (351) (도 15) 또는 방법 (363) (도 17) 을 사용하여 결정된 모델 바이어스, 및 모델 바이어스에서의 에러 사이에 상관관계가 있다는 것을 도시하기 위한 그래프 (303 및 305) 의 실시형태의 도면이다. 그래프 (303 및 305) 는, x, y 및 z ㎒ RF 생성기가 온인 경우에서 그래프 (303 및 305) 가 나타내어진 것을 제외하고 그래프 (276 및 278) (도 20a) 와 유사한 방법으로 나타내어진다. 또한, 그래프 (303 및 305) 의 모델 바이어스는 식 a22760*V2 + b22760*I2 + c22760*sqrt(P2) + d22760*V60 + e22760*I60 + f22760*sqrt(P60) + g22760*V27 + h22760*I27 + i22760*sqrt(P27) + j22760을 사용하여 결정되고, 여기서, "a22760", "b22760", "c22760", "d22760", "e22760", "f22760" "g22760", "h22760" 및 "i22760"은 계수이고, "j22760"은 상수 값이다.
도 21은 호스트 시스템 (130) 의 실시형태의 블록도이다. 호스트 시스템 (130) 은 프로세서 (168), 저장 HU (162), 입력 HU (380), 출력 HU (382), 입/출력 (I/O) 인터페이스 (384), I/O 인터페이스 (386), 네트워크 인터페이스 제어기 (NIC: network interface controller) (388), 및 버스 (392) 를 포함한다. 프로세서 (168), 저장 HU (162), 입력 HU (380), 출력 HU (382), I/O 인터페이스 (384), I/O 인터페이스 (386), 및 NIC (388) 은 버스 (392) 를 통해 서로 커플링된다. 입력 HU (380) 의 예시는 마우스, 키보드, 스타일러스 등을 포함한다. 출력 HU (382) 의 예시는 디스플레이, 스피커 또는 이들의 조합을 포함한다. 디스플레이는 액정 디스플레이, 발광 다이오드 (LED) 디스플레이, 브라운관, 플라즈마 디스플레이 등일 수도 있다. NIC (388) 의 예시는 네트워크 인터페이스 카드, 네트워크 아답터 등을 포함한다.
I/O 인터페이스의 예시는 인터페이스와 커플링된 하드웨어의 피스들 사이의 호환성을 제공하는 인터페이스를 포함한다. 예를 들어, I/O 인터페이스 (384) 는 입력 HU (380) 으로부터 수신된 신호를 버스 (392) 와 호환가능한 형태, 진폭, 및/또는 속도로 변환한다. 다른 예시로서, I/O 인터페이스 (386) 는 버스 (392) 로부터 수신된 신호는 출력 HU (382) 과 호환가능한 형태, 진폭, 및/또는 속도로 변환한다.
RF 전송 시스템 모델들을 사용하여 장애 위치 파악
RF 전송 시스템은 고장난 전기적 컴포넌트들 또는 고장나거나 유실된 물리적 컴포넌트들 (예를 들어, 접속부들, 부식부, 절연체들) 로 인해 장애를 경험한다. 그러나, RF 전송 시스템 내의 다른 컴포넌트들 또는 접속부들을 물리적으로 이동시키지 않고 고장난 컴포넌트 또는 접속을 구체적으로 식별하는 것은 종종 어렵다. 컴포넌트가 물리적으로 이동되거나 교체되고 또는 접속이 변경될 때마다, RF 전송 시스템 내에 부가적인 에러, 장애 또는 다른 변화가 도입될 가능성이 있다. 따라서, RF 전송 시스템 내에서 고장난 컴포넌트 또는 접속을 평가 및 액세스하는데 필요하지 않은 컴포넌트들 또는 접속들은 방해하지 않고 고장난 컴포넌트 또는 접속 위치를 식별할 수 있는 것이 중요하다.
상기에 기술된 바와 같이, RF 전송 시스템은 프로세스 챔버 시스템용 RF 전송 시스템을 위한 조정 레시피를 실행함으로써 정확하게 모델링될 수 있다. 도 22는 본 개시에 기술된 실시예에 따른 RF 전송 시스템 (2200) 의 블록도이다. RF 전송 시스템 (2200) 은 하나 이상의 RF 생성기들 (2202) 을 포함한다. RF 생성기들 (2202) 의 각각의 출력은 RF 피드 파트 1 (2204) (예를 들어 RF 터널) 에 의해 대응하는 매칭 회로들 (2206) 의 입력들에 커플링된다. 매칭 회로(들) (2206) 의 출력들은 RF 피드 파트 2 (2208) (예를 들어, RF 스트랩) 및 피드 파트 3 (2210) (예를 들어, 실린더형 RF 피드) 에 의해 프로세스 챔버 (2218) 내에 배치된 정전 척 (2220) 에 커플링된다. 테스트 프로브 (2240) 는 RF 생성기의 출력을 모니터링하기 위해 종종 RF 생성기 (2202) 의 출력에 연결된다.
제어기 (2222) 는 프로세스 챔버 (2218) 내에서 웨이퍼들을 처리하기 위한 조정 레시피 (2224A) 및 다른 레시피들 (2224B) 을 포함하는 레시피 로직 (2224) 을 포함한다. 제어기 (2222) 는 하나 이상의 RF 생성기들 (2202) 에 커플링되고 하나 이상의 RF 제어 신호들 각각을 RF 생성기 각각에 제공한다. 제어기 (2222) 는 또한 이하에 보다 상세히 설명되는 바와 같이, 고장 수리 레시피 로직 (2226) 을 포함할 수 있다.
도시된 바와 같이, RF 전송 시스템 (2200) 은 6 개의 스테이지들 (2230-2236) 로 분할된다. 6 개의 스테이지들 (2230-2236) 각각은 적어도 일부 예들에서, RF 전송 시스템 내에서의 테스트 지점 또는 개별 컴포넌트들 (예를 들어, RF 생성기 (2202), 매칭 회로 (2206), RF 피드부, 정전 척 (2220)) 에 대응한다. 대안적으로, 스테이지들 (2230-2236) 은 RF 생성기 (2202), 매칭 회로 (2206), RF 피드부, 정전 척 (2220) 중 하나 이상 내의 선택된 전기적 컴포넌트들에 대응할 수 있다.
도시된 바와 같이, 제 1 스테이지는 RF 생성기 (2202) 를 포함한다. 제 2 스테이지는 RF 생성기 (2202) 의 출력 (2230) 에서의 제 1 테스트 지점에서 시작하고 매칭 회로 (2206) 의 입력 (2231) 에서의 제 2 테스트 지점으로 연장하는 RF 피드 파트 1 (2204) (예를 들어, RF 터널) 을 포함한다. 내부 용량성 컴포넌트 및 유도성 컴포넌트를 포함하는 매칭 회로 (2206) 는 매칭 회로의 출력에서의 제 3 테스트 지점을 갖는 제 3 스테이지를 형성한다.
RF 전송 시스템 (2200) 의 제 4 스테이지는 매칭 회로 (2206) 의 출력 (2232) 에서 시작하고 RF 피드 파트 2 (2208) (예를 들어, RF 스트랩) 을 포함하고 RF 스트랩이 RF 피드부 피드 파트 3 (2210) (예를 들어, 실린더형 RF 피드) 에 연결되는, RF 피드부의 중간 지점에서의 제 4 테스트 지점 (2233) 으로 계속된다. 제 5 스테이지는 제 4 테스트 지점 (2233) 에서 시작하고 RF 피드부 피드 파트 3 (2210) (예를 들어, 원주형 RF 피드부) 을 포함하고 정전 척 (2220) 의 입력 (2234) 에서의 제 5 테스트 지점으로 계속된다. 정전 척 (2220) 및 그 내부의 컴포넌트들 및 구조체들은 정전 척의 상단 표면 (2236) 에서 끝나는 제 6 스테이지를 형성한다.
다양한 기구들이 웨이퍼 바이어스 전압과 같은 동적인 처리 파라미터들을 검출할 수 있다. RF 전송 시스템 (2200) 을 6 개의 스테이지들로 분할하는 것은 설명 목적을 위한 단순한 예시이고 RF 전송 시스템은 6 개의 스테이지들보다 많거나 보다 적게 분할될 수 있다는 것이 이해되어야 한다. 예시로서, 프로브 (2240) 는 RF 생성기 (2202) 의 출력을 모니터할 수 있고 측정된 출력을 제어기 (2222) 또는 외부 모니터링 시스템 (미도시) 과 같은 다른 소스들에 커플링할 수 있다.
도 23은 본 개시에 설명된 실시형태에 따른, RF 전송 시스템 (2200) 의 RF 전송 모델 (2300) 의 정확도 그래프이다. RF 전송 시스템 (2200) 의 RF 전송 모델 (2300) 은 상기에 기술된 바와 같이 산출된다. RF 전송 모델 (2300) 은 적합한 테스트 장비에 의해 실제로 측정될 수 있는 약간의 퍼센트 (예를 들어, 0 내지 약 +/- 3 %) 내로 스테이지들 (2230-2236) 각각의 출력들을 예측하기 위해 사용될 수 있다. 예시로서, 선 (2302) 은 조정 레시피 (2224A) 를 실행하고 시스템이 적절히 동작할 때 (예를 들어, 스테이지들 1-6 내에서 장애가 없음) 스테이지들 1-6 각각의 실제로 측정된 출력들의 그래프이다. 선 (2310) 은 RF 모델의 수용가능한 범위의 상한이고 선 (2312) 은 RF 모델의 수용가능한 범위의 하한이고 선 (2302) 은 스테이지 (2230-2236) 각각에서 공칭 예측된 값이다.
그래프의 수직 축은 복소 임피던스 (Zi) 이지만, 상기에 보다 상세히 논의된 바와 같이 전력, 전압, 및 전류와 같은 다른 파라미터들이 또한 테스트 지점 각각에서 검출되고/되거나 유도될 수 있다. RF 전송 모델 (2300) 은 스테이지 1의 출력에서 매우 정확하고, 모델의 정확도는 스테이지들의 수가 증가함에 따라 RF 전송 시스템 (2200) 내의 전기적 컴포넌트 및 물리적 컴포넌트의 수가 증가함에 따라 산출 모델의 복잡도가 스테이지 각각에서 증가하기 때문에 감소한다 (예를 들어, 선들 (2310 및 2312) 이 갈라짐 (diverge)).
RF 전송 시스템 (2200) 의 스테이지 내에서 고장이 발생하면 고장난 스테이지의 에러성 출력은 RF 전송 모델에 의해 예측된 출력으로부터 벗어날 것이다. 이어서 에러성 출력이 RF 모델을 통해 전파되기 때문에 에러성 출력은 후속 스테이지 각각에 의해 증폭된다.
RF 생성기 (2202) 는 약 1 %의 동작 편차를 갖는다. 이러한 1 % 동작 편차는 일반적으로 허용된 공칭 편차이다. 또한, RF 생성기 (2202) 의 출력은 RF 전송 경로 (2200) 의 임피던스 및 프로세스 챔버 (2218) 내의 플라즈마 (2218A) 에 따라 변한다. 예시로서, 프로세스 챔버 (2218) 내에서 플라즈마 프로세싱이 발생함에 따라 플라즈마 (2218A) 의 임피던스가 변한다. RF 생성기 (2202) 는 다운스트림 임피던스의 편차를 감지하고 이에 따라 출력을 조정한다. 따라서, RF 전송 경로 (2200) 의 RF 모델 (2300) 이 매우 정밀한 한편, 이는 모든 플라즈마 처리 시스템에 걸친 역학관계로 인해 편차가 허용된다.
RF 생성기 (2202) 의 출력의 동적인 편차는 공지되고 RF 생성기의 출력의 1 % 동작 오차가 또한 공지된다. 그 결과로서, RF 생성기의 출력은 출력이 1 % 동작 오차보다 크게 변하게 하는 RF 생성기의 출력에 대해 충분히 크거나 거의 출력 정도인 장애들을 제외하고, RF 전송 경로 (2200) 에서의 장애를 나타내기에 유용하기 때문에 통상적으로 보여지지 않는다. 예시로서, 제 2 스테이지에서 접지로의 개방 또는 단락은 출력이 1 % 동작 오차보다 훨씬 크게 변하게 하는 RF 생성기의 출력을 유발할 것이다. RF 생성기 (2202) 의 출력으로부터 더 다운스트림에 위치된 장애는 RF 생성기의 실제 측정된 출력이 1 % 동작 오차보다 크게 변하게 하지 않을 수 있다.
본 명세서에 기술된 RF 모델들은 1 % 동작 오차보다 작은 RF 생성기 (2202) 의 출력의 편차들에 기초하여 RF 전송 경로 (2200) 내의 장애들을 검색하기에 충분한 정확도를 나타내었다. 예시로서, 약 0.25 %보다 작은 출력 편차는 RF 전송 경로 (2200) 내의 장애를 검색하기 위해 RF 모델 (2300) 에 입력된 변수 값으로서 사용될 수 있다. RF 생성기 (2202) 의 출력이 이하의 예시들에서 논의되지만, 하나 이상의 스테이지들 (2230-2236) 중 어느 것의 출력이 선택되고 선택된 스테이지(들) 와 플라즈마 (2218A) (예를 들어, 선택된 스테이지로부터 다운스트림) 간의 장애들을 검색하기 위해 유사하게 사용될 수 있다는 것을 주목해야 한다.
조정 레시피는 프로세스 챔버 (2218) 내에서 적용될 웨이퍼 프로세스가 에러성 결과들을 생성하기 시작할 때 사용될 수 있다. RF 전송 시스템 (2200) 이 적절하게 기능하면, 조정 레시피는 도 23에 도시된 바와 같이, 선들 (2310 및 2312) 에 의해 경계가 지어진 RF 전송 모델 (2300) 내에 피팅하는 (fit) RF 출력들의 그래프를 생성한다. 그러나, 고장난 컴포넌트 또는 장애 접속과 같이 RF 전송 시스템 (2200) 내에서 고장이 발생하면, 조정 레시피는 선들 (2310 및 2312) 로 경계가 지어진 RF 전송 모델 (2300) 외부에 있을 수 있는 출력 그래프를 생성한다. 조정 레시피 동작 동안, RF 생성기 (2202) 의 출력은 프로브 (2240) 로 측정될 수 있고 공칭, 예상된 값으로부터의 임의의 편차는 RF 전송 경로 (2200) 내에서 장애의 위치를 결정하기 위해 RF 모델로 입력될 수 있다.
도 24는 본 개시에 설명된 실시형태에 따른, 고장난 RF 전송 시스템 (2200) 의 측정된 RF 출력들 (2230-2236) 의 예시적인 그래프 (2400) 이다. RF 출력들 (2230-2236) 이 RF 모델 (2300) 에 의해 예측된 바와 같이 복소 임피던스 (Zi) 로 도시되었지만, 상기에 보다 상세히 논의된 바와 같이, 전력, 전압, 및 전류와 같은 다른 파라미터들이 또한 테스트 지점 각각에서 검출되고/되거나 유도될 수 있다. 조정 레시피 (2224A) 에 의해 식별된 선들의 곡률은 RF 전송 시스템 (2200) 내에서 고장난 전기적 컴포넌트 또는 고장난 물리적 컴포넌트 (예를 들어, 접속, 부식, 등) 의 물리적 위치에 실질적으로 대응하는 편향 지점에서 RF 전송 모델 (2300) 로부터 벗어나기 시작한다. RF 전송 모델 (2300) 로부터 이러한 일탈 (divergence) 은 RF 전송 시스템 (2200) 의 후속 스테이지 각각의 모델에 의해 증폭된다.
예시로서, RF 신호는 RF 생성기 출력 (2230) 으로부터 출력되고 고장은 스테이지 2에서 발생한다. 실제 RF 신호 출력 (2231) 의 하나 이상의 파라미터들은 편향 지점 (2422) 에서 편향하고 선들 (2410 및 2411) 사이의 임의의 값에서 RF 전송 모델 (2300) 로부터 벗어난다. 편향 지점 (2422) 은 고장난 컴포넌트 위치에 실질적으로 대응한다.
일 예시에서, RF 전송 경로 (2200) 에서의 장애는 RF 생성기 (2202) 의 출력의 공칭, RF 모델 예측된 값으로부터 약 + 0.22 %의 측정된 차를 유발할 수 있다. RF 모델 (2300) 은 RF 전송 경로 (2200) 의 동작을 수학적으로 나타낸다. 따라서, RF 생성기 (2202) 의 공칭, RF 모델 예측된, 출력 값을 실제 측정된 값 (예를 들어, 약 공칭 + 0.22 %) 과 교환하고 RF 모델을 재산출하는 것은 선 (2414) 로 도시된 바와 같이 장애 그래프를 유발할 것이다. 장애 그래프 선 (2414) 은 RF 모델이 산출된 값이 선들 (2210 및 2212) 사이의 RF 모델 범위로부터 급격하게 일탈하는 것으로 예측한다는 것을 보여준다.
장애 그래프 선 (2414) 은 스테이지 3 내에서, 거의 편향 지점 (2426) 에서 RF 모델 (2300) 로부터 편향된다. 조정 레시피 (2224A) 동안 공칭 + 0.22 %의 RF 생성기 (2202) 의 실제, 측정된 출력은 고장난 컴포넌트가 스테이지 3 내에 있다는 높은 확률을 나타낸다. 그 결과, 기술자는 RF 전송 경로 (2200) 의 다른 스테이지들을 방해하지 않고, 스테이지 3에만 수리 노력을 집중할 수 있다. 유사하게, RF 생성기 (2202) 의 RF 출력에서 측정된 편차는 고장난 RF 전송 경로 (2200) 내에서 장애 컴포넌트들 및 접속들을 검색하기 위해 대응하는 편향 지점 (2422-2430) 및 대응하는 장애 그래프 선들 (2410-2419) 을 식별하기 위해 RF 모델을 통해 전파될 수 있다.
도 25는 본 개시에 기술된 실시형태에 따른, RF 전송 시스템 (2200) 고장 수리 시 수행된 방법 동작들 (2500) 을 도시하는 흐름도이다. 동작 (2505) 에서, RF 전송 시스템 (2200) 은 RF 모델 (2300) 과 같은 RF 전송 시스템용 베이스라인 RF 모델을 생성하기 위해 조정 레시피를 사용함으로써 특징화된다. 예시로서, 베이스라인 특징화 RF 모델 (2300) 은 RF 전송 시스템이 정확하게 기능하는 것을 알려질 때, RF 전송 시스템 (2200) 의 스타트업/설치시 생성될 수 있다. 대안적으로, 베이스라인 RF 모델 (2300) 은 이상적인 조건들 하에서 동작하는 이상적인 물리적 컴포넌트들 및 전기적 회로 컴포넌트들을 갖는 이론적으로 이상적인 RF 전송 시스템으로부터와 같이 모델 값들의 이상적인 세트에 기초할 수 있다. 또 다른 대안에서, 베이스라인 RF 모델 (2300) 은 “골든 (golden)” RF 전송 시스템 또는 다수의 RF 전송 시스템들에서 동작 파라미터들의 수용가능한 범위를 결정하기 위해 다수의 RF 전송 시스템들의 통계적 분석과 같은 다른 예시적인 다른 RF 전송 시스템에 기초할 수 있다.
RF 전송 시스템 (2200) 의 베이스라인 RF 모델 (2300) 은 정상적으로 기능하는 RF 전송 시스템에 대한 정상 동작 파라미터들 및 범위들을 반영한다. 베이스라인 RF 모델을 생성하기 위한 한가지 방법은 프로세싱 챔버 내에서 프로세싱될 테스트 세트 웨이퍼들에 특징화 레시피를 적용하는 것이다. 웨이퍼들의 테스트 세트가 프로세싱됨에 따라, 하나 이상의, 바람직하게 모든 스테이지 또는 대부분의 스테이지의 출력들이 측정되고 나중의 이용을 위해 기록된다. 베이스라인 RF 모델은 선택적으로 RF 모델의 정확도를 확인하기 위해 실제 측정치들과 비교될 수 있다.
RF 전송 시스템 (2200) 의 베이스라인 RF 모델 (2300) 은 나중의 리콜 (recall) 및 비교 또는 다른 용도를 위해 저장될 수 있다. RF 전송 시스템 (2200) 의 베이스라인 RF 모델 (2300) 은 제어기 (2222) 또는 제조자에 의해 유지될 수도 있는 외부 데이터베이스 내에 저장될 수 있다.
프로세스 챔버 (2218) 내에서 적용되는 프로세스는 결국 RF 전송 시스템 (2200) 내의 장애에 의해 초래될 수도 있는 에러를 경험할 수 있다. 동작 (2510) 에서, 고장난 RF 전송 시스템 (2200) 은 조정 레시피를 사용하여 특징화된다. 대안적으로, RF 전송 시스템 (2200) 은 스케줄링된 기간과 같이 요구시 또는 필요할 때 언제든 특징화될 수 있다. RF 전송 시스템 (2200) 을 특징화하기 위한 한가지 방법은 프로세싱 챔버 내에서 프로세싱될 새로운 테스트 세트 웨이퍼들에 특징화 레시피를 적용하는 것이다. 새로운 테스트 세트 웨이퍼들이 프로세싱됨에 따라, 적어도 하나의 스테이지의 출력들은 측정되고 기록된다. 논의의 목적을 위해 RF 생성기 (2202) 의 실제 출력이 측정되지만, 상기에 논의된 바와 같이, 임의의 하나 이상의 스테이지들이 측정되도록 선택될 수 있다.
동작 (2515) 에서, RF 생성기 (2202) 의 실제, 측정된 출력은 베이스라인 RF 모델을 통해 전파된다. RF 생성기 (2202) 의 실제, 측정된 출력을 베이스라인 RF 모델을 통해 전파하는 것은 상기 도 24에 도시된 바와 같은, 하나 이상의 장애 선들 (2410-2419) 을 갖는 장애 그래프 (2400) 를 유발할 것이다.
동작 (2520) 에서, 하나 이상의 장애 선들 (2410-2419) 은 대응하는 편향 지점 (2422-2430) 을 결정하기 위해 분석된다. 대응하는 편향 지점 (2422-2430) 은 RF 전송 시스템 (2200) 내에서 장애 위치를 실질적으로 식별하고 방법 동작들은 종료될 수 있다.
적어도 하나의 실시형태에서, 방법 동작들 (2500) 에서 기술된 분석은 제어기 (2222) 내에서 고장 수리 로직 (2226) 과 같은 컴퓨터 판독가능 매체 내에 포함될 수 있다. 제어기 (2222) 는 RF 전송 시스템 (2200) 내의 장애에 대한 대략적인 위치를 식별하기 위해 고장 수리 로직 (2226) 를 실행할 수 있다.
도 26은 본 개시에 설명된 실시형태에 따른, 테스트되는 RF 전송 시스템 (2200) 에서 조정 레시피 (2224A) 의 처리 동안 RF 생성기 (2202) 의 모니터링된 출력의 그래프 (2600) 이다. 그래프 (2600) 는 “골든” RF 전송 시스템 (2200) 에 의해 생성될 수 있는, 조정 레시피 (2224A) 의 처리 동안 RF 생성기 (2202) 의 이상적인 출력으로서 점선으로 된 그래프 (2610) 를 포함한다. 그래프 (2600) 는 또한 통상적인 RF 전송 시스템 (2200) 을 적절히 동작시킴으로써 생성될 수도 있는, 조정 레시피 (2224A) 의 처리 동안 RF 생성기 (2202) 의 통상적인 출력으로서 일점쇄선으로 된 그래프 (2612) 를 포함한다. 그래프 (2600) 는 또한 고장난 RF 전송 시스템 (2200) 에 의해 생성될 수도 있는, 조정 레시피 (2224A) 의 처리 동안 RF 생성기 (2202) 의 에러성 출력으로서 실선으로 된 에러 그래프 (2614) 를 포함한다. 그래프들 (2610, 2612 및 2614) 간의 차이들은 스케일대로 도시되지 않고 논의를 목적으로 과장되었다는 것을 주의한다.
조정 레시피 (2224A) 의 부분 (2602) 동안, 이상적인 그래프 (2610) 와 에러 그래프 (2614) 사이에 약 2.5 %의 상당한 차이가 도시된다. 2.5 % 차이는 RF 생성기 (2202) 의 출력의 허용가능한 편차 범위 +/- 5 % 내에 있고, 이러한 2.5 % 편차는 여전히 가변 고장 수리 정보를 제공할 수 있다.
도 27은 본 개시에 설명된 실시형태에 따른, 테스트되는 RF 전송 시스템 (2200) 상에서 조정 레시피 (2224A) 의 처리 동안 RF 피드부 피드 파트 3 (2210) (예를 들어, 실린더형 RF 피드) 의 모니터링된 출력의 그래프 (2700) 이다. . 그래프 (2700) 는 또한 조정 레시피 (2224A) 처리 동안, 테스트되는 RF 전송 시스템 (2200) 의 RF 모델 예측된 출력의 그래프를 반영한다.
그래프 (2700) 는 “골든” RF 전송 시스템 (2200) 에 의해 생성될 수 있는, 조정 레시피 (2224A) 의 처리 동안 RF 터널 (2204) 의 이상적인 출력으로서 점선으로 된 그래프 (2710) 를 포함한다. 그래프 (2700) 는 또한 고장난 RF 전송 시스템 (2200) 에 의해 생성될 수도 있는, 조정 레시피 (2224A) 의 처리 동안 실린더형 RF 피드부 (2210) 의 에러성 출력으로서 실선으로 된 에러 그래프 (2714) 를 포함한다. 그래프들 (2110 및 2714) 간의 차이들은 스케일대로 도시되지 않고 논의를 목적으로 과장되었다는 것을 주의한다.
조정 레시피 (2224A) 의 부분 (2602) 동안 이상적인 그래프 (2710) 와 에러 그래프 (2714) 사이에 약 15 %의 상당한 차이가 도시된다. 15 % 차이는 실린더형 RF 피드부 (2210) 내의 장애에 의해 초래된다. 15 % 차이는 RF 생성기 (2202) 의 출력의 대응하는 2.5 % 편차가 RF 생성기 (2202) 의 출력의 +/- 5 %의 허용가능한 범위 내에 있더라도 RF 모델에 의해 예측된다. RF 생성기 (2202) 의 출력의 2.5 % 편차를 RF 전송 모델 (2300) 을 통해 전파하는 것은 조정 레시피 (2224A) 의 부분 (2602) 동안, 이상적인 그래프 (2710) 와 에러 그래프 (2714) 간의 15 % 차이를 예측한다. 그 결과, 테스트 엔지니어는 실린더형 RF 피드부 (2210) 에 고장 수리 노력을 집중할 수 있다.
도 28은 본 개시에 설명된 실시형태에 따른, 고장난 RF 전송 시스템의 실린더형 RF 피드부 (2210) 의 도면이다. 실린더형 RF 피드부 (2210) 는 매칭 회로 (2206) 의 출력을 형성한다. RF 차폐부 (2802) 는 실린더형 RF 피드부 (2210) 를 둘러싼다. 실린더형 RF 피드부 (2210) 와 RF 차폐부 (2802) 사이에 고체 절연체 (2804) 가 누락되었다. 고체 절연체 (2804) 는 상기 도 27에 도시된 15 % 에러를 유발하는 실린더형 RF 피드부 (2210) 내에 상이한 커패시턴스 및 대응하는 상이한 임피던스를 유발하는, 고체 절연체가 없이 존재하는 공기와 상이한 커패시턴스를 갖는다.
일부의 실시형태에서, 웨이퍼 바이어스가 ESC (177) (도 1) 로 워크 피스 (131) (도 1) 을 클램핑하는데 사용되는 클램핑 전압을 결정하는데 사용된다는 것이 주목되어야 한다. 예를 들어, 웨이퍼 바이어스가 플라즈마 챔버 (175) (도 1) 에서 부재인 경우, ESC (177) 내의 2개의 전극은 ESC (177) 로 워크 피스 (131) 를 클램핑하기 위해 반대의 극성을 갖는 매칭 전압을 갖는다. 본 예시에서, 웨이퍼 바이어스는 플라즈마 챔버 (175) 내에 존재하는 경우, 2개의 전극에 공급된 전압은 웨이퍼 바이어스의 존재를 보상하기 위해 크기가 상이하다. 다양한 실시형태에서, 웨이퍼 바이어스는 ESC (177) (도 1) 에서 바이어스를 보상하는데 사용된다.
또한, ESC (177) 에서 바이어스를 보상하기 위한 전압의 사용과 비교하여 웨이퍼 바이어스를 결정하기 위한 3개의 파라미터, 예컨대 전류 크기, 전압 크기 및 전류와 전압 사이의 위상 등의 사용은 웨이퍼 바이어스의 더 우수한 결정을 허용한다는 것이 주목되어야 한다. 예를 들어, 3개의 파라미터를 사용하여 산출된 웨이퍼 바이어스는 RF 전압과 비-선형 플라즈마 체계 (regime) 사이의 관계와 비교하여 비-선형 플라즈마 체계와 더 강한 상관관계를 갖는다. 다른 예시로서, 3개의 플라즈마를 사용하여 산출된 웨이퍼 바이어스는 전압 프로브를 사용하여 결정된 것보다 더 정확하다.
전술된 동작들이 병렬의 플레이트 플라즈마 챔버, 예컨대 용량 커플링된 플라즈마 챔버 등을 참조하여 설명되었으나, 일부의 실시형태에서, 전술된 동작들은 다른 종류의 플라즈마 챔버, 예컨대 유도 커플링된 플라즈마 (ICP: inductively coupled plasma) 반응기, 변압기 커플링된 플라즈마 (TCP: transformer coupled plasma) 반응기, 전도체 툴, 유전체 툴을 포함하는 플라즈마 챔버, 전자 사이클론 공명 (ECR: electron-cyclotron resonance) 반응기를 포함하는 플라즈마 챔버 등에 적용된다는 것이 또한 주목되어야 한다. 예를 들어, x ㎒ RF 생성기와 y ㎒ RF 생성기는 ICP 플라즈마 챔버 내의 인덕터와 커플링된다.
또한, 전술된 동작들이 호스트 시스템 (130) (도 1) 의 프로세서에 의해 수행되는 것으로 설명되지만, 일부의 실시형태에서 동작들이 호스트 시스템 (130) 의 하나 이상의 프로세서 또는 다중의 호스트 시스템의 다중의 프로세서에 의해 수행될 수도 있다는 점이 주목되어야 한다.
전술된 실시형태들이 ESC (177) (도 1 및 도 18) 의 하부 전극 및 ESC (192) (도 11) 의 하부 전극으로 RF 신호를 제공하는 것과 일부 실시형태들에서 상부 전극 (179 및 264) (도 1 및 도 11) 을 접지시킨다 하더라도, RF 신호는 ESC (177 및 192) 의 하부 전극이 접지되는 동안 상부 전극 (179 및 264) 으로 제공된다.
본 명세서에서 설명된 실시형태는 핸드-핼드 하드웨어 유닛, 마이크로프로세서 시스템, 마이크로프로세서-기반 또는 프로그래밍 가능한 가전 제품, 미니컴퓨터, 메인프레임 컴퓨터 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 또한, 실시형태들은 작업들이 네트워크를 통해 링크된 원격 프로세싱 하드웨어 유닛에 의해 수행되는 분산된 컴퓨팅 환경에서 실시될 수 있다.
위의 실시형태들을 염두에 두고, 실시형태들은 컴퓨터 시스템에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용할 수 있다는 점이 이해되어야 한다. 이러한 동작들은 물리적 분량의 물리적 조종을 요구하는 동작들이다. 본 명세서에서 설명된 실시형태의 일부를 형성하는 임의의 동작은 유용한 머신 동작이다. 또한, 실시형태는 이러한 동작을 수행하는 하드웨어 유닛 또는 장치와 관련한다. 장치는 특별한 목적의 컴퓨터를 위해 특별히 구성될 수도 있다. 특별한 목적의 컴퓨터로 정의된 경우, 컴퓨터는 또한 특별한 목적을 위해 동작할 수 있는 동시에, 특별한 동작의 일부가 아닌 다른 처리, 프로그램 실행 또는 루틴을 수행할 수 있다. 일부의 실시형태에서, 동작은 네트워크를 통해 획득되거나 컴퓨터 메모리, 캐시에 저장된 하나 이상의 컴퓨터 프로그램에 의해 선택적으로 활성화되거나 구성되는 범용 컴퓨터에 의해 처리될 수도 있다. 데이터가 네트워크를 통해 획득되는 경우, 데이터는 네트워크, 예컨대 컴퓨팅 자원의 클라우드 상에서 다른 컴퓨터들에 의해 처리될 수도 있다.
하나 이상의 실시형태는 또한 비-일시적인 컴퓨터-판독가능 매체 상의 컴퓨터-판독가능 코드로 제작될 수도 있다. 비-일시적인 컴퓨터-판독가능 매체는 컴퓨터 시스템에 의해 후에 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 하드웨어 유닛이다. 비-일시적인 컴퓨터-판독가능 매체의 예시는 하드 드라이브, NAS (network attached storage), ROM, RAM, CD-ROM들 (compact disc-ROM), CD-R들 (CD-recordable), CD-RW들 (CD-rewritable), 자기 테입 및 다른 광학 및 비-광학 데이터 저장 하드웨어 유닛을 포함한다. 비-일시적인 컴퓨터-판독가능 매체는 컴퓨터-판독가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터-판독가능 유형 매체를 포함할 수 있다.
위의 도 2, 도 13, 도 15, 도 17, 및 도 25의 흐름도에서의 방법 동작들이 특정한 순서로 설명되었으나, 다른 관리 (housekeeping) 동작들이 동작들 사이에서 수행될 수 있거나, 동작들이 살짝 상이한 시간에 발생하도록 동작들이 조정될 수 있거나, 동작들이 오버레이 동작의 처리가 원하는 방향으로 수행되기만 한다면 처리와 연관된 다양한 인터벌에서 처리 동작들의 발생을 허용하는 시스템에서 분산될 수도 있다는 것이 이해되어야 한다.
임의의 실시형태로부터의 하나 이상의 특징은 본 개시에서 설명된 다양한 실시형태에서 설명된 범위로부터 벗어나지 않고 임의의 다른 실시형태의 하나 이상의 특징과 조합될 수도 있다.
이상의 실시형태들이 이해의 명료함을 위해 일부 구체적으로 설명되었으나, 특정한 변화와 조정이 첨부된 청구항의 범위 내에서 실시될 수 있다는 것이 명백할 것이다. 따라서, 본 실시형태들은 도시적으로 고려되고, 제한적으로 고려되지 않으며, 실시형태들은 본 명세서에서 주어진 구체사항에 제한되지 않으며, 첨부된 청구항의 균등물과 범위 내에서 조정될 수도 있다.

Claims (18)

  1. RF (Radio Frequency) 전송 시스템 내에서 장애의 위치를 파악하는 방법에 있어서, 상기 RF 전송 시스템은 RF 생성기의 출력으로부터 시작하고, 프로세스 챔버의 기판 지지부로 연장하고, 상기 방법은,
    스테이지들로 상기 RF 전송 시스템을 특징화하는 단계로서, 스테이지 각각은 하나 이상의 물리적 컴포넌트들에 대응하고, 상기 특징화하는 단계는 프로세싱 챔버 내의 복수의 웨이퍼들을 프로세싱하고 베이스라인 RF 모델을 생성하기 위해 상기 복수의 웨이퍼들의 상기 프로세싱 동안 상기 RF 전송 시스템에서 상기 복수의 스테이지들 각각의 적어도 하나의 파라미터를 측정할 때 특징화 레시피 수행을 구현하고, 상기 베이스라인 RF 모델은 상기 RF 전송 시스템이 정확하게 (properly) 기능한다고 공지될 때 생성되는, 상기 RF 전송 시스템을 특징화하는 단계;
    상기 RF 전송 시스템이 정확하게 기능하지 않을 때 상기 RF 전송 시스템의 장애 스테이지를 식별하기 위해 상기 베이스라인 RF 모델을 사용하는 단계로서, 상기 장애 스테이지를 식별하는 단계는,
    상기 RF 전송 시스템의 상기 복수의 스테이지들로부터 최초로 선택된 스테이지로서 일 스테이지를 선택하는 단계;
    상기 RF 전송 시스템의 상기 복수의 스테이지들의 상기 최초 선택된 스테이지 및 하나 이상의 부가적인 스테이지들의 출력을 측정하는 단계;
    결과적인 RF 모델을 생성하기 위해 상기 베이스라인 RF 모델을 통해 상기 복수의 스테이지들의 상기 최초 선택된 스테이지 및 하나 이상의 부가적인 스테이지들의 상기 측정된 출력을 전파하는 단계; 및
    상기 RF 전송 시스템의 상기 결과적인 RF 모델 내에서 편향 지점을 식별하는 단계로서, 상기 편향 지점은 상기 RF 전송 시스템 내에 상기 장애 스테이지의 위치를 파악하기 위해 사용되는, 상기 편향 지점을 식별하는 단계를 포함하고, 그리고
    상기 식별된 편향 지점은 상기 RF 전송 시스템의 상기 장애 스테이지에 대응하는 상기 물리적 컴포넌트들의 적어도 하나 이상을 보수 (repair) 하도록 사용되는, RF 전송 시스템 내에서 장애의 위치를 파악하는 방법.
  2. 제 1 항에 있어서,
    상기 물리적 컴포넌트들은 상기 RF 전송 시스템 내에 규정된 회로 컴포넌트들이고, 상기 베이스라인 RF 모델은 입력 및 출력을 갖는, RF 전송 시스템 내에서 장애의 위치를 파악하는 방법.
  3. 제 1 항에 있어서,
    상기 베이스라인 RF 모델은 상기 RF 전송 시스템 내에 규정된 전기적 컴포넌트들에 기초하고, 상기 베이스라인 RF 모델은 입력 및 출력을 갖는, RF 전송 시스템 내에서 장애의 위치를 파악하는 방법.
  4. 제 3 항에 있어서,
    상기 RF 전송 시스템의 상기 전기적 컴포넌트들은 커패시터들, 인덕터들, 또는 이들의 조합을 포함하는, RF 전송 시스템 내에서 장애의 위치를 파악하는 방법.
  5. 제 1 항에 있어서,
    상기 베이스라인 RF 모델은,
    RF 전송선의 모델;
    임피던스 매칭 회로의 모델로서, 상기 RF 전송선이 상기 RF 생성기의 출력과 상기 임피던스 매칭 회로의 입력 사이에 커플링되는, 상기 임피던스 매칭 회로;
    상기 임피던스 매칭 회로의 상기 출력에 커플링된 RF 터널의 모델;
    상기 RF 터널 모델과 커플링된 RF 스트랩의 모델; 및
    상기 RF 스트랩에 커플링된 입력을 갖는 정전 척의 모델을 포함하는, RF 전송 시스템 내에서 장애의 위치를 파악하는 방법.
  6. 제 5 항에 있어서,
    상기 정전 척은 플라즈마 프로세싱 챔버 내에 포함되는, RF 전송 시스템 내에서 장애의 위치를 파악하는 방법.
  7. 제 1 항에 있어서,
    상기 RF 전송 시스템은 플라즈마 프로세싱 시스템의 일부인, RF 전송 시스템 내에서 장애의 위치를 파악하는 방법.
  8. 플라즈마 시스템에 있어서,
    플라즈마 프로세싱 챔버;
    상기 플라즈마 프로세싱 챔버의 RF 입력에 커플링된 RF 전송 시스템;
    상기 RF 전송 시스템이 RF 생성기의 출력 간에 연장하는 복수의 스테이지들을 갖도록 상기 RF 전송 시스템에 커플링된 상기 출력을 갖고 상기 플라즈마 프로세싱 챔버의 상기 RF 입력으로 연장하는 상기 RF 생성기로서, 상기 스테이지들 각각은 하나 이상의 물리적 컴포넌트들에 대응하는, 상기 RF 생성기; 및
    상기 RF 생성기 및 상기 플라즈마 챔버에 커플링된 제어기로서, 상기 제어기는 상기 복수의 스테이지들 중 두 개 이상을 선택하고 상기 RF 전송 시스템의 베이스라인 RF 모델을 통해 상기 선택된 스테이지의 측정된 출력을 전파함으로써 상기 RF 전송 시스템의 장애를 식별하기 위해 실행가능한 비일시적인 컴퓨터 판독가능 매체 상의 로직을 포함하는, 상기 제어기를 포함하고,
    상기 베이스라인 RF 모델은 상기 RF 전송 시스템이 장애 없이 기능하는 것으로 공지될 때 생성된 상기 RF 전송 시스템의 특징화된 모델이고, 상기 RF 전송 시스템의 상기 베이스라인 RF 모델은 상기 플라즈마 프로세싱 챔버 내의 복수의 웨이퍼들을 프로세싱하기 위해 특징화 레시피를 적용하고 상기 복수의 웨이퍼들의 상기 프로세싱 동안 상기 RF 전송 시스템의 상기 복수의 스테이지들의 출력의 적어도 하나의 파라미터를 측정함으로써 생성되고,
    상기 제어기는 상기 RF 전송 시스템의 상기 복수의 스테이지들의 스테이지의 출력을 측정하고 상기 베이스라인 RF 모델의 대응하는 스테이지들에 대한 예측된 값과 비교하도록 구성되고, 상기 비교는 상기 장애가 상기 스테이지와 관련되는지 식별하도록 사용되고, 식별된 장애들을 갖는 스테이지들과 연관된 물리적 컴포넌트들은 상기 RF 전송 시스템의 다른 스테이지들의 물리적 컴포넌트들을 방해하지 않고 보수하기 위해 식별되는, 플라즈마 시스템.
  9. 제 8 항에 있어서,
    상기 물리적 컴포넌트들은 상기 RF 전송 시스템에 규정된 전기적 컴포넌트들에 기초하고, 상기 베이스라인 RF 모델은 입력 및 출력을 갖는, 플라즈마 시스템.
  10. 제 9 항에 있어서,
    상기 RF 전송 시스템의 상기 전기적 컴포넌트들은 커패시터들, 인덕터들, 또는 이들의 조합을 포함하는, 플라즈마 시스템.
  11. RF 전송 시스템들 내에서 장애의 위치를 파악하는 방법에 있어서,
    베이스라인 RF 모델을 생성하기 위해 프로세싱 챔버 내의 복수의 웨이퍼들을 프로세싱함으로써 실행되는 특징화 레시피를 사용하고 상기 복수의 웨이퍼들의 상기 프로세싱 동안 제 1 RF 전송 시스템 내에서 복수의 물리적 스테이지들 각각의 적어도 하나의 파라미터를 측정함으로써 상기 복수의 물리적 스테이지들을 갖는 상기 제 1 RF 전송 시스템을 특징화하는 단계로서, 상기 제 1 RF 전송 시스템은 정확하게 작동하는 것으로 식별된 골든 RF 전송 시스템인, 상기 제 1 RF 전송 시스템을 특징화하는 단계;
    제 2 RF 전송 시스템으로부터 상기 제 2 RF 전송 시스템의 복수의 물리적 스테이지들로부터 최초 물리적 스테이지로서 일 물리적 스테이지를 선택하는 단계로서, 상기 제 2 RF 전송 시스템의 상기 복수의 물리적 스테이지들은 RF 생성기 간에 연장하고 제 2 프로세싱 챔버의 척으로 연장하는, 상기 제 2 RF 전송 시스템으로부터 선택하는 단계;
    상기 제 2 RF 전송 시스템의 상기 최초 물리적 스테이지의 출력을 측정하는 단계;
    상기 제 1 RF 전송 시스템의 상기 베이스라인 RF 모델을 통해 상기 제 2 RF 전송 시스템의 상기 최초 물리적 스테이지로부터 측정된 상기 출력을 전파하는 단계로서, 상기 전파하는 단계는 상기 제 2 RF 전송 시스템에 대해 결과적인 RF 모델을 생성하도록 구성되는, 상기 측정된 출력을 전파하는 단계; 및
    상기 결과적인 RF 모델 내에서 편향 지점을 식별하는 단계로서, 상기 편향 지점은 상기 베이스라인 RF 모델로부터 편차 (deviation) 로서 식별되고, 상기 결과적인 RF 모델 내에서 편향 지점은 상기 제 2 RF 전송 시스템의 상기 복수의 물리적 스테이지들 사이에서 물리적 장애 스테이지를 식별하는, 상기 편향 지점을 식별하는 단계를 포함하고,
    상기 식별된 편향 지점은 상기 제 2 프로세싱 챔버의 상기 제 2 RF 전송 시스템의 상기 물리적 장애 스테이지와 연관된 적어도 하나의 컴포넌트를 보수하도록 사용되는, RF 전송 시스템들 내에서 장애의 위치를 파악하는 방법.
  12. 제 11 항에 있어서,
    상기 제 1 RF 전송 시스템의 상기 물리적 스테이지들은 상기 제 1 RF 전송 시스템에 대해 규정된 회로 컴포넌트들에 기초하고, 상기 베이스라인 RF 모델은 상기 제 1 RF 전송 시스템의 상기 복수의 물리적 스테이지들 각각의 적어도 하나의 파라미터의 상기 측정으로부터 생성되고, 상기 제 1 RF 전송 시스템의 상기 복수의 물리적 스테이지들 각각은 물리적 속성들인, RF 전송 시스템들 내에서 장애의 위치를 파악하는 방법.
  13. 제 11 항에 있어서,
    상기 제 1 RF 전송 시스템 및 상기 제 2 RF 전송 시스템 각각은,
    RF 생성기의 출력;
    상기 RF 생성기에 커플링된 RF 전송선;
    상기 전송선의 출력에 커플링된 임피던스 매칭 회로;
    상기 임피던스 매칭 회로의 출력에 커플링된 RF 터널; 및
    상기 챔버의 정전 척에 상기 RF 터널을 연결하기 위한 RF 스트랩을 포함하는, RF 전송 시스템들 내에서 장애의 위치를 파악하는 방법.
  14. 제 13 항에 있어서,
    상기 RF 생성기의 상기 출력, 상기 RF 전송선의 상기 출력, 상기 임피던스 매칭 회로의 상기 출력, 상기 RF 터널의 출력 및 상기 RF 스트랩의 출력 각각은, 상기 제 1 RF 전송 시스템 및 상기 제 2 RF 전송 시스템에 대해 복수의 물리적 스테이지들 중 특정한 물리적 스테이지들을 각각 규정하는, RF 전송 시스템들 내에서 장애의 위치를 파악하는 방법.
  15. 제 14 항에 있어서,
    상기 최초 물리적 스테이지는 상기 제 2 RF 전송 시스템의 상기 복수의 물리적 스테이지들 중 상기 특정한 물리적 스테이지들 중의 하나인, RF 전송 시스템들 내에서 장애의 위치를 파악하는 방법.
  16. 제 11 항에 있어서,
    상기 제 2 RF 전송 시스템의 또 다른 물리적 스테이지의 출력을 측정하는 단계;
    상기 제 1 RF 전송 시스템의 상기 베이스라인 RF 모델을 통해 상기 제 2 RF 전송 시스템의 상기 다른 물리적 스테이지로부터 측정된 상기 출력을 전파하는 단계로서, 상기 전파하는 단계는 상기 제 2 RF 전송 시스템에 대해 또 다른 결과적인 RF 모델을 생성하도록 구성되는, 상기 측정된 출력을 전파하는 단계; 및
    상기 발생하는 RF 모델 내에서 또 다른 편향 지점을 식별하는 단계로서, 상기 다른 편향 지점은 상기 베이스라인 RF 모델로부터 또 다른 편차로서 식별되고, 상기 다른 발생하는 RF 모델 내에서 상기 다른 편향 지점은 상기 제 2 RF 전송 시스템의 상기 복수의 물리적 스테이지들 사이에서 또 다른 물리적 장애 스테이지를 식별하는, 상기 또 다른 편향 지점을 식별하는 단계를 더 포함하는, RF 전송 시스템들 내에서 장애의 위치를 파악하는 방법.
  17. 제 11 항에 있어서,
    상기 제 2 RF 전송 시스템의 하나 이상의 다른 물리적 스테이지들의 출력을 측정하는 단계; 및
    상기 전파하는 단계 및 상기 제 2 RF 전송 시스템 내에서 미세한 물리적 장애 스테이지들을 식별하는 단계를 반복하는 단계로서, 상기 물리적 장애 스테이지들은 상기 제 2 RF 전송 시스템이 상기 베이스라인 RF 모델 내에서 동작하게 배치될 수 있도록 조정을 위해 식별되는, 상기 전파하고 식별하는 단계를 반복하는 단계를 더 포함하는, RF 전송 시스템들 내에서 장애의 위치를 파악하는 방법.
  18. 제 11 항에 있어서,
    상기 베이스라인 RF 모델은 상기 제 1 RF 전송 시스템이 정확하게 기능하는 것으로 공지될 때 생성된 상기 제 1 RF 전송 시스템의 RF 모델인, RF 전송 시스템들 내에서 장애의 위치를 파악하는 방법.
KR1020150055341A 2014-04-21 2015-04-20 플라즈마 시스템용 rf 전송 시스템 내에서 장애의 위치를 식별하기 위한 모델링을 사용하는 방법 KR102339668B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/257,926 US10950421B2 (en) 2014-04-21 2014-04-21 Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US14/257,926 2014-04-21

Publications (2)

Publication Number Publication Date
KR20150121672A KR20150121672A (ko) 2015-10-29
KR102339668B1 true KR102339668B1 (ko) 2021-12-15

Family

ID=54321844

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150055341A KR102339668B1 (ko) 2014-04-21 2015-04-20 플라즈마 시스템용 rf 전송 시스템 내에서 장애의 위치를 식별하기 위한 모델링을 사용하는 방법

Country Status (4)

Country Link
US (1) US10950421B2 (ko)
KR (1) KR102339668B1 (ko)
CN (1) CN105006419B (ko)
TW (1) TWI685664B (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9652567B2 (en) * 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9851389B2 (en) * 2014-10-21 2017-12-26 Lam Research Corporation Identifying components associated with a fault in a plasma system
US10522377B2 (en) * 2016-07-01 2019-12-31 Lam Research Corporation System and method for substrate support feed-forward temperature control based on RF power
WO2018146981A1 (ja) * 2017-02-07 2018-08-16 東京エレクトロン株式会社 成膜システム、成膜方法及びコンピュータ記憶媒体
US10761517B2 (en) * 2018-08-23 2020-09-01 Lam Research Corporation Extracting real-time data from EtherCAT sensor bus in a substrate processing system
US10943770B2 (en) * 2019-03-04 2021-03-09 Advanced Energy Industries, Inc. Detection of damage in matching networks
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置
TW202243549A (zh) * 2021-04-22 2022-11-01 大陸商北京屹唐半導體科技股份有限公司 用於感應耦合電漿(icp)負載的雙頻匹配電路
US20230326727A1 (en) * 2022-04-07 2023-10-12 Mks Instruments, Inc. Real-Time, Non-Invasive IEDF Plasma Sensor
DE102022108634A1 (de) * 2022-04-08 2023-10-12 TRUMPF Hüttinger GmbH + Co. KG Plasmasystem und Verfahren zum Betrieb eines Plasmasystems

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003536268A (ja) 2000-06-20 2003-12-02 サイエンティフィック システムズ リサーチ リミテッド プラズマプロセスにおける欠陥識別方法
TW200402114A (en) 2002-06-28 2004-02-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data

Family Cites Families (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314879A (en) 1979-03-22 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Production of field-reversed mirror plasma with a coaxial plasma gun
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
EP0248268B1 (de) * 1986-06-06 1993-03-31 Siemens Aktiengesellschaft Verfahren zur Simulation eines Verzögerungsfehlers in einer Logikschaltung und Anordnungen zur Durchführung des Verfahrens
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5645796A (en) 1990-08-31 1997-07-08 Abtox, Inc. Process for plasma sterilizing with pulsed antimicrobial agent treatment
US5084239A (en) 1990-08-31 1992-01-28 Abtox, Inc. Plasma sterilizing process with pulsed antimicrobial agent treatment
US5244629A (en) 1990-08-31 1993-09-14 Caputo Ross A Plasma sterilizing process with pulsed antimicrobial agent pretreatment
DE9109503U1 (ko) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier, De
US5202623A (en) 1992-02-26 1993-04-13 Digital Equipment Corporation Laser-activated plasma chamber for non-contact testing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
KR100321325B1 (ko) * 1993-09-17 2002-06-20 가나이 쓰도무 플라즈마생성방법및장치와그것을사용한플라즈마처리방법및장치
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
KR0152355B1 (ko) * 1994-03-24 1998-12-01 가나이 쓰토무 플라즈마 처리장치 및 처리방법
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
EP0715334B1 (en) * 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5917286A (en) 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6110405A (en) 1997-09-15 2000-08-29 Wellman, Inc. Melt spinning colored polycondensation polymers
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6806201B2 (en) * 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP3670206B2 (ja) * 2000-11-06 2005-07-13 アルプス電気株式会社 プラズマ処理装置又はプラズマ処理システムの性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
JP3670209B2 (ja) * 2000-11-14 2005-07-13 アルプス電気株式会社 プラズマ処理装置の性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP3665265B2 (ja) * 2000-12-28 2005-06-29 株式会社日立製作所 プラズマ処理装置
US6726804B2 (en) 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
AUPR306701A0 (en) 2001-02-14 2001-03-08 Digislide International Pty Ltd Personal entertainment arrangement
WO2002075332A1 (en) 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) * 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6826489B2 (en) * 2002-02-14 2004-11-30 Scientific Systems Research Limited Fault classification in a plasma process chamber
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US6841032B2 (en) * 2002-03-12 2005-01-11 Hitachi High-Technologies Corporation Plasma processing apparatus for adjusting plasma processing through detecting plasma processing state within chamber
JP3977114B2 (ja) * 2002-03-25 2007-09-19 株式会社ルネサステクノロジ プラズマ処理装置
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US6703080B2 (en) * 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
AU2003239392A1 (en) * 2002-05-29 2003-12-19 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US7199327B2 (en) 2002-06-28 2007-04-03 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US7167766B2 (en) * 2002-06-28 2007-01-23 Tokyo Electron Limited Controlling a material processing tool and performance data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6781383B2 (en) * 2002-09-24 2004-08-24 Scientific System Research Limited Method for fault detection in a plasma process
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US6873114B2 (en) * 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
JP4388287B2 (ja) 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
US6781317B1 (en) * 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4468366B2 (ja) * 2003-05-16 2010-05-26 東京エレクトロン株式会社 半導体製造過程の間にプロセスシステムをモニタする方法
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7867457B2 (en) 2003-06-20 2011-01-11 Drexel University Plasma reactor for the production of hydrogen-rich gas
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US6952657B2 (en) * 2003-09-10 2005-10-04 Peak Sensor Systems Llc Industrial process fault detection using principal component analysis
US20050069651A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
US7015414B2 (en) * 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7314537B2 (en) * 2003-09-30 2008-01-01 Tokyo Electron Limited Method and apparatus for detecting a plasma
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7583059B2 (en) * 2003-12-18 2009-09-01 Lg Chem, Ltd. Apparatus and method for estimating state of charge of battery using neural network
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
JP4359521B2 (ja) 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
US20050212450A1 (en) * 2004-03-16 2005-09-29 Scientific Systems Research Limited Method and system for detecting electrical arcing in a plasma process powered by an AC source
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US7430496B2 (en) * 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US20060065631A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US20060180570A1 (en) * 2005-02-14 2006-08-17 Mahoney Leonard J Application of in-situ plasma measurements to performance and control of a plasma processing system
US9607719B2 (en) 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US7794615B2 (en) * 2005-03-31 2010-09-14 Tokyo Electron Limited Plasma processing method and apparatus, and autorunning program for variable matching unit
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
DE602006008780D1 (de) * 2005-06-10 2009-10-08 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US7319316B2 (en) * 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7366622B1 (en) * 2005-10-17 2008-04-29 X-L Synergy Arc fault identification using model reference estimation
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7476849B2 (en) * 2006-03-10 2009-01-13 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8920600B2 (en) 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
US8073646B2 (en) * 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
US7589473B2 (en) 2007-08-06 2009-09-15 Plasma Surgical Investments, Ltd. Pulsed plasma device and method for generating pulsed plasma
EP2405721B1 (en) 2007-08-06 2016-04-20 Plasma Surgical Investments Limited Pulsed Plasma Device
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
ES2688300T3 (es) 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US8120376B2 (en) * 2007-12-12 2012-02-21 Novellus Systems, Inc. Fault detection apparatuses and methods for fault detection of semiconductor processing tools
US9074285B2 (en) * 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
CN101232177B (zh) * 2008-01-29 2010-11-10 西安交通大学 高压直流输电线路距离保护方法
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8337661B2 (en) * 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) * 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
JP5734184B2 (ja) * 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のその場(in−situ)アーク放電事象を検出するための構成、及び、アーク放電事象を検出する方法
JP5734185B2 (ja) * 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5141519B2 (ja) 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8508239B2 (en) * 2009-05-05 2013-08-13 Lam Research Corporation Non-destructive signal propagation system and method to determine substrate integrity
US9305750B2 (en) 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
CN102473660B (zh) * 2009-06-30 2015-03-18 朗姆研究公司 等离子加工系统自动瑕疵检测和分类及其方法
US8901004B2 (en) 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
WO2011022612A2 (en) 2009-08-21 2011-02-24 Mattson Technology, Inc. Inductive plasma source
US20110060442A1 (en) * 2009-09-10 2011-03-10 Valcore Jr John C Methods and arrangement for detecting a wafer-released event within a plasma processing chamber
US8797705B2 (en) * 2009-09-10 2014-08-05 Lam Research Corporation Methods and arrangement for plasma dechuck optimization based on coupling of plasma signaling to substrate position and potential
US8293023B2 (en) * 2009-10-23 2012-10-23 Lam Research Corporation System and method for monitoring wafer stress
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN102612864B (zh) 2009-11-19 2015-06-10 朗姆研究公司 用于控制等离子体处理系统的方法和装置
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
JP2012033409A (ja) 2010-07-30 2012-02-16 Origin Electric Co Ltd 直流プラズマ用逆極性パルス発生回路及び直流プラズマ電源装置
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
JP5718124B2 (ja) * 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
CA2742060C (en) 2011-05-31 2013-09-10 Vln Advanced Technologies Inc. Reverse-flow nozzle for generating cavitating or pulsed jets
US8872429B2 (en) 2011-07-28 2014-10-28 Kirk Rosener Pulsed plasma generator
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130119018A1 (en) 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US8576013B2 (en) * 2011-12-29 2013-11-05 Mks Instruments, Inc. Power distortion-based servo control systems for frequency tuning RF power sources
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US9320126B2 (en) * 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10128090B2 (en) * 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9295148B2 (en) * 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9842725B2 (en) * 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9685297B2 (en) * 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
KR102048959B1 (ko) 2012-10-30 2019-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
US9620337B2 (en) * 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
TWI647735B (zh) * 2013-03-15 2019-01-11 美商蘭姆研究公司 使用模型化以建立與電漿系統相關的離子能量
US10276350B2 (en) * 2013-05-09 2019-04-30 Lam Research Corporation Systems and methods for using computer-generated models to reduce reflected power towards an RF generator during state transitions of the RF generator by controlling RF values of the RF generator
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9508529B2 (en) * 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003536268A (ja) 2000-06-20 2003-12-02 サイエンティフィック システムズ リサーチ リミテッド プラズマプロセスにおける欠陥識別方法
TW200402114A (en) 2002-06-28 2004-02-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data

Also Published As

Publication number Publication date
US10950421B2 (en) 2021-03-16
TW201602596A (zh) 2016-01-16
CN105006419A (zh) 2015-10-28
KR20150121672A (ko) 2015-10-29
TWI685664B (zh) 2020-02-21
CN105006419B (zh) 2018-01-30
US20150301100A1 (en) 2015-10-22

Similar Documents

Publication Publication Date Title
KR102438859B1 (ko) Rf 송신 시스템 모델들을 개량하기 위한 시스템, 방법 및 장치
KR102339668B1 (ko) 플라즈마 시스템용 rf 전송 시스템 내에서 장애의 위치를 식별하기 위한 모델링을 사용하는 방법
US9508529B2 (en) System, method and apparatus for RF power compensation in a plasma processing system
US10319570B2 (en) Determining a malfunctioning device in a plasma system
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
JP6483880B2 (ja) ウェハバイアスを決定するための方法およびプラズマシステム
KR20160046748A (ko) Rf 송신 경로의 선택된 부분들에 대한 rf 송신 모델들의 정확도를 개선하기 위한 시스템, 방법 및 장치
KR102127017B1 (ko) Rf 송신 모델 상의 변수의 값의 결정
JP2014195044A5 (ko)
KR102162429B1 (ko) 모델링을 사용하여서 플라즈마 시스템과 연관된 이온 에너지 결정

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant