TWI620471B - 射頻傳輸模型之一變數値的決定 - Google Patents

射頻傳輸模型之一變數値的決定 Download PDF

Info

Publication number
TWI620471B
TWI620471B TW102146419A TW102146419A TWI620471B TW I620471 B TWI620471 B TW I620471B TW 102146419 A TW102146419 A TW 102146419A TW 102146419 A TW102146419 A TW 102146419A TW I620471 B TWI620471 B TW I620471B
Authority
TW
Taiwan
Prior art keywords
model
current
impedance matching
output
transmission
Prior art date
Application number
TW102146419A
Other languages
English (en)
Other versions
TW201438523A (zh
Inventor
小約翰C 微寇爾
布萊佛J 琳戴克
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201438523A publication Critical patent/TW201438523A/zh
Application granted granted Critical
Publication of TWI620471B publication Critical patent/TWI620471B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/25Arrangements for measuring currents or voltages or for indicating presence or sign thereof using digital measurement techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R29/00Arrangements for measuring or indicating electric quantities not covered by groups G01R19/00 - G01R27/00
    • G01R29/08Measuring electromagnetic field characteristics
    • G01R29/0864Measuring electromagnetic field characteristics characterised by constructional or functional features
    • G01R29/0892Details related to signal analysis or treatment; presenting results, e.g. displays; measuring specific signal features other than field strength, e.g. polarisation, field modes, phase, envelope, maximum value
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01PWAVEGUIDES; RESONATORS, LINES, OR OTHER DEVICES OF THE WAVEGUIDE TYPE
    • H01P3/00Waveguides; Transmission lines of the waveguide type
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Theoretical Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma Technology (AREA)

Abstract

描述用於決定射頻(RF)傳輸模型上的變數值的系統和方法。該等方法其中之一包含確定在一RF產生器的一輸出處所測得的一複電壓與電流,以及基於在連接至該RF產生器的一阻抗匹配電路中所定義的電元件,產生一阻抗匹配模型。該方法更包含自該阻抗匹配模型的輸入,經由一個以上構件且經由與該阻抗匹配模型連接的一RF傳輸模型部分的一個以上構件,傳導該複電壓與電流,以決定在RF傳輸模型部分的輸出處的複電壓與電流。

Description

射頻傳輸模型之一變數值的決定
本發明係關於決定在一射頻(RF)傳輸線上的一變數值。
在一基於電漿的系統中,當在一電漿腔室之內供應製程氣體且將射頻(RF)功率供應至該電漿腔室內的一電極之時,產生電漿。該基於電漿的系統係用以執行一晶圓上的各種操作。舉例而言,電漿係用以蝕刻晶圓、沉積材料於該晶圓上、清潔該晶圓等等。
在執行此等操作期間,可監測該基於電漿系統之內的一點,以判定該基於電漿的系統是否正確運作。該點係利用一探測器加以監測。然而,在該基於電漿的系統之內使用該探測器可能是代價高昂的。舉例來說,若干實體可能避免使用探測器以避免該探測器的成本。此避免探測器的使用,可能導致不知道該基於電漿系統是否正常運作。
在此背景下,產生本揭露內容所述的實施例。
揭露內容的實施例提供用於決定射頻(RF)傳輸線上的變數值的設備、方法、及電腦程式。應理解的是,本實施例可以多種方式實現,例如製程、設備、系統、硬體件、或電腦可讀媒體上的方法。以下描述一些實施例。
在各種實施例中,使用RF傳輸線的一電腦生成模型,決定 在該模型的一輸出處的一變數,例如複電壓、複電流、複電壓與電流、複功率等等。取代計量工具,例如探測器,使用該變數於一電漿系統之內決定該電漿系統是否正常運作。
在若干實施例中,描述一種方法,用於決定在射頻(RF)傳輸模型上的變數值。該方法包含:當一RF產生器經由一阻抗匹配電路連接至一電漿腔室時,確定在該RF產生器的一輸出處所測得的一第一複電壓與電流。該阻抗匹配電路具有與該RF產生器的輸出連接的一輸入、及與一RF傳輸線連接的一輸出。該方法包含:基於在該阻抗匹配電路中所定義的電元件,產生一阻抗匹配模型。該阻抗匹配模型具有一輸入及一輸出。該阻抗匹配模型的輸入係用於接收該第一複電壓與電流。並且,該阻抗匹配模型具有一個以上構件。該方法更包含:將該第一複電壓與電流,自該阻抗匹配模型的輸入,經由該一個以上構件,傳導至該阻抗匹配模型的輸出,以決定一第二複電壓與電流。該第二複電壓與電流係在該阻抗匹配模型的輸出處。該方法包含:基於在該RF傳輸線中所定義的電路元件,產生一RF傳輸模型。該RF傳輸模型具有一輸入及一輸出。該RF傳輸模型的輸入連接至該阻抗匹配模型的輸出。該RF傳輸模型具有包含一個以上構件的一部分。該方法包含:將該第二複電壓與電流,自該RF傳輸模型的輸入,經由該RF傳輸模型部分的一個以上構件,傳導至該RF傳輸模型部分的一輸出,以決定一第三複電壓與電流。該第三複電壓與電流係在該RF傳輸模型部分的輸出處的複電壓與電流。
在各種實施例中,描述一種電漿系統,用於決定在一RF傳輸模型上的變數值。該電漿系統包含一RF產生器,用於產生一RF訊號。該RF產生器係與一電壓與電流探測器相聯。該電壓與電流探測器係用以測量在該RF產生器的一輸出處的一第一複電壓與電流。該電漿系統更包含:一阻抗匹配電路,與該RF產生器連接;及一電漿腔室,經由一RF傳輸線連接至該阻抗匹配電路。該阻抗匹配電路具有與該RF產生器的輸出連接的一輸入、及與該RF傳輸線連接的一輸出。該電漿系統包含一處理器,其連接至該RF產生器。該處理器係用於:確定該第一複電壓與電流;及基於在 該阻抗匹配電路中所定義的電元件,產生一阻抗匹配模型。該阻抗匹配模型具有一輸入及一輸出。該阻抗匹配模型的輸入接收該第一複電壓與電流。此外,該阻抗匹配模型具有一個以上構件。該方法包含:將該第一複電壓與電流自該阻抗匹配模型的輸入經由該一個以上構件傳導至該阻抗匹配模型的輸出,以決定一第二複電壓與電流。該第二複電壓與電流係在該阻抗匹配模型的輸出處的複電壓與電流。該方法包含:基於在該RF傳輸線中所定義的電元件,產生一RF傳輸模型。該RF傳輸模型具有一輸入及一輸出。該RF傳輸模型的輸入連接至該阻抗匹配模型的輸出。並且,該RF傳輸模型具有包含一個以上構件的一部分。該方法包含:將該第二複電壓與電流,自該RF傳輸模型的輸入,經由該RF傳輸模型部分的一個以上構件,傳導至該RF傳輸模型部分的輸出,以決定一第三複電壓與電流。該第三複電壓與電流係在該RF傳輸模型部分的輸出處的複電壓與電流。該方法包含將該第三複電壓與電流提供至一儲存硬體單元加以儲存。
在若干實施例中,描述一種電腦系統,用於決定在一RF傳輸模型上的變數值。該電腦系統包含一處理器。該處理器係用以:當一RF產生器經由一阻抗匹配電路連接至一電漿腔室時,確定在該RF產生器的一輸出處所測得的一第一複電壓與電流。該阻抗匹配電路具有與該RF產生器的輸出連接的一輸入、及與一RF傳輸線連接的一輸出。該處理器更用以:基於在該阻抗匹配電路中所定義的電元件,產生一阻抗匹配模型。該阻抗匹配模型具有一輸入及一輸出。該阻抗匹配模型的輸入接收該第一複電壓與電流。並且,該阻抗匹配模型具有一個以上構件。該處理器係用以:將該第一複電壓與電流,自該阻抗匹配模型的輸入,經由該一個以上構件,傳導至該阻抗匹配模型的輸出,以決定在該阻抗匹配模型的輸出處的一第二複電壓與電流。該處理器亦用以:基於在該RF傳輸線中所定義的電元件,產生一RF傳輸模型。該RF傳輸模型具有一輸入及一輸出。該RF傳輸模型的輸入連接至該阻抗匹配模型的輸出。該RF傳輸模型具有包含一個以上構件的一部分。該處理器係用以:將該第二複電壓與電流,自該RF傳輸模型的輸入,經由該RF傳輸模型部分的一個以上構件,傳導至該RF傳 輸模型部分的一輸出,以決定在該RF傳輸模型部分的輸出處的一第三複電壓與電流。該電腦系統包含連接至該處理器的一記憶體元件。該記憶體元件係用以儲存第三複電壓與電流。
上述實施例的一些優點,包含:在包括執行製程於一工件上的生產期間,降低於電漿系統的一節點處使用計量工具的機率。製程的例子包含清潔、沉積、蝕刻等等。根據預設準則校準的電壓與電流探測器,係用以準確地感測數值,且將該準確感測的數值如上所述加以傳播,以產生在電漿系統的模型的一個以上節點處的準確變數值。該預設準則可為標準。舉例來說,電壓與電流探測器係根據國家標準技術研究所(NIST)標準加以校準,該NIST標準係嚴格的。因此,電壓與電流探測器的使用,產生準確的變數值。在生產期間,所產生的數值係用以決定不包含計量工具的電漿系統的一個以上部件是否適當運作,上述部件係例如阻抗匹配電路、RF產生器、電纜、RF傳輸線、RF傳輸線的一部分等等。取代在生產期間於一節點處使用計量工具,在該節點處所產生的準確數值係用於生產期間,以決定一個以上部件是否適當地運作,例如工作、運轉等等。
上述實施例的其他優點,包含降低電漿不受電漿腔室侷限、及在電漿腔室內的電弧作用的機率。在電漿腔室內的電漿係加以侷限,以執行各種製程於電漿腔室內的工件之上。隨著電漿未侷限狀態的增加,在工件上的電漿有效性降低。並且,偵測在電漿腔室內的電弧作用。在若干實施例中,電弧作用係電漿腔室中的多個部件之間的突然能量釋放。藉由在決定電漿腔室的變數(例如阻抗、模型偏壓電壓等等)時考慮電壓及電流二者,與單獨使用電壓相比,在電漿腔室可更準確地偵測未侷限狀態和電弧作用。舉例來說,藉由使用電壓與電流探測器,決定在電漿腔室的變數。該變數接著在生產期間加以使用,以準確地決定是否存在未侷限狀態及/或電弧作用。
其他實施態樣,透過以下詳細說明及隨附圖式,將更為明白。
102‧‧‧方法
104‧‧‧阻抗匹配模型
106‧‧‧操作
107‧‧‧操作
110‧‧‧探測器
111‧‧‧探測器
112‧‧‧負載
113‧‧‧RF傳輸線
114‧‧‧阻抗匹配電路
115‧‧‧阻抗匹配電路
116‧‧‧操作
117‧‧‧操作
119‧‧‧操作
122‧‧‧阻抗匹配電路
123‧‧‧系統
126‧‧‧系統
128‧‧‧系統
130‧‧‧主機系統
131‧‧‧工件
134‧‧‧電漿腔室
135‧‧‧電漿腔室
142‧‧‧RF(傳輸)桿
144‧‧‧RF帶
146‧‧‧支持部(圓筒)
148‧‧‧圓筒
150‧‧‧電纜
152‧‧‧電纜
153‧‧‧輸入
155‧‧‧輸入
161‧‧‧RF傳輸模型
162‧‧‧儲存硬體單元(HU)
163‧‧‧電纜模型
165‧‧‧電纜模型
168‧‧‧處理器
169‧‧‧RF傳輸線部分
171‧‧‧系統
172‧‧‧阻抗匹配模型
173‧‧‧RF傳輸模型部分
175‧‧‧電漿腔室
176‧‧‧電路模型
177‧‧‧靜電夾頭(ESC)
179‧‧‧上電極
180‧‧‧電路
181‧‧‧RF傳輸線
183‧‧‧上表面
185、189‧‧‧通訊裝置
189‧‧‧絕緣體
187‧‧‧控制系統
191‧‧‧電纜
192‧‧‧ESC
193‧‧‧電纜
194‧‧‧邊緣環(ER)
195‧‧‧RF傳輸線部分
196‧‧‧加熱構件
197‧‧‧RF傳輸模型部分
198‧‧‧加熱構件
199‧‧‧RF桿
200‧‧‧系統
201‧‧‧ESC
202‧‧‧濾波器
204‧‧‧電源供應器
206‧‧‧電源供應器
208‧‧‧濾波器
210‧‧‧隧道與帶模型
211‧‧‧圓筒模型
213‧‧‧數位脈衝訊號
215‧‧‧RF訊號
216‧‧‧模型
217‧‧‧系統
218‧‧‧模型
219‧‧‧系統
220‧‧‧RF產生器
221‧‧‧RF訊號
222‧‧‧參數控制部
224‧‧‧參數控制部
226‧‧‧數位訊號處理器(DSP)
228‧‧‧驅動器
230‧‧‧放大器
231‧‧‧輸出
232‧‧‧驅動放大系統(DAS)
233‧‧‧通訊裝置
236‧‧‧系統
238‧‧‧探測器
250‧‧‧系統
251‧‧‧節點
253‧‧‧電容器
255‧‧‧輸入
257‧‧‧節點
259‧‧‧輸出
261‧‧‧節點
262‧‧‧工件
263‧‧‧上表面
264‧‧‧上電極
265‧‧‧節點
268、272、275‧‧‧圖形
283‧‧‧輸出
285‧‧‧輸入
287‧‧‧RF傳輸線
293‧‧‧節點
297‧‧‧輸出
320‧‧‧輸入HU
322‧‧‧輸出HU
324‧‧‧輸入/輸出(I/O)介面
326‧‧‧I/O介面
328‧‧‧網路介面控制器(NIC)
330‧‧‧匯流排
藉由參照以下說明結合隨附圖式,可最佳地理解實施例。
根據本揭露內容中所述的一實施例,圖1係一系統的方塊圖,該系統用於決定一阻抗匹配模型的輸出處及一射頻(RF)傳輸模型的一部分的輸出處的變數。
根據本揭露內容中所述的一實施例,圖2係一方法的流程圖,用於決定在RF傳輸模型部分的輸出處的複電壓與電流。
根據本揭露內容中所述的一實施例,圖3A係一系統的方塊圖,用以說明一阻抗匹配電路。
根據本揭露內容中所述的一實施例,圖3B係阻抗匹配模型的電路圖。
根據本揭露內容中所述的一實施例,圖4係用以說明一RF傳輸線的一系統的圖示。
根據本揭露內容中所述的一實施例,圖5A係用以說明RF傳輸線的電路模型的一系統的方塊圖。
根據本揭露內容中所述的一實施例,圖5B係用以說明RF傳輸模型的隧道與帶模型的電路的圖示。
根據本揭露內容中所述的一實施例,圖6係用以決定變數之包含濾波器的一電漿系統的方塊圖。
根據本揭露內容中所述的一實施例,圖7A係一系統的圖示,用以說明濾波器的一模型,以改善變數的準確性。
根據本揭露內容中所述的一實施例,圖7B係一系統的圖示,用以說明濾波器的一模型。
根據本揭露內容中所述的一實施例,圖8係一系統的方塊圖,該系統使用一電流與電壓(VI)探測器量測圖1的系統的RF產生器的輸出處的變數。
根據本揭露內容中所述的一實施例,圖9係一系統的方塊圖,其中VI探測器和通訊裝置係位於該RF產生器之外。
根據本揭露內容中所述的一實施例,圖10係一系統的實施 例的方塊圖,其中利用使用圖1的系統所決定的變數值。
根據本揭露內容中所述的一實施例,圖11A係一圖形的圖示,該圖形描述在一x MHz RF產生器係運轉之時藉由電壓探測器於圖1的系統之內的輸出處所測得的電壓、與利用圖2的方法所決定的電壓之間的相關性。
根據本揭露內容中所述的一實施例,圖11B係一圖形的圖示,該圖形描述在一y MHz RF產生器係運轉之時藉由電壓探測器於圖1的系統之內的輸出處所測得的電壓、與利用圖2的方法所決定的電壓之間的相關性。
根據本揭露內容中所述的一實施例,圖11C係一圖形的圖示,該圖形描述藉由電壓探測器於圖1的系統之內的輸出處所測得的電壓、與在一z MHz RF產生器係運轉之時利用圖2的方法所決定的電壓之間的相關性。
根據本揭露內容中所述的一實施例,圖12A係一圖形的圖示,該圖形說明當x MHz RF產生器係運轉之時使用一感測器工具所測得的接線晶圓偏壓、利用圖2的方法及利用一數學轉換所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖12B係一圖形的圖示,該圖形說明當y MHz RF產生器係運轉之時使用一感測器工具所測得的接線晶圓偏壓、利用圖2的方法及利用一數學轉換所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖12C係一圖形的圖示,該圖形說明當z MHz RF產生器係運轉之時使用一感測器工具所測得的接線晶圓偏壓、利用圖2的方法及利用一數學轉換所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖12D係一圖形的圖示,該圖形說明當x MHz及y MHz RF產生器係運轉之時使用一感測器工具所測得的接線晶圓偏壓、利用圖2的方法及利用一數學轉換所決定的模 型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖12E係一圖形的圖示,該圖形說明當x MHz及z MHz RF產生器係運轉之時使用一感測器工具所測得的接線晶圓偏壓、利用圖2的方法及利用一數學轉換所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖12F係一圖形的圖示,該圖形說明當y MHz及z MHz RF產生器係運轉之時使用一感測器工具所測得的接線晶圓偏壓、利用圖2的方法及利用一數學轉換所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖12G係一圖形的圖示,該圖形說明當x MHz、y MHz、及z MHz RF產生器係運轉之時使用一感測器工具所測得的接線晶圓偏壓、利用圖2的方法及利用一數學轉換所決定的模型偏壓、及在該模型偏壓中的誤差之間的相關性。
根據本揭露內容中所述的一實施例,圖13係圖2的系統的主機系統的方塊圖。
以下實施例描述用於決定一射頻(RF)傳輸線上的一變數值的系統和方法。明顯的是,本實施例可在沒有全部或若干這些特定細節的情況下加以實施。另一方面,眾所周知的製程操作不再詳細描述,以免不必要地混淆本實施例。
圖1係一系統126的實施例的方塊圖,該系統126用於在阻抗匹配模型104的一輸出處、及RF傳輸模型161的一RF傳輸模型部分173的輸出處(例如一模型節點N1m)決定該變數,該RF傳輸模型161係RF傳輸線113的一個模型。RF傳輸線113具有一輸出,例如節點N2。電壓與電流(VI)探測器110在x MHz RF產生器的一輸出處(例如節點N3)測量複電壓與電流Vx、Ix、及Φx,例如第一複電壓與電流。應注意到的是,Vx表示電壓大小,Ix表示電流大小,且Φx表示Vx和Ix之間的相位。該 阻抗匹配模型104具有一輸出,例如一模型節點N4m。
此外,VI探測器111在y MHz RF產生器的一輸出處(例如節點N5)測量複電壓與電流Vy、Iy、及Φy。應注意到的是,Vy表示電壓大小,Iy表示電流大小,且Φy表示Vy和Iy之間的相位。
在若干實施例中,一節點係一裝置的一輸入、一裝置的一輸出、或該裝置內的一點。以下描述使用於此處的一裝置。
x MHz的例子包含2MHz、27MHz、及60MHz。y MHz的例子包含2MHz、27MHz、及60MHz。x MHz係不同於y MHz。舉例來說,當x MHz係2MHz時,y MHz係27MHz或60MHz。當x MHz係27MHz時,y MHz係60MHz。
VI探測器110和111每一者的例子,包含符合預設準則的VI探測器。預設準則的例子,包含受到開發感測器標準之協會所遵守的一標準。預設準則的另一例子,包含國家標準技術研究所(NIST)標準。舉例來說,VI探測器110或111係根據NIST標準加以校準。在此實例中,VI探測器110或111係與開路、短路、或已知負載連接,以校準VI探測器110或111符合NIST標準。VI探測器110或111可首先與開路連接,接著與短路連接,且接著與已知負載連接,以基於NIST標準校準VI探測器110。VI探測器110或111可以任何順序與已知負載、開路、及短路連接,以根據NIST標準校準VI探測器110或111。已知負載的例子包含50歐姆負載、100歐姆負載、靜負載、直流(DC)負載、電阻器等等。舉例來說,VI探測器110和111每一者係根據NIST可追蹤標準加以校準。
VI探測器110係連接至x MHz RF產生器的輸出,例如節點N3。x MHz RF產生器的輸出(例如節點N3)係經由電纜150連接至阻抗匹配電路114的輸入153。此外,VI探測器111係連接至y MHz RF產生器的輸出,例如節點N5。y MHz RF產生器的輸出(例如節點N5)經由電纜152連接至阻抗匹配電路114的另一輸入155。
阻抗匹配電路114的一個輸出(例如節點N4)係連接至RF傳輸線113的一個輸入。RF傳輸線113包含一RF傳輸線部分169及另一 RF傳輸線部分195。RF傳輸線部分169的輸入,係RF傳輸線113的輸入。RF傳輸線部分169的輸出(例如節點N1)係連接至RF傳輸線部分195的輸入。RF傳輸線部分195的輸出(例如節點N2)係連接至電漿腔室175。RF傳輸線部分195的輸出係RF傳輸線113的輸出。RF傳輸線部分169的例子,包含RF筒及RF帶。該RF筒係連接至該RF帶。RF傳輸線部分195的例子包含一RF桿及/或用於支持電漿腔室175的一支持部。
電漿腔室175包含一靜電夾頭(ESC)177、一上電極179、及其他部件(未顯示),例如圍繞上電極179的一上介電環、圍繞該上介電環的一上電極延伸部、圍繞ESC 177的一下電極的一下介電環、圍繞該下介電環的一下電極延伸部、一上電漿排除區(PEZ)環、一下PEZ環等等。上電極179係位於ESC 177的對面且面向ESC 177。該ESC包含下電極。一工件131(例如半導體晶圓等等)係被支承於ESC 177的上表面183。在生產期間將各種製程執行於該工件131上,例如化學汽相沉積、清潔、沉積、噴鍍、蝕刻、離子佈植、光阻剝除等等的製程。例如特定用途積體電路(ASIC)、可程式邏輯元件(PLD)等等的積體電路係形成於該工件131之上,且該積體電路係用於各種電子裝置,例如行動電話、平板電腦、智慧型手機、電腦、膝上型電腦、網路設備等等。上電極179和下電極每一者係由金屬構成,例如鋁、鋁合金、銅等等。
在一個實施例中,上電極179包含一開口,連接至一中央氣體饋入部(未顯示)。該中央氣體饋入部從一氣體供應部(未顯示)接收一種以上製程氣體。製程氣體的例子包括含氧氣體,例如O2。製程氣體的其他例子包含含氟氣體,例如四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等等。上電極179係接地。ESC 177係經由阻抗匹配電路114連接至x MHz RF產生器及y MHz RF產生器。
當將製程氣體供應於上電極179及ESC 177之間,且當x MHz RF產生器及/或y MHz RF產生器經由阻抗匹配電路114及RF傳輸線113供應RF訊號至ESC 177之時,製程氣體被點燃而在電漿腔室175之內產生電漿。
當x MHz RF產生器產生一RF訊號並將該RF訊號經由節點N3、阻抗匹配電路114、及RF傳輸線113提供至ESC 177之時,且當y MHz產生器產生一RF訊號並將該RF訊號經由節點N5、阻抗匹配電路114、及RF傳輸線113提供至ESC 177之時,VI探測器110測量在節點N3處的複電壓與電流,且VI探測器111測量在節點N5處的複電壓與電流。
由VI探測器110和111所量測的複電壓與電流,自對應的VI探測器110和111經由對應的通訊裝置185和189提供至用於儲存的主機系統130的儲存硬體單元(HU)162。舉例來說,由VI探測器110所量測的複電壓與電流,經由通訊裝置185及電纜191提供至主機系統130,並且,由VI探測器111所量測的複電壓與電流,經由通訊裝置189及電纜193提供至主機系統130。通訊裝置的例子,包含:乙太網路裝置,其將資料轉換成乙太網路封包且將乙太網路封包轉換成資料;控制自動化技術乙太網路(EtherCAT,Ethernet for Control Automation Technology)裝置;串列介面裝置,其將資料串列傳送;平行介面裝置,其將資料平行傳送;通用串列匯流排(USB)介面裝置等等。
主機系統130的例子包含電腦,例如桌上型電腦、膝上型電腦、平板電腦等等。舉例來說,主機系統130包含一處理器及儲存HU 162。當使用於此處,一處理器可為一中央處理單元(CPU)、一微處理器、一特定用途積體電路(ASIC)、一可程式邏輯元件(PLD)等等。儲存HU的例子包含唯讀記憶體(ROM)、隨機存取記憶體(RAM)、或其組合。儲存HU可為快閃記憶體、容錯式磁碟陣列(RAID)、硬碟等等。
阻抗匹配模型104係儲存於儲存HU 162之內。阻抗匹配模型104具有與阻抗匹配電路114類似的特性,例如電容、電感、複功率、複電壓與電流等等。舉例來說,阻抗匹配模型104具有與阻抗匹配電路114之內相同數量的電容器及/或電感器,且該等電容器及/或電感器係以與阻抗匹配電路114之內相同的方式(例如串聯、並聯等等)彼此連接。舉例來說,當阻抗匹配電路114包含串聯於一電感器的一電容器時,阻抗匹配模型104亦包含與該電感器串聯的該電容器。
舉例來說,阻抗匹配電路114包含一個以上電元件,且阻抗匹配模型104包含阻抗匹配電路114的一設計,例如電腦生成模型。該電腦生成模型可藉由一處理器基於經由一輸入硬體單元自一使用者所接收的輸入訊號加以產生。該等輸入訊號包含多個訊號,其係關於將電元件(例如電容器、電感器等等)何者包含進一模型,以及將該等電元件彼此連接的方式(例如串聯、並聯等等)。作為另一範例,阻抗匹配電路114包含硬體電元件以及該等電元件之間的硬體連接,且阻抗匹配模型104包含該等硬體電元件的軟體表示及該等硬體連接的軟體表示。又舉例來說,阻抗匹配模型104係使用軟體程式加以設計,且阻抗匹配電路114係製作於印刷電路板之上。當使用於此處,電元件可包含電阻器、電容器、電感器、電阻器之間的接線、電感器之間的接線、電容器之間的接線、及/或電阻器、電感器、及電容器的組合之間的接線。
類似地,電纜模型163和電纜150具有類似的特性,且電纜模型165和電纜152具有類似的特性。舉例來說,電纜模型163的電感係與電纜150的電感相同。作為另一範例,電纜模型163係電纜150的電腦生成模型,且電纜模型165係電纜152的電腦生成模型。類似地,RF傳輸模型161和RF傳輸線113具有類似的特性。舉例來說,RF傳輸模型161具有與RF傳輸線113之內相同數量的電容器及/或電感器,且該等電容器及/或電感器係以與RF傳輸線113之內相同的方式(例如串聯、並聯等等)彼此連接。為了進一步說明,當RF傳輸線113包含與一電感器並聯的一電容器時,RF傳輸模型161亦包含與該電感器並聯的該電容器。作為又另一範例,RF傳輸線113包含一個以上電元件,且RF傳輸模型161包含RF傳輸線113的一設計,例如電腦生成模型。
基於經由電纜191自VI探測器110所接收的複電壓與電流,以及阻抗匹配模型104之內構件(例如電感器、電容器等等)的特性(例如電容、電感等等),主機電腦130的處理器計算阻抗匹配模型104的輸出(例如模型節點N4m)處的複電壓與電流V、I、和Φ,例如第二複電壓與電流。在模型節點N4m處的複電壓與電流係儲存於主機系統130的儲 存HU 162及/或另一儲存HU,例如光碟、快閃記憶體等等。複V、I、及Φ包含電壓大小V、電流大小I、及電壓和電流之間的相位Φ。
阻抗匹配模型104的輸出係連接至RF傳輸模型161的輸入,RF傳輸模型161係儲存於儲存硬體單元162之中。阻抗匹配模型104亦具有一輸入,例如節點N3m,其係用以接收在節點N3處所量測的複電壓與電流。
RF傳輸模型161包含RF傳輸模型部分173、另一RF傳輸模型部分197、及輸出N2m。RF傳輸模型部分173的一輸入,係RF傳輸模型161的輸入。RF傳輸模型部分173的一輸出係連接至RF傳輸模型部分197的一輸入。RF傳輸模型部分173具有與RF傳輸線部分169類似的特徵,且RF傳輸模型部分197具有與RF傳輸線部分195類似的特徵。
基於在模型節點N4m所測得的複電壓與電流,主機系統130的處理器計算RF傳輸模型161的RF傳輸模型部分173的輸出處(例如模型節點N1m)的複電壓與電流V、I、和Φ,例如第三複電壓與電流。在模型節點N1m所決定的複電壓與電流,係儲存於主機系統130的儲存HU 162及/或另一儲存HU,例如光碟、快閃記憶體等等。
在一些實施例中,替代決定第三複電壓與電流或除了決定第三複電壓與電流之外,主機系統130的處理器,基於阻抗匹配模型104的輸出處的複電壓與電流,以及RF傳輸模型161的輸入與RF傳輸模型部分173之內的一點(例如一節點等等)之間構件的特性,計算RF傳輸模型部分173之內該點處的複電壓與電流,例如中間複電壓與電流V、I、和Φ。
在各種實施例中,替代決定第三複電壓與電流或除了決定第三複電壓與電流之外,主機系統130的處理器,基於阻抗匹配模型104的輸出處的複電壓與電流,以及RF傳輸模型161的輸入與RF傳輸模型部分197之內的一點(例如一節點等等)之間構件的特性,計算RF傳輸模型部分197之內該點處的複電壓與電流,例如中間複電壓與電流V、I、和Φ。
更應注意的是,在若干實施例中,在阻抗匹配模型104的輸出處的複電壓與電流,係基於x MHz RF產生器的輸出處的複電壓與電流、 電纜模型163的構件的特性、及阻抗匹配模型104的特性而加以計算。
更應注意的是,雖然顯示二個產生器連接至阻抗匹配電路114,在一個實施例中,任何數量的RF產生器(例如單一產生器、三個產生器等等)係經由一阻抗匹配電路連接至電漿腔室175。舉例來說,2MHz產生器、27MHz產生器、及60MHz產生器可經由一阻抗匹配電路連接至電漿腔室175。舉例來說,雖然上述實施例係就使用在節點N3處所測得的複電壓與電流而加以描述,在各種實施例中,上述實施例亦可使用在節點N5處所測得的複電壓與電流。
圖2係方法102實施例的流程圖,方法102用於決定在RF傳輸模型部分173(圖1)的輸出處的複電壓與電流。方法102係藉由主機系統130(圖1)的一個以上處理器加以執行。在操作106中,由儲存HU 162(圖1)之內確定在節點N3處所測得的複電壓與電流,例如第一複電壓與電流。舉例來說,決定第一複電壓與電流係接收自電壓探測器110(圖1)。作為另一範例,基於儲存於儲存HU 162(圖1)的電壓探測器110的標識,決定第一複電壓與電流係與該標識相關聯。
再者,在操作107中,阻抗匹配模型104(圖1)係基於阻抗匹配電路114(圖1)的電元件加以產生。舉例來說,阻抗匹配電路114的多個電元件之間的連接、以及該等電元件的特性,係由使用者經由與主機系統130連接的一輸入裝置,提供至主機系統130的處理器。在接收該等連接與該等特性時,處理器產生具有與阻抗匹配電路114的電元件相同特性的構件,且產生具有相同於該等電元件之間連接的該等構件之間的連接。
阻抗匹配模型104的輸入(例如節點N3m)接收第一複電壓與電流。舉例來說,主機系統130的處理器自儲存HU 162存取(例如讀取等等)第一複電壓與電流,且提供第一複電壓與電流至阻抗匹配模型104的輸入以處理該第一複電壓與電流。
在操作116中,將第一複電壓與電流,由阻抗匹配模型104(圖1)的輸入(例如節點N3m(圖1)),經由阻抗匹配模型104的一個以 上構件,傳導至阻抗匹配模型104的輸出(例如節點N4m(圖1)),以決定第二複電壓與電流,其係在阻抗匹配模型104的輸出處。舉例來說,參照圖3B,當2MHz RF產生器係開啟(例如運轉的、通電等等)之時,基於電容器253的電容,基於電容器C5的電容,且基於在輸入255處所接收的第一複電壓與電流,決定在節點251(例如一中間節點)處的複電壓與電流Vx1、Ix1、及Φx1,例如一中間複電壓與電流,其包含電壓大小Vx1、電流大小Ix1、及複電壓與電流之間的相位Φx1。此外,基於複電壓與電流Vx1、Ix1、及Φx1,且基於電感器L3的電感,決定在節點257的複電壓與電流Vx2、Ix2、及Φx2。複電壓與電流Vx2、Ix2、及Φx2包含電壓大小Vx2、電流大小Ix2、及電壓和電流之間的相位Φx2。當27MHz RF產生器和60MHz RF產生器係關閉(例如非運轉的、斷電等等)之時,將複電壓與電流V2、I2、及Φ2決定為在輸出259處的第二複電壓與電流,輸出259係阻抗匹配模型104(圖1)的輸出(例如模型節點N4m(圖1))的一個例子。複電壓與電流V2、I2、及Φ2,係基於複電壓與電流Vx2、Ix2、及Φx2以及電感器L2的電感而加以決定。複電壓與電流V2、I2、及Φ2包含電壓大小V2、電流大小I2、及電壓和電流之間的相位Φ2。
類似地,當27MHz RF產生器係開啟且2MHz和60MHz RF產生器係關閉之時,基於在節點261處所接收的複電壓與電流以及電感器LPF2、電容器C3、電容器C4、及電感器L2的特性,決定在輸出259處的複電壓與電流V27、I27、及Φ27。複電壓與電流V27、I27、及Φ27包含電壓大小V27、電流大小I27、及電壓和電流之間的相位Φ27。在節點261處所接收的複電壓與電流,係相同於在節點N5(圖1)處所測得的複電壓與電流。當2MHz和27MHz RF產生器二者係開啟且60MHz RF產生器係關閉之時,複電壓與電流V2、I2、Φ2、V27、I27、及Φ27係第二複電壓與電流的例子。此外,類似地,當60MHz RF產生器係開啟且2MHz和27MHz RF產生器係關閉時,在輸出259處的複電壓與電流V60、I60、及Φ60係基於在節點265處所接收的複電壓與電流以及電感器LPF1、電容器C1、電容器C2、及電感器L4、電容器269、及電感器L1的特性而加以決定。複 電壓與電流V60、I60、及Φ60包含電壓大小V60、電流大小I60、及電壓和電流之間的相位Φ60。當2MHz、27MHz、及60MHz RF產生器係開啟時,複電壓與電流V2、I2、Φ2、V27、I27、Φ27、V60、I60、及Φ60係第二複電壓與電流的例子。
在操作117中,RF傳輸模型161(圖1)係基於RF傳輸線113(圖1)的電元件加以產生。舉例來說,RF傳輸線113的電元件之間的連接、及該等電元件的特性,係由使用者經由與主機系統130連接的一輸入裝置提供至主機系統130的處理器。在接收到該等連接和該等特性時,該處理器產生具有與RF傳輸線113的電元件相同特性的構件,且產生與該等電元件之間連接相同的該等構件之間連接。
在操作119中,第二複電壓與電流係由RF傳輸模型部分173的輸入經由RF傳輸模型部分173的一個以上構件傳導至RF傳輸模型部分173的輸出(例如模型節點N1m(圖1)),以決定RF傳輸模型部分173的輸出處的第三複電壓與電流。舉例來說,參照圖5B,當2MHz RF產生器係開啟且27MHz和60MHz RF產生器係關閉之時,基於電感器Ltunnel的電感,基於電容器Ctunnel的電容,且基於複電壓與電流V2、I2、及Φ2(圖3B)(其為第二複電壓與電流的一個例子),決定在節點293處(例如一中間節點)的複電壓與電流Vx4、Ix4、及Φx4,例如一中間複電壓與電流。應注意的是,Ltunnel係一RF隧道(RF tunnel)的電腦生成模型的電感,且Ctunnel係RF隧道模型的電容。此外,基於複電壓與電流Vx4、Ix4、及Φx4以及基於電感器Lstrap的電感,決定一隧道與帶模型210的輸出297處的複電壓與電流V21、I21、及Φ21。輸出297係RF傳輸模型部分173(圖1)的輸出(例如模型節點N1m(圖1))的例子。應注意的是,Lstrap係RF帶的電腦生成模型的電感。當2MHz RF產生器係開啟且27MHz和60MHz RF產生器係關閉(例如非運轉的、斷電等等)時,將複電壓與電流V21、I21、及Φ21決定為在輸出297處的第三複電壓與電流。
類似地,當27MHz RF產生器係開啟且2MHz和60MHz RF產生器係關閉時,基於在輸出259處的複電壓與電流V27、I27、及Φ27(圖 3B)以及電感器Ltunnel、電容器Ctunnel、及電感器Lstrap的特性,決定在輸出297處的複電壓與電流V271、I271、及Φ271。當2MHz和27MHz RF產生器皆開啟且60MHz RF產生器係關閉時,複電壓與電流V21、I21、Φ21、V271、I271、Φ271係第三複電壓與電流的一個例子。
此外,類似地,當60MHz RF產生器係通電且2MHz和27MHz RF產生器係斷電時,基於在節點259處所接收的複電壓與電流V60、I60、及Φ60(圖3B)以及電感器Ltunnel、電容器Ctunnel、及電感器Lstrap的特性,決定在輸出297處的複電壓與電流V601、I601、及Φ601。當2MHz、27MHz、及60MHz RF產生器係開啟時,複電壓與電流V21、I21、Φ21、V271、I271、Φ271、V601、I601、及Φ601係第三複電壓與電流的一個例子。方法102在操作119之後結束。
圖3A係系統123的實施例的方塊圖,系統123係用以說明阻抗匹配電路122。阻抗匹配電路122係阻抗匹配電路114(圖1)的一個例子。阻抗匹配電路122包含多個電元件的串聯連接及/或多個電元件的並聯連接。
圖3B係阻抗匹配模型172的實施例的電路圖。阻抗匹配模型172係阻抗匹配模型104(圖1)的一個例子。如所顯示,阻抗匹配模型172包含具有電容C1到C9的電容器以及具有電感LPF1、LPF2、及L1到L4的電感器。應注意的是,在圖3B中該等電感器及/或電容器彼此連接的方式係一範例。舉例來說,在圖3B中所顯示的電感器及/或電容器可以串聯及/或並聯方式彼此連接。並且,在若干實施例中,阻抗匹配模型172包含與圖3B中所顯示不同數量的電容器及/或不同數量的電感器。
圖4係系統178的實施例的圖示,用以說明RF傳輸線181,其係RF傳輸線113(圖1)的一個例子。RF傳輸線181包含圓筒148,例如一隧道。絕緣體190及RF桿142位在圓筒148的空心部之內。圓筒148和RF桿142的組合,係RF傳輸線113(圖1)的RF傳輸線部分169(圖1)的一個例子。RF傳輸線113係藉由螺栓B1、B2、B3、及B4而與阻抗匹配電路114加以螺栓連接。在一個實施例中,RF傳輸線113係經由任何 數量的螺栓而與阻抗匹配電路114加以螺栓連接。在若干實施例中,替代螺栓或在螺栓以外,使用任何其他形式的接合,例如黏著劑、螺絲等等,以將RF傳輸線113接合至阻抗匹配電路114。
RF傳輸桿142係與阻抗匹配電路114的輸出連接。並且,一RF帶144,又稱為RF匙,係連接至RF桿142和RF桿199,RF桿199的一部分係位於支持部146(例如一圓筒)之內。在一個實施例中,圓筒148、RF桿142、RF帶144、圓筒146、及RF桿199的組合形成RF傳輸線181,其係RF傳輸線113(圖1)的一個例子。支持部146對電漿腔室提供支持。支持部146係附接至電漿腔室的ESC 177。RF訊號係由x MHz產生器經由電纜150、阻抗匹配電路114、RF桿142、RF帶144、及RF桿199供應至ESC 177。
在一個實施例中,ESC 177包含一加熱構件及在該加熱構件頂部的電極。在一個實施例中,ESC 177包含加熱構件及下電極。在一個實施例中,ESC 177包含下電極及在該下電極內所形成的開口內所嵌入的加熱構件,例如線圈線等等。在若干實施例中,電極係由金屬所構成,例如鋁、銅等等。應注意的是,RF傳輸線181將RF訊號供應至ESC 177的下電極。
圖5A係系統171的實施例的方塊圖,用以說明RF傳輸線113(圖1)的電路模型176。舉例來說,電路模型176包含電感器和/或電容器、該等電感器之間的連接、該等電容器之間的連接、及/或該等電感器和電容器之間的連接。連接的例子包含串聯及/或並聯連接。電路模型176係RF傳輸模型161(圖1)的一個例子。
圖5B係電路180的實施例的圖示,用以說明隧道與帶模型210,其係RF傳輸模型161(圖1)的RF傳輸模型部分173(圖1)的一個例子。電路180包含阻抗匹配模型172以及隧道與帶模型210。隧道與帶模型210包含電感器Ltunnel和Lstrap以及電容器Ctunnel。應注意到的是,電感器Ltunnel代表圓筒148(圖4)及RF桿142的電感,且電容器Ctunnel代表圓筒148及RF桿142的電容。此外,電感器Lstrap代表RF帶144(圖4)的電感。
在一個實施例中,隧道與帶模型210包含任何數量的電感器及/或任何數量的電容器。在這個實施例中,隧道與帶模型210包含連接一電容器至另一電容器、連接一電容器至一電感器、及/或連接一電感器至另一電感器的任何方式,例如串聯、並聯等等。
圖6係使用藉由方法102(圖2)所決定的變數的系統200的實施例的方塊圖。系統200包含一電漿腔室135,其更包含ESC 201且具有一輸入285。電漿腔室135係電漿腔室175(圖1)的一個例子,且ESC 201係ESC 177(圖1)的一個例子。ESC 201包含加熱構件198。並且,ESC 201係以邊緣環(ER)194加以圍繞。ER 194包含加熱構件196。在一個實施例中,ER 194促成均勻的蝕刻率、以及在由ESC 201所支持的工件131的邊緣附近之降低的蝕刻率漂移。
電源供應器206經由濾波器208提供功率至加熱構件196以加熱該加熱構件196,且電源供應器204經由濾波器202提供功率至加熱構件198以加熱該加熱構件198。在一個實施例中,單一電源供應器提供功率至加熱構件196和198二者。濾波器208過濾從電源供應器206所接收的一功率訊號的預定頻率,且濾波器202過濾從電源供應器204所接收的一功率訊號的預定頻率。
加熱構件198係藉由接收自電源供應器204的功率訊號加熱,以將ESC 201的電極維持於所期望的溫度,俾以進一步將電漿腔室135之內的環境維持於所期望的溫度。此外,加熱構件196係藉由接收自電源供應器206的功率訊號加熱,以將ER 194維持於所期望的溫度,俾以進一步將電漿腔室135內的環境維持於所期望的溫度。
應注意的是,在一個實施例中,在ER 194和ESC 201包含任意數量的加熱構件及任何類型的加熱構件。舉例來說,ESC 201包含電感加熱構件或金屬板。在一個實施例中,ESC 201和ER 194的每一者包含一個以上冷卻構件(例如容許冷水通過的一條以上的管),以將電漿腔室135維持於所期望的溫度。
更應注意的是,在一個實施例中,系統200包含任何數量的 濾波器。舉例來說,電源供應器204和206係經由單一濾波器連接至ESC 201和ER 194。
圖7A係系統217的實施例的圖示,用以說明濾波器202和208(圖6)的模型,以改善變數的準確性。系統217包含與模型216連接的隧道與帶模型210,模型216包含濾波器202和208的電容器和/或電感器、以及其間的連接。模型216係儲存於儲存HU 162(圖1)及/或其他儲存HU之內。模型216的電容器及/或電感器,係以一方式(例如並聯方式、串聯方式、其組合等等)彼此連接。模型216代表濾波器202和208的電容及/或電感。
此外,系統217包含圓筒模型211,其係RF桿199(圖4)及支持部146(圖4)的電腦生成模型。圓筒模型211具有與RF桿199和支持部146的電元件類似的特性。圓筒模型211包含一個以上電容器、一個以上電感器、該等電感器之間的連接、該等電容器之間的連接、及/或該等電容器和電感器之組合之間的連接。
主機系統130(圖1)的處理器計算模型216、隧道與帶模型210、及圓筒模型211的合成阻抗,例如總阻抗等等。該合成阻抗提供在節點N2m處的複電壓和阻抗。在決定節點N2m處的變數之操作包含模型216和隧道與帶模型210的情況下,變數的準確性受到改善。應注意的是,模型216的輸出係模型節點N2m。
圖7B係系統219之實施例的圖示,用以說明用於改善變數準確性的濾波器202和208(圖6)的模型。系統219包含隧道與帶模型210及模型218,模型218係與隧道與帶模型210並聯連接。模型218係模型216(圖7A)的一個例子。模型218包含一電感器Lfilter,其表示濾波器202和208的合成電感。模型218更包含電容器Cfilter,其表示濾波器202和208的目標(directed)合成電容。
圖8係系統236的實施例的方塊圖,系統236用於使用VI探測器238量測RF產生器220的輸出231處的變數。輸出231係節點N3(圖1)或節點N5(圖1)的一個例子。RF產生器220係x MHz產生器或 y MHz產生器(圖1)的一個例子。主機系統130產生具有二個以上狀態的數位脈衝訊號213,且提供該數位脈衝訊號213至數位訊號處理器(DSP)226。在一個實施例中,該數位脈衝訊號213係電晶體電晶體邏輯(TTL)訊號。該等狀態的範例包含開狀態和關狀態、具有數位值1的狀態和具有數位值0的狀態、高狀態和低狀態等等。
在另一實施例中,替代主機系統130,使用時脈振盪器(例如晶體振盪器)產生類比時脈訊號,其藉由一類比至數位轉換器轉換成與數位脈衝訊號213類似的數位訊號。
數位脈衝訊號213係傳送至DSP 226。DSP 226接收數位脈衝訊號213且辨識該數位脈衝訊號213的狀態。舉例來說,DSP 226判定數位脈衝訊號213在第一組時間段期間具有一第一量值,例如數值1、高狀態量值等等,且在第二組時間段期間具有一第二量值,例如數值0、低狀態量值等等。DSP 226判定,數位脈衝訊號213在第一組時間段期間具有狀態S1且在第二組時間段期間具有狀態S0。狀態S0的例子包含低狀態、具有數值0的狀態、及關狀態。狀態S1的例子包含高狀態、具有數值1的狀態、及開狀態。作為又另一範例,DSP 226將數位脈衝訊號213的量值與一預儲存數值比較,以判別數位脈衝訊號213的量值在第一組時間段期間是否大於該預儲存數值,以及在第二組時間段期間該數位脈衝訊號213的狀態S0時的量值不大於該預儲存數值。在使用時脈振盪器的實施例中,DSP 226自時脈振盪器接收一類比時脈訊號,轉換該類比訊號為數位形式,且接著辨識二個狀態S0和S1。
當將一狀態辨識成S1,DSP 226將功率值P1及/或頻率值F1提供至參數控制部222。此外,當將該狀態辨識成S0,DSP 226將功率值P0及/或頻率值F0提供至參數控制部224。用以調整頻率的參數控制部的一個例子,包含自動頻率調諧器(AFT)。
應注意的是,參數控制部222、參數控制部224、及DSP 226係控制系統187的部分。舉例來說,參數控制部222及參數控制部224係邏輯塊,例如調整迴圈,其係由DSP 226所執行的電腦程式的部分。在若 干實施例中,電腦程式係包含在非暫時性電腦可讀媒體之內,例如儲存HU。
在一個實施例中,替代參數控制部,使用一控制器,例如硬體控制器、ASIC、PLD等等。舉例來說,使用硬體控制器取代參數控制部222,且使用另一硬體控制器取代參數控制部224。
當接收到功率值P1及/或頻率值F1之時,參數控制部222將該功率值P1及/或該頻率值F1提供至驅動放大系統(DAS)232的驅動器228。驅動器的例子包含功率驅動器、電流驅動器、電壓驅動器、電晶體等等。驅動器228產生具有該功率值P1及/或頻率值F1的RF訊號,且提供該RF訊號至DAS 232的放大器230。
在一個實施例中,驅動器228產生一RF訊號,其具有隨功率值P1變化的一驅動功率值,且/或具有隨頻率值F1變化的一驅動頻率值。舉例來說,該驅動功率值係在該功率值P1的幾瓦(例如1到5瓦)之內,且該驅動頻率值係在該頻率值F1的幾赫茲(例如1到5Hz)之內。
放大器230將具有該功率值P1及/或該頻率值F1的RF訊號放大,且產生對應接收自驅動器228的RF訊號的一RF訊號215。舉例來說,RF訊號215具有與該功率值P1相比較高的功率量。作為另一範例,RF訊號215具有與功率值P1相同的功率量。RF訊號215經由電纜223及阻抗匹配電路114傳送至已知負載。
電纜223係電纜150或電纜152(圖1)的例子。舉例來說,當RF產生器220係x MHz RF產生器(圖1)的一個例子時,電纜223係電纜150的一個例子,且當RF產生器220係y MHz RF產生器(圖1)的一個例子時,電纜223係電纜152的一個例子。
當將功率值P1及/或頻率值F1藉由參數控制部222提供至DAS 232且產生RF訊號215之時,VI探測器238測量於連接至電纜223的輸出231處之變數數值。VI探測器238係VI探測器110或VI探測器111(圖1)的例子。VI探測器238將變數數值經由通訊裝置233傳送至主機系統130,以供主機系統130執行方法102(圖2)及此處所述的其他方法。通訊裝置233係通訊裝置185或189(圖1)的一個例子。通訊裝置233應 用一協定(例如乙太網路、EtherCAT、USB、串列、平行、封包、拆包等等),以將資料由VI探測器238傳送至主機系統130。在各種實施例中,主機系統130包含一通訊裝置,其應用通訊裝置233所應用的該協定。舉例來說,當通訊裝置233應用封包協定,主機系統130的通訊裝置應用拆包協定。作為另一範例,當通訊裝置233應用一串列傳輸協定時,主機系統130的通訊裝置應用一串列傳輸協定。
類似地,當接收到功率值P0及/或頻率值F0之時,參數控制部224將該功率值P0及/或該頻率值F0提供至驅動器228。驅動器228產生具有該功率值P0及/或頻率值F0的RF訊號,且提供該RF訊號至放大器230。
在一個實施例中,驅動器228產生一RF訊號,其具有隨功率值P0變化的一驅動功率值,且/或具有隨頻率值F0變化的一驅動頻率值。舉例來說,該驅動功率值係在該功率值P0的幾瓦(例如1到5瓦)之內,且該驅動頻率值係在該頻率值F0的幾赫茲(例如1到5Hz)之內。
放大器230將具有該功率值P0及/或該頻率值F0的RF訊號放大,且產生對應接收自驅動器228的RF訊號的一RF訊號221。舉例來說,RF訊號221具有與該功率值P0相比較高的功率量。作為另一範例,RF訊號221具有與功率值P0相同的功率量。RF訊號221經由電纜223及阻抗匹配電路114傳送至已知負載。
當將功率值P0及/或頻率值F0藉由參數控制部224提供至DAS 232且產生RF訊號221之時,VI探測器238測量於輸出231處之變數值。VI探測器238將變數值傳送至主機系統130,以供主機系統130執行方法102(圖2)。
應注意的是,在一個實施例中,VI探測器238係與DSP 226分離。更應注意的是,在狀態S1期間所產生的RF訊號215及在狀態S0期間所產生的RF訊號221係一合成RF訊號的部分。舉例來說,RF訊號215係具有與RF訊號221相比較高功率量的合成RF訊號的一部分,RF訊號221係該合成RF訊號的另一部分。
圖9係系統250的實施例的方塊圖,在系統250中VI探測器238和通訊裝置233係位於RF產生器220之外。在圖1中,VI探測器110係位於x MHz RF產生器之內以測量在x MHz RF產生器的輸出處的變數。VI探測器238係位於RF產生器220之外以測量在RF產生器220的輸出231處的變數。VI探測器238係關聯於(例如連接至)RF產生器220的輸出231。
圖10係系統128的實施例的方塊圖,在系統128中使用藉由圖1的系統126所決定的變數值。系統128包含m MHz RF產生器、n MHz RF產生器、阻抗匹配電路115、RF傳輸線287、及電漿腔室134。電漿腔室134可類似於電漿腔室175。
應注意的是,在一個實施例中,圖1的x MHz RF產生器係類似m MHz RF產生器,且圖1的y MHz RF產生器係類似n MHz RF產生器。舉例來說,x MHz係等於m MHz且y MHz係等於n MHz。作為另一範例,x MHz產生器和m MHz產生器具有類似的頻率,且y MHz產生器和n MHz產生器具有類似的頻率。類似頻率的例子係當x MHz係在m MHz頻率的一窗口之內(例如kHz或Hz之內)。在若干實施例中,圖1的x MHzRF產生器係不類似於m MHz RF產生器,且圖1的y MHz RF產生器係不類似於n MHz RF產生器。
更要注意的是,在各種實施例中,在m MHz及n MHz RF產生器每一者中,使用與在x MHz及y MHz RF產生器每一者中所使用不同類型的感測器。舉例來說,在m MHz RF產生器中使用不遵循NIST標準的感測器。作為另一範例,在m MHz RF產生器中使用僅量測電壓的電壓感測器。
更應注意的是,在一個實施例中,阻抗匹配電路115係類似於阻抗匹配電路114(圖1)。舉例來說,阻抗匹配電路114的阻抗係與阻抗匹配電路115的阻抗相同。作為另一範例,阻抗匹配電路115的阻抗係在阻抗匹配電路114的一阻抗窗口之內(例如10-20%之內)。在若干實施例中,阻抗匹配電路115係不類似於阻抗匹配電路114。
阻抗匹配電路115包含電元件,例如電感器、電容器等等,以將連接至阻抗匹配電路115的功率源的阻抗與連接至阻抗匹配電路115之負載的阻抗加以匹配。舉例來說,阻抗匹配電路114將m MHz及n MHz RF產生器的阻抗與電漿腔室134的阻抗匹配。在一個實施例中,將阻抗匹配電路115加以調整,以協助與阻抗匹配電路115連接的m MHz及n MHz RF產生器的阻抗與負載的阻抗之間的匹配。
應注意的是,在一個實施例中,RF傳輸線287係類似於RF傳輸線113(圖1)。舉例來說,RF傳輸線287的阻抗係與RF傳輸線113的阻抗相同。作為另一範例,RF傳輸線287的阻抗係在RF傳輸線113的一阻抗窗口之內(例如10-20%之內)。在各種實施例中,RF傳輸線287係不類似於RF傳輸線113。
電漿腔室134包含ESC 192、上電極264、及其他部件(未顯示),例如圍繞上電極264的上介電環、圍繞該上介電環的上電極延伸部、圍繞ESC 192的下電極的下介電環、圍繞下介電環的下電極延伸部、上電漿排除區(PEZ)環、下PEZ環等等。上電極264係位於ESC 192的對面且面向ESC 192。一工件262(例如半導體晶圓等等)係被支承於ESC 192的上表面263。上電極264與ESC 192的下電極每一者係由金屬製成,例如鋁、鋁合金、銅等等。
在一個實施例中,上電極264包含一開口,連接至一中央氣體饋入部(未顯示)。該中央氣體饋入部從一氣體供應部(未顯示)接收一種以上製程氣體。製程氣體的例子包括含氧氣體,例如O2。製程氣體的其他例子包含含氟氣體,例如四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等等。上電極264係接地。ESC 192係經由阻抗匹配電路115連接至m MHz RF產生器及n MHz RF產生器。
當將製程氣體供應於上電極264及ESC 192之間,且當m MHz RF產生器或n MHz RF產生器經由阻抗匹配電路115供應功率至ESC 192之時,製程氣體被點燃而在電漿腔室134之內產生電漿。
應注意的是,系統128不具有探測器(例如計量工具、VI 探測器、電壓探測器等等)量測於阻抗匹配電路115的輸出283處、或在RF傳輸線287上的一點處的變數。在模型節點N1m、N2m、及N4m處的變數值係用以決定系統128是否如所期望的運作。
亦應注意的是,在一個實施例中,系統128包含連接至阻抗匹配電路的任何數量的RF產生器。
圖11A、11B、及11C係實施例圖形268、272、及275的圖示,該等圖形描述藉由電壓探測器於系統126(圖1)之內阻抗匹配電路114(圖1)的輸出(例如節點N4)處所測得的電壓(例如均方根(RMS)電壓等等)、與利用方法102(圖2)所決定的對應模型節點輸出處(例如節點N4m)的電壓(例如峰值電壓等等)之間的相關性。此外,圖11A到11C係實施例圖形270、274、及277的圖示,該等圖形描述藉由電流探測器在系統126(圖1)的輸出處(例如節點N4)所測得的電流(例如RMS電流等等)、與利用方法102(圖2)所決定的在對應輸出處(例如節點N4m)的電流(例如RMS電流等等)之間的相關性。
利用方法102所決定的電壓係標繪於各圖形268、272、及275之中的x軸之上,且利用電壓探測器所決定的電壓係標繪於各圖形268、272、及275之中的y軸之上。類似地,利用方法102所決定的電流係標繪於各圖形270、274、及277之中的x軸之上,且利用電流探測器所決定的電流係標繪於各圖形270、274、及277之中的y軸之上。
在x MHz RF產生器係運轉的(例如通電等等)且y MHz RF產生器及z MHz RF產生器(例如60MHz RF產生器)係非運轉(例如斷電、與阻抗匹配電路114分離等等)的情況下,將電壓標繪在圖形268之中。此外,在y MHz RF產生器係運轉的且x MHz RF產生器及z MHz RF產生器係非運轉的情況下,將電壓標繪在圖形272之中。並且,在z MHz RF產生器係運轉的且x MHz RF產生器及y MHz RF產生器係非運轉的情況下,將電壓標繪在圖形275之中。
類似地,在x MHz RF產生器係運轉的(例如通電等等)且y MHz RF產生器及z MHz RF產生器係非運轉(例如斷電等等)的情況下, 將電流標繪在圖形270之中。此外,在y MHz RF產生器係運轉的且x MHz RF產生器及z MHz RF產生器係非運轉的情況下,將電流標繪在圖形274之中。並且,在z MHz RF產生器係運轉的且x MHz RF產生器及y MHz RF產生器係非運轉的情況下,將電流標繪在圖形277之中。
在圖形268、272、及275每一者之中可觀察到,在該圖形的y軸所標繪的電壓與該圖形的x軸上所標繪的電壓之間存在一近似線性相關性。類似地,在圖形270、274、及277每一者之中可觀察到,在y軸所標繪的電流與在x軸上所標繪的電流之間存在一近似線性相關性。
圖12A係實施例圖形276和278的圖示,該等圖形說明使用一感測器工具(例如計量工具、探測器、感測器等等)所測得的接線晶圓偏壓、利用方法102(圖2)及一數學轉換(例如等式、方程式等等)所決定的模型偏壓、及在該模型偏壓中的誤差之間存在相關性。在圖形276中所標繪的接線晶圓偏壓係在系統126(圖1)的RF傳輸線113上(例如節點N1、節點N2等等)的一點(例如一節點)加以量測,且在圖形276所標繪的該模型偏壓係在RF傳輸線模型161上(圖1)的對應模型點處(例如模型節點N1m、模型節點N2m等等(圖1))加以決定。接線晶圓偏壓係沿著圖形276中的y軸加以標繪,且模型偏壓係沿著圖形276中的x軸加以標繪。
當x MHz RF產生器係運轉的且y MHz和z MHz RF產生器係非運轉的之時,將接線晶圓偏壓及模型偏壓標繪於圖形276之中。此外,利用等式a2*V2+b2*I2+c2*sqrt(P2)+d2決定圖形276的模型偏壓,其中「*」表示乘,sqrt表示平方根,「V2」表示在阻抗匹配模型104的輸出處的電壓,I2表示在阻抗匹配模型104的輸出處的電流,P2表示在阻抗匹配模型104的輸出處的功率,「a2」係一係數,「b2」係一係數,「c2」係一係數,且「d2」係一常數。
圖形278將一誤差標繪於y軸上,且將模型偏壓標繪在x軸上的模型點處,該誤差為RF傳輸模型161(圖1)上的該模型節點處(例如在節點N1m處、在節點N2m處等等(圖1))的一誤差。該模型誤差係 在模型偏壓中的一誤差,例如變異數、標準差等等。當x MHz RF產生器係運轉且y MHz和z MHz RF產生器係非運轉之時,將模型誤差和模型偏壓標繪於圖形278之中。
圖12B係實施例的圖形280及282的圖示,用以說明一接線晶圓偏壓、利用方法102(圖2)及一數學轉換所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形280及282係以類似於圖形276及278(圖12A)的方式加以標繪,除了圖形280及282係在y MHz RF產生器係運轉且x MHz和z MHz RF產生器係非運轉之時加以標繪。此外,利用等式a27*V27+b27*I27+c27*sqrt(P27)+d27決定圖形280及282的模型偏壓,其中「V27」表示在阻抗匹配模型104的輸出處的電壓,I27表示在阻抗匹配模型104的輸出處的電流,P27表示在阻抗匹配模型104的輸出處的功率,「a27」為一係數,「b27」為一係數,「c27」為一係數,且「d27」為一常數。
圖12C係實施例的圖形284及286的圖示,用以說明一接線晶圓偏壓、利用方法102(圖2)及一數學轉換所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形284及286係以類似於圖形276及278(圖12A)的方式加以標繪,除了圖形284及286係在z MHz RF產生器係運轉且x MHz和y MHz RF產生器係非運轉之時加以標繪。此外,利用等式a60*V60+b60*I60+c60*sqrt(P60)+d60決定圖形284及286的模型偏壓,其中「V60」表示在阻抗匹配模型104的輸出處的電壓,I60表示在阻抗匹配模型104的輸出處的電流,P60表示在阻抗匹配模型104的輸出處的功率,「a60」為一係數,「b60」為一係數,「c60」為一係數,且「d60」為一常數。
圖12D係實施例的圖形288及290的圖示,用以說明一接線晶圓偏壓、利用方法102(圖2)及一數學轉換所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形288及290係以類似於圖形276及278(圖12A)的方式加以標繪,除了圖形288及290係在x MHz及y MHz RF產生器係運轉且z MHz RF產生器係非運轉之時加以標繪。此 外,利用等式a2*V2+b2*I2+c2*sqrt(P2)+d27*V27+e27*I27+f27*sqrt(P27)+g227決定圖形288及290的模型偏壓,其中「d27」、「e27」、及「f27」為係數,且「g227」為一常數。
圖12E係實施例的圖形292及294的圖示,用以說明一接線晶圓偏壓、利用方法102(圖2)及一數學轉換所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形292及294係以類似於圖形276及278(圖12A)的方式加以標繪,除了圖形292及294係在x MHz及z MHz RF產生器係運轉且y MHz RF產生器係非運轉之時加以標繪。此外,利用等式a2*V2+b2*I2+c2*sqrt(P2)+d60*V60+e60*I60+f60*sqrt(P60)+g260決定圖形292及294的模型偏壓,其中「d60」、「e60」、及「f60」為係數,且「g260」為一常數。
圖12F係實施例的圖形296及298的圖示,用以說明一接線晶圓偏壓、利用方法102(圖2)及一數學轉換所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形296及298係以類似於圖形276及278(圖12A)的方式加以標繪,除了圖形296及298係在y MHz及z MHz RF產生器係運轉且x MHz RF產生器係非運轉之時加以標繪。此外,利用等式a27*V27+b27*I27+c27*sqrt(P27)+d60*V60+e60*I60+f60*sqrt(P60)+g2760決定圖形296及298的模型偏壓,其中「a27」、「b27」、及「c27」為係數,且「g2760」為一常數。
圖12G係實施例的圖形302及304的圖示,用以說明一接線晶圓偏壓、利用方法102(圖2)及一數學轉換所決定的一模型偏壓、及在該模型偏壓中的誤差之間存在相關性。圖形302及304係以類似於圖形276及278(圖12A)的方式加以標繪,除了圖形302及304係在x MHz、y MHz及z MHz RF產生器係運轉的之時加以標繪。此外,利用等式a2*V2+b2*I2+c2*sqrt(P2)+d60*V60+e60*I60+f60*sqrt(P60)+g27*V27+h27*I27+i27*sqrt(P27)+j22760決定圖形302及304的模型偏壓,其中「g27」、「h27」、及「i27」為係數,且「j22760」為一常數。
圖13係主機系統130的實施例的方塊圖。主機系統130包 含處理器168、儲存HU 162、輸入HU 320、輸出HU 322、輸入/輸出(I/O)介面324、I/O介面326、網路介面控制器(NIC)328、及匯流排330。處理器168、儲存HU 162、輸入HU 320、輸出HU 322、I/O介面324、I/O介面326、及NIC 328係透過匯流排330彼此連接。輸入HU 320的例子包含滑鼠、鍵盤、觸控筆(stylus)等等。輸出HU 322的例子包含顯示器、揚聲器、或其組合。顯示器可為液晶顯示器、發光二極體顯示器、陰極射線管、電漿顯示器等等。NIC 328的例子包含網路介面卡、網路配接器等等。
I/O介面的例子包含一介面,其提供連接至該介面的數個硬體件之間的相容性。舉例來說,I/O介面324將接收自輸入HU 320的訊號轉換成與匯流排330相容的形式、振幅、及/或速度。作為另一範例,I/O介面326將接收自匯流排330的訊號轉換成與輸出HU 322相容的形式、振幅、及/或速度。
吾人注意到,雖然上述實施例係就平行板電漿腔室加以描述,在一個實施例中,上述實施例適用於其他類型的電漿腔室,例如包含電感式耦合電漿(ICP)反應器的電漿腔室、包含電子迴旋共振(ECR)反應器的電漿腔室等等。舉例來說,x MHz RF產生器及y MHz RF產生器係連接至ICP電漿腔室之內的一電感器。
應注意到,雖然上述實施例係關於將RF訊號提供至ESC 177(圖1)及ESC 192(圖10)的電極且將上電極179和264(圖1和10)接地,在若干實施例中,將RF訊號提供至上電極179和264而將ESC 177和163的下電極接地。
此處所述實施例可以各種電腦系統構造加以實施,包含手持式硬體單元、微處理器系統、基於微處理器或可程式消費性電子裝置、迷你電腦、大型電腦等等。該等實施例亦可在分散式計算環境中實施,其中多個工作係藉由透過網路鏈結的遠端處理硬體單元加以執行。
考慮到上述實施例,應理解的是該等實施例可使用涉及在電腦系統中所儲存資料的各種電腦實現操作。這些操作係需要物理量的物理性操作者。形成部分之實施例的此處所述的任何操作係有用的機械操作。 該等實施例亦關於執行這些操作的硬體單元或設備。該設備可特別建構用於特殊用途電腦。當定義為特殊用途電腦,該電腦亦可執行非該特殊用途一部分的其他處理、程式執行或常式,而仍能夠用於該特殊用途操作。在若干實施例中,可藉由利用儲存於電腦記憶體、快取記憶體、或由網路取得的一個以上電腦程式選擇性啟動或設定的通用電腦,處理該等操作。當資料係通過網路取得,該資料可藉由網路上的其他電腦(例如雲端計算資源)加以處理。
一個以上實施例亦可製作為非暫時性電腦可讀媒體上的電腦可讀碼。該非暫時性電腦可讀媒體係可儲存資料的任何資料儲存硬體單元,該資料之後可由電腦系統讀出。非暫時性電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟唯讀記憶體(CD-ROM)、可錄CD(CD-R)、可重寫CD(CD-RW)、磁帶、及其他光學和非光學資料儲存硬體單元。非暫時性電腦可讀媒體可包含分布於網路連接電腦系統上的電腦可讀有形媒體,使得電腦可讀碼被分散式地儲存和執行。
雖然上述圖2的流程圖中的方法操作係以特定的順序描述,應理解的是其他內務處理操作可在該等操作之間加以執行,或者可調整操作,使得該等操作在些許不同的時間發生,或者可分布於一系統之中,該系統允許在各種與處理相關聯的時間間隔處理操作的發生,只要重疊操作的處理係以所欲的方式執行。
來自任何實施例的一個以上特徵,可與任何其他實施例的一個以上特徵結合,而不偏離本揭露內容之各種實施例所述之範疇。
雖然前述實施例以清楚理解為目的而相當程度詳細地加以描述,顯而易見的是,在隨附申請專利範圍的範疇之內可實施某些變化和修改。因此,本實施例係視為例示性而非限制性,且該等實施例係不限定於此處所提供的細節,而是可在隨附申請專利範圍的範疇和均等者之內加以修改。

Claims (20)

  1. 一種用於決定在射頻(RF)傳輸模型上的變數值之方法,該方法包含:當一RF產生器係經由一阻抗匹配電路連接至一電漿腔室時,確定在該RF產生器的一輸出處所測得的一第一複電壓與電流,該阻抗匹配電路具有與該RF產生器的輸出連接的一輸入、及與一RF傳輸線連接的一輸出;基於在該阻抗匹配電路中所定義的電元件,產生一阻抗匹配模型,該阻抗匹配模型具有一輸入及一輸出,該阻抗匹配模型的輸入接收該第一複電壓與電流,該阻抗匹配模型具有一個以上構件;將該第一複電壓與電流,自該阻抗匹配模型的輸入,經由該一個以上構件,傳導至該阻抗匹配模型的輸出,以決定一第二複電壓與電流,其中該第二複電壓與電流係在該阻抗匹配模型的輸出處;基於在該RF傳輸線中所定義的電路元件,產生一RF傳輸模型,該RF傳輸模型具有一輸入及一輸出,該RF傳輸模型的輸入連接至該阻抗匹配模型的輸出,該RF傳輸模型具有包含一個以上構件的一RF傳輸模型部分,其中該RF傳輸模型包含一RF隧道模型及一RF帶模型,該RF隧道模型係與該RF帶模型連接;及將該第二複電壓與電流,自該RF傳輸模型的輸入,經由該RF傳輸模型部分的一個以上構件,傳導至該RF傳輸模型部分的一輸出,以決定一第三複電壓與電流,其中該第三複電壓與電流係在該RF傳輸模型部分的輸出處。
  2. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中使用一電壓與電流探測器,在該RF產生器的輸出處測量所接收的該第一複電壓與電流,該電壓與電流探測器係根據一預設準則加以校準。
  3. 如申請專利範圍第2項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中該預設準則係一標準。
  4. 如申請專利範圍第3項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中該標準係國家標準技術研究所(NIST)標準,其中該電壓與電 流探測器係與一開路、一短路、或一負載連接,以校準該電壓與電流探測器符合該NIST標準。
  5. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中該第三複電壓與電流包含一電壓值、一電流值、及該電壓值與該電流值之間的相位。
  6. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中該RF產生器的輸出係用以將一RF訊號經由該阻抗匹配電路及該RF傳輸線傳送至該電漿腔室。
  7. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中該阻抗匹配電路的電元件包含電容器、電感器、或其組合。
  8. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中該阻抗匹配模型的構件包含電容器、電感器、或其組合。
  9. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中該阻抗匹配電路的電元件包含電容器和電感器的組合,其中該阻抗匹配模型的構件具有與該阻抗匹配電路的電元件類似的特性。
  10. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中在該RF傳輸模型部分的輸出處的該第三複電壓與電流係用於一系統之中,其中該系統包含一阻抗匹配電路且不包含在該系統的該阻抗匹配電路的一輸出處的一計量工具,其中該系統包含一RF傳輸線且不包含在該系統的該RF傳輸線上的一點處的一計量工具。
  11. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中在一電腦之內產生該阻抗匹配模型和該RF傳輸模型。
  12. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中將該第一複電壓與電流自該阻抗匹配模型的輸入經由該一個以上構件傳導至該阻抗匹配模型的輸出以決定該第二複電壓與電流的步驟,包含:基於該第一複電壓與電流、及連接在該阻抗匹配模型的輸入與一中間節點之間的該阻抗匹配模型的一個以上構件的特性,決定在該阻抗匹配模型內的該中間節點內的一中間複電壓與電流;及基於該中間複電壓與電流、及連接在該中間節點與該阻抗匹配模型的輸出之間的該阻抗匹配模型的一個以上構件的特性,決定該第二複電壓與電流。
  13. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中該RF傳輸線的電路元件包含電容器和電感器的組合,其中該RF傳輸模型的構件具有與該RF傳輸線的電路元件類似的特性。
  14. 如申請專利範圍第1項的用於決定在射頻(RF)傳輸模型上的變數值之方法,其中將該第二複電壓與電流自該RF傳輸模型的輸入經由該RF傳輸模型部分的一個以上構件傳導至該RF傳輸模型部分的輸出以決定該第三複電壓與電流的步驟,包含:基於該第二複電壓與電流、及連接在該RF傳輸模型的輸入及一中間節點之間的該RF傳輸模型部分的一個以上構件的特性,決定在該RF傳輸模型部分內的該中間節點內的一中間複電壓與電流;及基於該中間複電壓與電流、及連接在該中間節點與該RF傳輸模型部分的輸出之間的該RF傳輸模型部分的一個以上構件的特性,決定該第三複電壓與電流。
  15. 一種電漿系統,用於決定在一射頻(RF)傳輸模型上的變數值,該電漿系統包含:一RF產生器,用於產生一RF訊號,該RF產生器係與一電壓與電流探測器相聯,其中該電壓與電流探測器係用以測量在該RF產生器的一輸出 處的一第一複電壓與電流;一阻抗匹配電路,與該RF產生器連接;一電漿腔室,經由一RF傳輸線連接至該阻抗匹配電路,該阻抗匹配電路具有與該RF產生器的輸出連接的一輸入、及與該RF傳輸線連接的一輸出;及一處理器,連接至該RF產生器,該處理器用於:確定該第一複電壓與電流;基於在該阻抗匹配電路中所定義的電元件,產生一阻抗匹配模型,該阻抗匹配模型具有一輸入及一輸出,該阻抗匹配模型的輸入接收該第一複電壓與電流,該阻抗匹配模型具有一個以上構件;將該第一複電壓與電流自該阻抗匹配模型的輸入經由該一個以上構件傳導至該阻抗匹配模型的輸出,以決定一第二複電壓與電流,其中該第二複電壓與電流係在該阻抗匹配模型的輸出處;基於在該RF傳輸線中所定義的電元件,產生一RF傳輸模型,該RF傳輸模型具有一輸入及一輸出,該RF傳輸模型的輸入連接至該阻抗匹配模型的輸出,該RF傳輸模型具有包含一個以上構件的一RF傳輸模型部分,其中該RF傳輸模型包含一RF隧道模型及一RF帶模型,該RF隧道模型係與該RF帶模型連接;將該第二複電壓與電流,自該RF傳輸模型的輸入,經由該RF傳輸模型部分的一個以上構件,傳導至該RF傳輸模型部分的輸出,以決定一第三複電壓與電流,其中該第三複電壓與電流係在該RF傳輸模型部分的輸出處;將該第三複電壓與電流提供至一儲存硬體單元加以儲存。
  16. 如申請專利範圍第15項的電漿系統,其中將該電壓與電流探測器校準,以符合一預設準則。
  17. 如申請專利範圍第16項的電漿系統,其中該預設準則係一標準,其中該標準係國家標準技術研究所(NIST)標準,其中該電壓與電流探測器係與一開路、短路、或負載連接,以校準該電壓與電流探測器符合該NIST標 準。
  18. 一種電腦系統,用於決定在一射頻(RF)傳輸模型上的變數值,該電腦系統包含:一處理器,用以:當一RF產生器係經由一阻抗匹配電路連接至一電漿腔室時,確定在該RF產生器的一輸出處所測得的一第一複電壓與電流,該阻抗匹配電路具有與該RF產生器的輸出連接的一輸入、及與一RF傳輸線連接的一輸出;基於在該阻抗匹配電路中所定義的電元件,產生一阻抗匹配模型,該阻抗匹配模型具有一輸入及一輸出,該阻抗匹配模型的輸入接收該第一複電壓與電流,該阻抗匹配模型具有一個以上構件;將該第一複電壓與電流,自該阻抗匹配模型的輸入,經由該一個以上構件,傳導至該阻抗匹配模型的輸出,以決定一第二複電壓與電流,其中該第二複電壓與電流係在該阻抗匹配模型的輸出處;基於在該RF傳輸線中所定義的電元件,產生一RF傳輸模型,該RF傳輸模型具有一輸入及一輸出,該RF傳輸模型的輸入連接至該阻抗匹配模型的輸出,該RF傳輸模型具有包含一個以上構件的一RF傳輸模型部分,其中該RF傳輸模型包含一RF隧道模型及一RF帶模型,該RF隧道模型係與該RF帶模型連接;及將該第二複電壓與電流,自該RF傳輸模型的輸入,經由該RF傳輸模型部分的一個以上構件,傳導至該RF傳輸模型部分的一輸出,以決定一第三複電壓與電流,其中該第三複電壓與電流係在該RF傳輸模型部分的輸出;及一記憶體裝置,連接至該處理器,該記憶體裝置係用以儲存該第三複電壓與電流。
  19. 如申請專利範圍第18項的電腦系統,其中使用一電壓與電流探測器,在該RF產生器的輸出處測量所接收的該第一複電壓與電流,該電壓與電流探測器係根據一預設準則加以校準。
  20. 如申請專利範圍第19項的電腦系統,其中該電壓與電流探測器係與該RF產生器的一數位訊號處理器分離。
TW102146419A 2012-12-17 2013-12-16 射頻傳輸模型之一變數値的決定 TWI620471B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/717,538 2012-12-17
US13/717,538 US9320126B2 (en) 2012-12-17 2012-12-17 Determining a value of a variable on an RF transmission model

Publications (2)

Publication Number Publication Date
TW201438523A TW201438523A (zh) 2014-10-01
TWI620471B true TWI620471B (zh) 2018-04-01

Family

ID=50910227

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102146419A TWI620471B (zh) 2012-12-17 2013-12-16 射頻傳輸模型之一變數値的決定

Country Status (5)

Country Link
US (2) US9320126B2 (zh)
KR (1) KR102127017B1 (zh)
CN (1) CN103871810B (zh)
SG (2) SG2013093562A (zh)
TW (1) TWI620471B (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10325759B2 (en) * 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US10469108B2 (en) * 2013-05-09 2019-11-05 Lam Research Corporation Systems and methods for using computer-generated models to reduce reflected power towards a high frequency RF generator during a cycle of operations of a low frequency RF generator
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9652567B2 (en) * 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9954508B2 (en) * 2015-10-26 2018-04-24 Lam Research Corporation Multiple-output radiofrequency matching module and associated methods
RU2694011C1 (ru) * 2015-11-09 2019-07-08 Телефонактиеболагет Лм Эрикссон (Пабл) Схема усилителя для компенсации выходного сигнала из схемы
US9515633B1 (en) * 2016-01-11 2016-12-06 Lam Research Corporation Transformer coupled capacitive tuning circuit with fast impedance switching for plasma etch chambers
KR102452835B1 (ko) * 2016-12-05 2022-10-07 램 리써치 코포레이션 다중 제어 모드
US20180175819A1 (en) * 2016-12-16 2018-06-21 Lam Research Corporation Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
US11013471B2 (en) 2018-04-26 2021-05-25 Vektor Medical, Inc. Display of an electromagnetic source based on a patient-specific model
US11259871B2 (en) 2018-04-26 2022-03-01 Vektor Medical, Inc. Identify ablation pattern for use in an ablation
US10709347B1 (en) 2019-06-10 2020-07-14 Vektor Medical, Inc. Heart graphic display system
US10595736B1 (en) 2019-06-10 2020-03-24 Vektor Medical, Inc. Heart graphic display system
WO2022094425A1 (en) 2020-10-30 2022-05-05 Vektor Medical, Inc. Heart graphic display system
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
US11338131B1 (en) 2021-05-05 2022-05-24 Vektor Medical, Inc. Guiding implantation of an energy delivery component in a body
CA3228337A1 (en) 2021-08-09 2023-02-16 Vektor Medical, Inc. Tissue state graphic display system
US11534224B1 (en) 2021-12-02 2022-12-27 Vektor Medical, Inc. Interactive ablation workflow system
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US6441555B1 (en) * 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US20060088655A1 (en) * 2004-10-23 2006-04-27 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20100136793A1 (en) * 2008-11-21 2010-06-03 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of esc voltage in plasma processing chamber

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6449568B1 (en) * 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
EP1098359A4 (en) 1998-06-02 2003-11-19 Nikon Corp SCANNING ALIGNMENT MEMBER, MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
US7019543B2 (en) 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
AU2002354459A1 (en) 2001-12-10 2003-07-09 Tokyo Electron Limited High-frequency power source and its control method, and plasma processor
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
WO2004003968A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US20050252884A1 (en) 2002-06-28 2005-11-17 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) * 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
JP4359521B2 (ja) 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
JP4838525B2 (ja) * 2005-03-31 2011-12-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置及び可変整合器におけるインピーダンスのプリセット値を決定するためのプログラム
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
CN100594577C (zh) 2005-06-10 2010-03-17 伯德技术集团股份有限公司 分析半导体等离子体生成系统中的功率通量的系统和方法
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8920600B2 (en) 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
WO2008100642A2 (en) * 2007-02-16 2008-08-21 Ad Astra Rocket Company Improved plasma source
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8073646B2 (en) 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN104174049B (zh) * 2007-11-06 2017-03-01 克里奥医药有限公司 可调施放器组件以及等离子体灭菌设备
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
KR101528528B1 (ko) 2008-05-14 2015-06-12 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
KR101522251B1 (ko) 2008-09-22 2015-05-21 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
CN102612864B (zh) 2009-11-19 2015-06-10 朗姆研究公司 用于控制等离子体处理系统的方法和装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) * 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130006555A1 (en) * 2011-06-30 2013-01-03 Advanced Energy Industries, Inc. Method and apparatus for measuring the power of a power generator while operating in variable frequency mode and/or while operating in pulsing mode
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
TWI623510B (zh) 2012-10-30 2018-05-11 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於高縱橫比氧化物蝕刻之氟碳分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US6441555B1 (en) * 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US20060088655A1 (en) * 2004-10-23 2006-04-27 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20100136793A1 (en) * 2008-11-21 2010-06-03 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of esc voltage in plasma processing chamber

Also Published As

Publication number Publication date
US9320126B2 (en) 2016-04-19
US20140172335A1 (en) 2014-06-19
KR102127017B1 (ko) 2020-06-26
CN103871810B (zh) 2017-04-12
KR20140078573A (ko) 2014-06-25
US10008371B2 (en) 2018-06-26
SG10201604882SA (en) 2016-08-30
CN103871810A (zh) 2014-06-18
TW201438523A (zh) 2014-10-01
SG2013093562A (en) 2014-07-30
US20160189937A1 (en) 2016-06-30

Similar Documents

Publication Publication Date Title
TWI620471B (zh) 射頻傳輸模型之一變數値的決定
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
US10319570B2 (en) Determining a malfunctioning device in a plasma system
TWI598582B (zh) 使用模型化以決定與電漿系統有關的晶圓偏壓
KR102438859B1 (ko) Rf 송신 시스템 모델들을 개량하기 위한 시스템, 방법 및 장치
TWI685664B (zh) 使用模型化以識別電漿系統用射頻傳輸系統中的故障位置
US9508529B2 (en) System, method and apparatus for RF power compensation in a plasma processing system
TW201627894A (zh) 射頻傳輸路徑之選擇的部分所用的射頻傳輸模型之準確性改良系統、方法及設備
TWI647735B (zh) 使用模型化以建立與電漿系統相關的離子能量
KR102223863B1 (ko) 이중 제어 모드
US20140214395A1 (en) Segmenting A Model Within A Plasma System
JP2020511786A (ja) 振幅変調を介してイオンエネルギーブーストを可能にするプラズマ処理チャンバのためのイオンエネルギー分布操作のための方法及び装置
TWI692798B (zh) 將電漿系統內之模型加以分段