CN103871810A - 确定rf 传输线上的变量的值的方法和系统 - Google Patents

确定rf 传输线上的变量的值的方法和系统 Download PDF

Info

Publication number
CN103871810A
CN103871810A CN201310692481.2A CN201310692481A CN103871810A CN 103871810 A CN103871810 A CN 103871810A CN 201310692481 A CN201310692481 A CN 201310692481A CN 103871810 A CN103871810 A CN 103871810A
Authority
CN
China
Prior art keywords
impedance matching
electric current
mode
complex voltage
voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310692481.2A
Other languages
English (en)
Other versions
CN103871810B (zh
Inventor
约翰·C·小瓦尔考
布拉德福德·J·林达克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103871810A publication Critical patent/CN103871810A/zh
Application granted granted Critical
Publication of CN103871810B publication Critical patent/CN103871810B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/25Arrangements for measuring currents or voltages or for indicating presence or sign thereof using digital measurement techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R29/00Arrangements for measuring or indicating electric quantities not covered by groups G01R19/00 - G01R27/00
    • G01R29/08Measuring electromagnetic field characteristics
    • G01R29/0864Measuring electromagnetic field characteristics characterised by constructional or functional features
    • G01R29/0892Details related to signal analysis or treatment; presenting results, e.g. displays; measuring specific signal features other than field strength, e.g. polarisation, field modes, phase, envelope, maximum value
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01PWAVEGUIDES; RESONATORS, LINES, OR OTHER DEVICES OF THE WAVEGUIDE TYPE
    • H01P3/00Waveguides; Transmission lines of the waveguide type
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Theoretical Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Plasma Technology (AREA)

Abstract

本发明涉及确定RF传输线上的变量的值的方法和系统,具体描述了用于确定射频(RF)传输模型上的变量的值的系统和方法。所述方法之一包括识别在RF发生器的输出位置测得的复电压和电流并基于在耦合到所述RF发生器的阻抗匹配电路中所定义的电气元件生成阻抗匹配模型。该方法进一步包括从所述阻抗匹配模型的输入穿过一个或多个元件以及穿过耦合到所述阻抗匹配模型的RF传输模型的部分的一或多个元件传送所述复电压和电流以确定在所述RF传输模型的部分的输出位置的复电压和电流。

Description

确定RF 传输线上的变量的值的方法和系统
技术领域
本发明涉及确定射频(RF)传输线上的变量的值。 
背景技术
在基于等离子体的系统中,当工艺气体供应到等离子体室内且射频(RF)供应到该等离子体室内的电极时,等离子体产生。基于等离子体的系统被用于在晶片上执行各种操作。例如,等离子体被用于蚀刻晶片、在晶片上沉积材料、清洁晶片,等等。 
在这些操作的执行过程中,可监控基于等离子体的系统内的点以确定该基于等离子体的系统是否运行正常。所述点使用探针进行监控。但是,在基于等离子体的系统中使用探针会是昂贵的。例如,一些实体可避免使用探针以避免探针的成本。这种避免使用探针可导致不知道基于等离子体的系统是否运行正常。 
在这种背景下,提出了本公开中所描述的实施方式。 
发明内容
本公开的实施方式提供了用于确定射频(RF)传输线上的变量的值的装置、方法和计算机程序。应当理解,本发明的实施方式可以多种方式实施,例如,以工艺、装置、系统、硬件、或者计算机可读介质上的方法实施。下面描述若干实施方式。 
在各种实施方式中,RF传输线的计算机生成模型被用来确定在该模型的输出位置的变量,例如,复电压、复电流、复电压和电 流、复功率,等等。代替诸如探针等计量工具,在等离子体系统中使用变量来确定该等离子体系统是否运作正常。 
在一些实施方式中,描述了一种用于确定射频(RF)传输线上的变量的值的方法。该方法包括识别在RF发生器的输出位置测得的当所述RF发生器经由阻抗匹配电路耦合到等离子体室时的第一复电压和电流。所述阻抗匹配电路具有耦合到所述RF发生器的输出的输入和耦合到RF传输线的输出。该方法包括基于所述阻抗匹配电路中所定义的电气元件生成阻抗匹配模型。所述阻抗匹配模型具有输入和输出。所述阻抗匹配模型的输入用于接收所述第一复电压和电流。此外,所述阻抗匹配模型具有一个或多个元件。该方法还包括从所述阻抗匹配模型的输入到所述阻抗匹配模型的输出穿过所述一个或多个元件传送所述第一复电压和电流以确定第二复电压和电流。所述第二复电压和电流在所述阻抗匹配模型的输出位置。该方法包括基于所述RF传输线中所定义的电路元件生成RF传输模型。所述RF传输模型具有输入和输出。所述RF传输模型的输入耦合到所述阻抗匹配模型的输出。所述RF传输模型具有包括一或多个元件的部分。该方法包括从所述RF传输模型的输入到所述RF传输模型的所述部分的输出穿过所述RF传输模型的所述部分的一或多个元件传送所述第二复电压和电流以确定第三复电压和电流。所述第三复电压和电流是在所述RF传输模型的所述部分的输出位置的复电压和电流。 
在多种实施方式中,描述了一种用于确定射频(RF)传输模型上的变量的值的等离子体系统。该等离子体系统包括用于产生RF信号的RF发生器。所述RF发生器与电压和电流探针相关联。所述电压和电流探针被配置来测量在所述RF发生器的输出位置的第一复电压和电流。该等离子体系统还包括耦合到所述RF发生器的阻抗匹配电路和经由RF传输线耦合到所述阻抗匹配电路的等离子体室。所述阻抗匹配电路具有耦合到所述RF发生器的输出的输入和耦合到所述RF传输线的输出。该等离子体系统包括耦合到所述RF发生器的处理器。所述处理器用于识别所述第一复电压和电流并基于所述阻抗匹配电路中所定 义的电气元件生成阻抗匹配模型。所述阻抗匹配模型具有输入和输出。所述阻抗匹配模型的输入接收所述第一复电压和电流。此外,所述阻抗匹配模型具有一个或多个元件。该方法包括从所述阻抗匹配模型的输入到所述阻抗匹配模型的输出穿过所述一个或多个元件传送所述第一复电压和电流以确定第二复电压和电流。所述第二复电压和电流是在所述阻抗匹配模型的输出位置的复电压和电流。该方法包括基于所述RF传输线中所定义的电气元件生成RF传输模型。所述RF传输模型具有输入和输出。所述RF传输模型的输入耦合到所述阻抗匹配模型的输出。此外,所述RF传输模型部分具有包括一或多个元件的部分。该方法包括从所述RF传输模型的输入到所述RF传输模型的所述部分的输出穿过所述RF传输模型的所述部分的一或多个元件传送所述第二复电压和电流以确定第三复电压和电流。所述第三复电压和电流是在所述RF传输模型的所述部分的输出位置的复电压和电流。该方法包括将用于存储的所述第三复电压和电流提供给存储硬件单元。 
在一些实施方式中,描述了一种用于确定射频(RF)传输模型上的变量的值的计算机系统。所述计算机系统包括处理器。所述处理器被配置来识别在RF发生器的输出位置测得的当所述RF发生器经由阻抗匹配电路耦合到等离子体室时的第一复电压和电流。所述阻抗匹配电路具有耦合到所述RF发生器的输出的输入和耦合到RF传输线的输出。该处理器进一步被配置来基于所述阻抗匹配电路中所定义的电气元件生成阻抗匹配模型。所述阻抗匹配模型具有输入和输出。所述阻抗匹配模型的输入接收所述第一复电压和电流。此外,所述阻抗匹配模型具有一个或多个元件。该处理器被配置来从所述阻抗匹配模型的输入到所述阻抗匹配模型的输出穿过该一个或多个元件传送所述第一复电压和电流以确定在所述阻抗匹配模型的输出位置的第二复电压和电流。该处理器还被配置来基于所述RF传输线中所定义的电气元件生成RF传输模型。所述RF传输模型具有输入和输出。所述RF传输模型的输入耦合到所述阻抗匹配模型的输出。所述RF传输模型具有包括一或多个元件的部分。该处理器被配置来从所述RF传输模型的输 入到所述RF传输模型的所述部分的输出穿过所述RF传输模型的所述部分的一或多个元件传送所述第二复电压和电流以确定在所述RF传输模型的所述部分的输出位置的第三复电压和电流。该计算机系统包括耦合到所述处理器的存储设备。所述存储设备配置来存储所述第三复电压和电流。 
上述实施方式的一些优势包括减少了在生产过程中在等离子体系统的节点处使用计量工具的机会,这包括工件上的工艺的执行。所述工艺的示例包括清洁、沉积、蚀刻,等等。根据预设公式校准的电压和电流探针用于精确地感测数值,精确地感测到的数值按上面所述进行传送以生成在等离子体系统的模型的一或多个节点处的变量的精确值。预设公式可以是标准。例如,电压和电流探针根据美国国家标准技术研究所(NIST)标准来校准,NIST标准是严格的。因此,电压和电流探针的使用得出变量的精确的值。在生产过程中,生成值被用于确定不包括计量工具的等离子体系统的一或多个部件(例如,阻抗匹配电路、RF发生器、缆线、RF传输线、RF传输线的部分,等等)是否在恰当工作。代替在生产过程中在节点处使用计量工具,在该节点处的生成的精确值在生产过程被用于确定一或多个部件是否在恰当工作,例如,运行、操作,等等。 
上述实施方式的其它优势包括减少了来自等离子体室的等离子体不受约束以及等离子体室内的电弧作用的机会。等离子体室内的等离子体被约束以在等离子体室内的工件上执行各种工艺。随着不受约束的等离子体的增加,等离子体在工件上的效力降低。此外,等离子体室内的电弧作用也将被检测。在一些实施方式中,电弧作用是在等离子体室中的部件之间的能量的突然释放。通过在确定等离子体室的变量(例如阻抗、模型偏压等)时考虑电压和电流二者,可在等离子体室比单单使用电压更精确地检测所述不受约束和电弧作用。例如,通过使用电压和电流探针在等离子体室确定所述变量。然后,在生产过程中,所述变量被用于精确地确定是否有不受约束和/或电弧作用的情况。 
从接下来的详细描述,结合附图,其它方面会显现。 
附图说明
通过参考接下来的描述,结合附图,这些实施方式可被最好地理解。 
图1是根据本公开中所记载的实施方式的用于确定在阻抗匹配模型的输出位置的以及在射频(RF)传输模型的部分的输出位置的变量的系统的框图。 
图2是根据本公开中所记载的实施方式的用于确定在RF传输模型部分的输出位置的复电压和电流的方法的流程图。 
图3A是根据本公开中所记载的实施方式的系统的框图,用于图解阻抗匹配电路。 
图3B是根据本公开中所记载的实施方式的阻抗匹配模型的电路图。 
图4是根据本公开中所记载的实施方式的系统的图形,用于图解RF传输线。 
图5A是根据本公开中所记载的实施方式的系统的框图,用于图解RF传输线的电路模型。 
图5B是根据本公开中所记载的实施方式的电路的图形,用于图解RF传输模型的隧道和带(strap)模型。 
图6是根据本公开中所记载的实施方式的包括滤波器的用来确定所述变量的等离子体系统的框图。 
图7A是根据本公开中所记载的实施方式的系统的图形,用于图解滤波器的模型以提高变量的精度。 
图7B是根据本公开中所记载的实施方式的系统的图形,用于图解滤波器的模型。 
图8是根据本公开中所记载的实施方式的用于利用电流和电压(VI)探针来测量在图1的系统的RF发生器的输出位置的变量的系统的框图。 
图9是根据本公开中所记载的实施方式的系统的框图,其中VI探针和通信设备位于RF发生器的外面。 
图10是根据本公开中所记载的实施方式的系统的实施方式的框图,其中利用图1的系统确定的变量的值被使用。 
图11A是根据本公开中所记载的实施方式的图解当x MHz RF发生器处于运转状态时在通过使用电压探针在图1的系统中的输出位置测得的电压和利用图2的方法确定的电压之间的相互关系的图形。 
图11B是根据本公开中所记载的实施方式的图解当y MHz RF发生器处于运转状态时在通过使用电压探针在图1的系统中的输出位置测得的电压和利用图2的方法确定的电压之间的相互关系的图形。 
图11C是根据本公开中所记载的实施方式的图解当z MHz RF发生器处于运转状态时在通过使用电压探针在图1的系统中的输出位置测得的电压和利用图2的方法确定的电压之间的相互关系的图形。 
图12A是根据本公开中所记载的实施方式的用于图解当x MHz RF发生器处于运转状态时在使用传感器工具测得的有线晶片偏置(wired wafer bias)、利用图2的方法且利用数学换算确定的模型偏置以及模型偏置中的误差之间的相互关系的图形。 
图12B是根据本公开中所记载的实施方式的用于图解当y MHz RF发生器处于运转状态时在使用传感器工具测得的有线晶片偏置、利用图2的方法且利用数学换算确定的模型偏置以及模型偏置中的误差之间的相互关系的图形。 
图12C是根据本公开中所记载的实施方式的用于图解当z MHz RF发生器处于运转状态时在使用传感器工具测得的有线晶片偏 置、利用图2的方法且利用数学换算确定的模型偏置以及模型偏置中的误差之间的相互关系的图形。 
图12D是根据本公开中所记载的实施方式的用于图解当x和y MHz RF发生器处于运转状态时在使用传感器工具测得的有线晶片偏置、利用图2的方法且利用数学换算确定的模型偏置以及模型偏置中的误差之间的相互关系的图形。 
图12E是根据本公开中所记载的实施方式的用于图解当x和z MHz RF发生器处于运转状态时在使用传感器工具测得的有线晶片偏置、利用图2的方法且利用数学换算确定的模型偏置以及模型偏置中的误差之间的相互关系的图形。 
图12F是根据本公开中所记载的实施方式的用于图解当y和z MHz RF发生器处于运转状态时在使用传感器工具测得的有线晶片偏置、利用图2的方法且利用数学换算确定的模型偏置以及模型偏置中的误差之间的相互关系的图形。 
图12G是根据本公开中所记载的实施方式的用于图解当x、y和z MHz RF发生器处于运转状态时在使用传感器工具测得的有线晶片偏置、利用图2的方法且利用数学换算确定的模型偏置以及模型偏置中的误差之间的相互关系的图形。 
图13是根据本公开中所记载的实施方式的图2的系统的主机系统的框图。 
具体实施方式
下列实施方式描述了用于确定射频(RF)传输线上的变量的值的系统和方法。显而易见地,这些实施方式可在没有这些具体细节中的一些或全部的情况下实施。另一方面,公知的工艺操作没有被详细描述以免不必要地模糊这些实施方式。 
图1是用于确定在阻抗匹配模型104的输出位置的以及在RF传输模型161的部分173的输出(例如,模型节点N1m)位置的变量的系统126的实施方式的框图,RF传输模型161是RF传输线113的模型。RF传输线113具有输出,例如节点N2。电压和电流(VI)探针110测量在x MHz RF发生器的输出(例如,节点N3)位置的复电压和电流Vx、Ix和
Figure BDA0000439512550000081
例如,第一复电压和电流。应当注意,Vx代表电压大小,Ix代表电流大小,而
Figure BDA0000439512550000082
代表Vx和Ix之间的相位。阻抗匹配模型104具有输出,例如,模型节点N4m。 
此外,VI探针111测量在y MHz RF发生器的输出位置(例如,节点N5)的复电压和电流Vy、Iy和
Figure BDA0000439512550000083
应当注意,Vy代表电压大小,Iy代表电流大小,而
Figure BDA0000439512550000084
代表Vy和Iy之间的相位。 
在一些实施方式中,节点是设备的输入点、设备的输出点或者设备内的点。下面描述此处所使用的设备。 
x MHz的示例包括2MHz、27MHz和60MHz。y MHz的示例包括2MHz、27MHz和60MHz。x MHz不同于y MHz。例如,当x MHz为2MHz时,y MHz为27MHz或者60MHz。当x MHz为27MHz时,y MHz是60MHz。 
各个VI探针110和111的示例包括符合预设公式的VI探针。预设公式的示例包括由开发用于传感器的标准的协会所遵循的标准。预设公式的另一示例包括美国国家标准技术研究所(NIST)标准。所示VI探针110或111根据NIST标准进行校准。在该实施例中,VI探针110或111与开路、短路或者已知负载耦合以校准VI探针110或111从而符合NIST标准。VI探针110或111可首先与开路耦合,接着与短路耦合,然后与已知负载耦合从而基于NIST标准校准VI探针110。VI探针110或111可按任意顺序耦合到已知负载、开路和短路从而根据NIST标准校准VI探针110或111。已知负载的示例包括50欧姆的负载、100欧姆的负载、200欧姆的负载、静态负载、直流 (DC)负载、电阻器,等等。所示的各个VI探针110和111根据NIST-可追溯标准进行校准。 
VI探针110耦合到x MHz RF发生器的输出,例如节点N3。x MHz RF发生器的输出,例如节点N3,经由缆线150耦合到阻抗匹配电路114的输入153。此外,VI探针111耦合到y MHz RF发生器的输出,例如节点N5。y MHz RF发生器的输出,例如节点N5,经由缆线152耦合到阻抗匹配电路114的另一输入155。 
阻抗匹配电路114的输出,例如节点N4,耦合到RF传输线113的输入。RF传输线113包括一个部分169和另一部分195。部分169的输入是RF传输线113的输入。部分169的输出,例如节点N1,耦合到部分195的输入。部分195的输出,例如节点N2,耦合到等离子体室175。部分195的输出是RF传输线113的输出。部分169的示例包括RF柱体和RF带(strap)。RF柱体耦合到RF带。部分195的示例包括RF杆和/或用于支撑等离子体室175的支撑件。 
等离子体室175包括静电卡盘(ESC)177、上电极179和其它部件(未图示),其它部件例如围绕上电极179的上介电环、围绕该上介电环的上电极延伸部、围绕ESC177的下电极的下介电环、围绕该下介电环的下电极延伸部、上等离子体禁区(PEZ)环、下PEZ环,等等。上电极179位于ESC177的对面并面向ESC177。工件131,例如半导体晶片等,被支撑在ESC177的上表面183上。在生产过程中,在工件131上执行各种工艺,例如化学气相沉积、清洁、沉积、溅射、蚀刻、离子注入、抗蚀剂剥离等。在工件131上开发集成电路,例如专用集成电路(ASIC)、可编程逻辑器件(PLD)等,且所述集成电路被用在各种电子产品中,例如蜂窝电话、平板、智能电话、计算机、笔记本电脑、网络设备,等等。下电极和上电极179中的每一个均由金属(例如铝、铝合金、铜等)制成。 
在一实施方式中,上电极179包括耦合到中央气体进给装置(未图示)的孔。中央气体进给装置接收来自气体供应源(未图示) 的一或多种工艺气体。工艺气体的示例包括含氧气体,比如O2。工艺气体的其它示例包括含氟气体,例如四氟化碳(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等。上电极179接地。ESC177经由阻抗匹配电路144耦合到x MHz RF发生器和y MHz RF发生器。 
当工艺气体被供应到上电极179和ESC177之间且当x MHz RF发生器和/或y MHz RF发生器经由阻抗匹配电路114和RF传输线113提供RF信号给ESC177时,所述工艺气体被点燃以在等离子体室175内产生等离子体。 
当x MHz RF发生器产生RF信号并经由节点N3、阻抗匹配电路114和RF传输线113将RF信号提供给ESC177且当y MHz发生器产生RF信号并经由节点N5、阻抗匹配电路114和RF传输线113将RF信号提供给ESC177时,VI探针110测量节点N3处的复电压和电流而VI探针111测量节点N5处的复电压和电流。 
由VI探针110和111测得的复电压和电流从相应的VI探针110和111经由相应的通信设备185和189提供给主机系统130的用于存储的存储硬件单元(HU)。例如,由VI探针110测得的复电压和电流经由通信设备185和缆线191提供给主机系统130而由VI探针111测得的复电压和电流经由通信设备189和缆线193提供给主机系统130。通信设备的示例包括将数据转换成以太网数据包和将以太网数据包转换成数据的以太网设备、以太网控制自动化技术(EtherCAT)的设备、串行传输数据的串行接口设备、并行传输数据的并行接口设备、通用串行总线(USB)接口设备,等等。 
主机系统130的示例包括计算机,例如台式机、笔记本电脑、平板,等等。所示的主机系统130包括处理器和存储HU162。此处所使用的处理器可以是中央处理单元(CPU)、微处理器、专用集成电路(ASIC)、可编程逻辑器件(PLD)等。存储HU的示例包括只读存储器(ROM)、随机访问存储器(RAM)或者它们的组合。存储HU可以是闪存、存储磁盘冗余阵列(RAID)、硬盘,等等。 
阻抗匹配模型104被存储在存储HU162内。阻抗匹配模型104具有与阻抗匹配电路114的特性相似的特性,例如,电容、电感、复功率、复电压和电流,等等。例如,阻抗匹配模型104具有与阻抗匹配电路114中的电容器和/或电感器数量相同的电容器和/或电感器,且所述电容器和/或电感器以与阻抗匹配电路114中的方式相同的方式(例如串联、并联等)彼此连接。举例来说,当阻抗匹配电路114包括与电感器串联耦合的电容器时,阻抗匹配模型104也包括与电感器串联耦合的电容器。 
作为一个例子,阻抗匹配电路114包括一或多个电气元件而阻抗匹配模型104包括阻抗匹配电路114的设计,例如计算机生成模型。计算机生成模型可由处理器基于通过输入硬件单元从用户处接收的输入信号而生成。所述输入信号包括与哪些电气元件(例如电容器、电感器等)以电气元件彼此耦合的模型和方式(例如串联、并联等)包括有关的信号。作为另一个例子,阻抗匹配电路114包括硬件电气元件以及所述电气元件之间的硬件连接而阻抗匹配模型104包括硬件电气元件的软件表达以及硬件连接的软件表达。作为又一个例子,阻抗匹配模型104利用软件程序进行设计而阻抗匹配电路114被制于印刷电路板上。此处所使用的电气元件可包括电阻器、电容器、电感器、电阻器之间的连接、电感器之间的连接、电容器之间的连接、和/或电阻器、电感器和电容器的组合之间的连接。 
类似地,缆线模型163和缆线150具有相似的特性,而缆线模型165和缆线152具有相似的特性。作为一个例子,缆线模型163的电感与缆线150的电感相同。作为另一个例子,缆线模型163是缆线150的计算机生成模型而缆线模型165是缆线152的计算机生成模型。类似地,RF传输模型161和RF传输线113具有相似的特性。例如,RF传输模型161具有与RF传输线113中的电容器和/或电感器数量相同的电容器和/或电感器,且所述电容器和/或电感器以与RF传输线113中的方式相同的方式(例如串联、并联等)彼此连接。为了进一步说明,当RF传输线113包括与电感器并联耦合的电容器时,RF传输 模型161也包括与电感器并联耦合的电容器。又例如,RF传输线113包括一或多个电气元件而RF传输模型161包括RF传输线113的设计,例如计算机生成模型。 
基于经由缆线191从VI探针110接收的复电压和电流以及诸如电感器、电容器等元件的诸如电容、电感等特性,在阻抗匹配模型104中,主机系统130的处理器计算在阻抗匹配模型104的输出(例如模型节点N4m)位置的复电压和电流V、I和
Figure BDA0000439512550000121
例如第二复电压和电流。在模型节点N4m处的复电压和电流被存储在存储HU162和/或主机系统130的另一存储HU中,例如光盘、闪存等。复V、I和
Figure BDA0000439512550000122
包括电压大小V、电流大小I以及电压和电流之间的相位
Figure BDA0000439512550000123
。 
阻抗匹配模型104的输出被耦合到RF传输模型161的输入,RF传输模型161的输入被存储在存储硬件单元162中。阻抗匹配模型104也具有输入,例如节点N3m,其被用于接收在节点N3处测得的复电压和电流。 
RF传输模型161包括一个部分173、另一部分197和输出N2m。部分173的输入是RF传输模型161的输入。部分173的输出耦合到部分197的输入。部分172具有与部分169的特性相似的特性,而部分197具有与部分195的特性相似的特性。 
基于在模型节点N4m处测得的复电压和电流,主机系统130的处理器计算在RF传输模型161的部分173的输出(例如,模型节点N1m)位置的复电压和电流V、I和
Figure BDA0000439512550000124
,例如第三复电压和电流。在模型节点N1m处确定的复电压和电流被存储在存储HU162和/或主机系统130的另一存储HU(例如光盘、闪存等)中。 
在若干实施方式中,代替确定第三复电压和电流或者在确定第三复电压和电流之外,主机系统130的处理器基于在阻抗匹配模型104的输出位置的复电压和电流以及在RF传输模型161的输入和部分173中的点之间的元件的特性计算在部分173中的点(例如节点等)处的复电压和电流,例如中间复电压和电流V、I和
Figure BDA0000439512550000125
。 
在各种实施方式中,代替确定第三复电压和电流或者在确定第三复电压和电流之外,主机系统130的处理器基于在阻抗匹配模型104的输出位置的复电压和电流以及在RF传输模型161的输入和部分197中的点之间的元件的特性计算在部分197中的点(例如节点等)处的复电压和电流,例如中间复电压和电流V、I和。 
还应当注意,在一些实施方式中,在阻抗匹配模型104的输出位置的复电压和电流基于在x MHz RF发生器的输出位置的复电压和电流、缆线模型163的元件的特性、以及阻抗匹配模型104的特性进行计算。 
应当注意,虽然示出了两个发生器耦合到阻抗匹配电路114,但在一实施方式中,任意数量的RF发生器(例如,单个发生器、三个发生器等)可经由阻抗匹配电路耦合到等离子体室175。例如,2MHz发生器、27MHz发生器和60MHz发生器可经由阻抗匹配电路耦合到等离子体室175。例如,虽然上述实施方式联系使用在节点N3处测得的复电压和电流进行描述,但在各种实施方式中,上述实施方式还可使用在节点N5处测得的复电压和电流。 
图2是用于确定在RF传输模型部分173(图1)的输出位置的复电压和电流的方法102的实施方式的流程图。方法102由主机系统130(图1)的一或多个处理器执行。在操作106中,从存储HU162(图1)中识别在节点N3处测得的复电压和电流,例如第一复电压和电流。举例来说,确定了从电压探针110(图1)接收第一复电压和电流。作为另一个例子,基于电压探针110的存储在存储HU162(图1)中的同一性(identity),确定了与所述同一性相关联的第一复电压和电流。 
进一步,在操作107中,基于阻抗匹配电路114(图1)的电气元件生成阻抗匹配模型104(图1)。例如,阻抗匹配电路114的电气元件之间的连接和所述电气元件的特性经由与主机系统130耦合的输入设备被用户提供给主机系统130的处理器。在接收所述连接和所 述特性的基础上,处理器生成具有与阻抗匹配电路114的电气元件的特性相同的特性的元件并在具有与所述电气元件之间的连接相同的连接的所述元件之间生成连接。 
阻抗匹配模型163的输入,例如节点N3m,接收第一复电压和电流。例如,主机系统130的处理器从存储器HU162访问(例如读取等)第一复电压和电流并将第一复电压和电流提供给阻抗匹配模型104的输入以处理第一复电压和电流。 
在操作116中,从阻抗匹配模型104的输入(例如节点N3m(图1))到阻抗匹配模型104的输出(例如节点N4m(图1))穿过阻抗匹配模型104(图1)的一个或多个元件传送第一复电压和电流以确定第二复电压和电流,第二复电压和电流位于阻抗匹配模型104的输出位置。举例来说,参考图3B,当2MHz RF发生器是打开的(例如处于运转状态、接通电源)时,基于电容器253的电容、基于电容器C5的电容且基于在输入255处所接收的第一复电压和电流确定在节点251(例如中间节点)处的复电压和电流Vx1、Ix1和
Figure BDA00004395125500001411
,例如中间复电压和电流,其包括电压大小Vx1、电流大小Ix1以及该复电压和电流之间的相位
Figure BDA0000439512550000141
此外,基于复电压和电流Vx1、Ix1和
Figure BDA0000439512550000142
以及基于电感器L3的电感确定在节点257处的复电压和电流Vx2、Ix2和
Figure BDA0000439512550000143
复电压和电流Vx2、Ix2和
Figure BDA0000439512550000144
包括电压大小Vx2、电流大小Ix2以及该电压和电流之间的相位
Figure BDA0000439512550000145
当27MHz RF发生器和60MHz RF发生器是关闭的(例如处于非运转状态、断电等)时,复电压和电流V2、I2和
Figure BDA0000439512550000146
被确定为在输出259处的第二复电压和电流,输出259是阻抗匹配模型104(图1)的输出(例如模型节点N4m(图1))的示例。复电压和电流V2、I2和
Figure BDA0000439512550000147
基于复电压和电流Vx2、Ix2和
Figure BDA0000439512550000148
以及基于电感器L2的电感进行确定。复电压和电流V2、I2和 
Figure BDA0000439512550000149
包括电压大小V2、电流大小I2以及该电压和电流之间的相位 
类似地,当27MHz RF发生器是打开的而2MHz和60MHz RF发生器是关闭的时,在输出259处的复电压和电流V27、I27和 
Figure BDA0000439512550000151
基于在节点261处所接收的复电压和电流以及电感器LPF2、电容器C3、电容器C4和电感器L2的特性进行确定。复电压和电流V27、I27和
Figure BDA0000439512550000152
包括电压大小V27、电流大小I27以及该电压和电流之间的相位
Figure BDA0000439512550000153
在节点261处所接收的复电压和电流与在节点N5(图1)处测得的复电压和电流相同。当2MHz和27MHz RF发生器二者均是打开的而60MHz RF发生器是关闭的时,复电压和电流V2、I2、 
Figure BDA0000439512550000154
V27、I27和
Figure BDA0000439512550000155
是第二复电压和电流的示例。此外,类似地,当60MHz RF发生器是打开的而2MHz和27MHz RF发生器是关闭的时,在输出259处的复电压和电流V60、I60和
Figure BDA0000439512550000156
基于在节点265处所接收的复电压和电流以及电感器LPF1、电容器C1、电容器C2、电感器L4、电容器269和电感器L1的特性进行确定。复电压和电流V60、I60和
Figure BDA0000439512550000157
包括电压大小V60、电流大小I60以及该电压和电流之间的相位
Figure BDA0000439512550000158
当2MHz、27MHz和60MHz RF发生器都是打开的时,复电压和电流V2、I2、V27、I27、
Figure BDA00004395125500001510
V60、I60和 是第二复电压和电流的示例。 
在操作117中,基于RF传输线113(图1)的电气元件生成RF传输模型161(图1)。例如,RF传输线113的电气元件之间的连接和所述电气元件的特性经由与主机系统130耦合的输入设备被用户提供给主机系统130的处理器。在接收所述连接和所述特性的基础上,处理器生成具有与RF传输线113的电气元件的特性相同的特性的元件并在所述元件之间生成与所述电气元件之间的连接相同的连接。 
在操作119中,从RF传输模型113的输入到RF传输模型部分173的输出(例如模型节点N1m(图1))穿过RF传输模型部分173的一或多个元件传送第二复电压和电流以确定在RF传输模型部分173的输出位置的第三复电压和电流。举例来说,参考图5B,当2MHz RF发生器是打开的而27和60MHz RF发生器是关闭的时,基于电感器Ltunnel的电感、基于电容器Ctunnel的电容且基于作为第二复 电压和电流的示例的复电压和电流V2、I2和
Figure BDA0000439512550000161
(图3B)确定在节点293(例如中间节点)处的复电压和电流Vx4、Ix4和
Figure BDA0000439512550000162
例如中间复电压和电流。应当注意,Ltunnel是RF隧道的计算机生成模型的电感而Ctunnel是RF隧道模型的电容。此外,基于复电压和电流Vx4、Ix4和以及基于电感器Lstrap的电感确定在隧道和带模型210的输出297处的复电压和电流V21、I21和
Figure BDA0000439512550000164
输出297是部分173(图1)的输出(例如模型节点N1m(图1))的示例。应当注意,Lstrap是RF带的计算机生成模型的电感。当2MHz RF发生器是打开的而27和60MHz RF发生器是关闭的(例如处于非运转状态、断电等)时,复电压和电流V21、I21和
Figure BDA0000439512550000165
被确定为在输出297处的第三复电压和电流。 
类似地,当27MHz RF发生器是打开的而2和60MHz RF发生器是关闭的时,在输出297处的复电压和电流V271、I271和
Figure BDA0000439512550000166
基于在输出259处的复电压和电流V27、I27和
Figure BDA0000439512550000167
(图3B)以及电感器Ltunnel、电容器Ctunnel和电感器Lstrap的特性进行确定。当2MHz和27MHz RF发生器二者均是打开的而60MHz RF发生器是关闭的时,复电压和电流V21、I21、
Figure BDA0000439512550000168
V271、I271和是第三复电压和电流的示例。 
此外,类似地,当60MHz RF发生器接通而2和27MHz RF发生器断电时,在输出297处的复电压和电流V601、I601和
Figure BDA00004395125500001610
基于在节点259处所接收的复电压以及电流V60、I60和(图3B)和电感器Ltunnel、电容器Ctunnel和电感器Lstrap的特性进行确定。当2MHz、27MHz和60MHz RF发生器均是打开的时,复电压和电流V21、I21、V271、I271、
Figure BDA00004395125500001613
V601、I601和是第三复电压和电流的示例。方法102在操作119之后结束。 
图3A是系统123的实施方式的框图,用于图解阻抗匹配电路122。阻抗匹配电路122是阻抗匹配电路114(图1)的示例。阻抗匹 配电路122包括电气元件之间的串联连接和/或电气元件之间的并联连接。 
图3B是阻抗匹配模型172的实施方式的电路图。阻抗匹配模型172是阻抗匹配模型104(图1)的示例。如图所示,阻抗匹配模型172包括具有电容C1至C9的电容器,具有LPF1、LPF2、以及L1至L4的电感的电感器。应当注意,在图3B中,电感器和/或电容器彼此耦合的方式是示例性的。例如,图3B中所示电感器和/或电容器可以串联和/或并联的方式彼此耦合。此外,在一些实施方式中,阻抗匹配模型172包括与图3B中所示不同数量的电容器和/或不同数量的电感器。 
图4是系统178的实施方式的图形,用于图解RF传输线181,RF传输线181是RF传输线113(图1)的示例。RF传输线181包括柱体148,例如隧道。在柱体148的空心内设有绝缘体189和RF杆142。柱体148和RF杆142的组合是RF传输线113(图1)的部分169(图1)的示例。借助螺栓B1、B2、B3和B4,RF传输线113被固定(bolt)到阻抗匹配电路114。在一实施方式中,RF传输线113借助任意数量的螺栓被固定(bolt)到阻抗匹配电路114。在一些实施方式中,代替螺栓或者除了螺栓之外,任何其它形式的连接件(例如,胶、螺钉等)被用于将RF传输线113附着到阻抗匹配电路114。 
RF传输杆142与阻抗匹配电路114的输出耦合。此外,RF带144(也称为RF匙)与RF杆142和RF杆199耦合,RF杆199的一部分位于支撑件146(例如,柱体)内。在实施方式中,柱体148、RF杆142、RF带144、柱体146和RF杆199的组合形成RF传输线181,RF传输线181是RF传输线113(图1)的示例。支撑件146为等离子体室提供支撑。支撑件146附着到等离子体室的ESC177。RF信号从x MHz发生器经由缆线150、阻抗匹配电路114、RF杆142、RF带144和RF杆199被提供给ESC177。 
在一实施方式中,ESC177包括加热元件和在该加热元件的顶部上的电极。在实施方式中,ESC177包括加热元件和下电极。在一实施方式中,ESC177包括下电极和嵌在形成于下电极内的孔中的加热元件,例如线圈导线等。在一些实施方式中,电极由金属(例如铝、铜等)制成。应当注意,RF传输线181提供RF信号给ESC177的下电极。 
图5A是系统171的实施方式的框图,用于图解RF传输线113(图1)的电路模型176。举例来说,电路模型176包括电感器和/或电容器、电感器之间的连接、电容器之间的连接、和/或电感器和电容器之间的连接。连接的示例包括串联和/或并联连接。电路模型176是RF传输模型161(图1)的示例。 
图5B是电路180的实施方式的图形,用于图解隧道和带模型210,隧道和带模型210是RF传输线模型161(图1)的部分173(图1)的示例。电路180包括阻抗匹配模型172以及隧道和带模型210。隧道和带模型210包括电感器Ltunnel和Lstrap以及电容器Ctunnel。应当注意,电感器Ltunnel代表柱体148(图4)和RF杆142的电感而电容器Ctunnel代表柱体148和RF杆142的电容。此外,电感器Lstrap代表RF带144(图4)的电感。 
在实施方式中,隧道和带模型210任意数量的电感器和/或任意数量的电容器。在该实施方式中,隧道和带模型210包括一电容器到另一电容器、电感器到电容器、和/或一电感器到另一电感器的任何耦合方式(例如串联、并联等)。 
图6是用于使用由方法102(图2)所确定的变量的系统200的实施方式的框图。系统200包括等离子体室135,等离子体室135进一步包括ESC201并具有输入285。等离子体室135是等离子体室175(图1)的示例而ESC201是ESC177(图1)的示例。ESC201包括加热元件198。此外,ESC201被边缘环(ER)194环绕。ER194包 括加热元件196。在实施方式中,ER194促进了均匀的蚀刻速率和减少的在由ESC201支撑的工件131的边缘附近的蚀刻速率漂移。 
电源206经由滤波器208提供功率给加热元件192以加热加热元件196而电源204经由滤波器202提供功率给加热元件198以加热加热元件198。在实施方式中,单一电源提供功率给加热元件196和198二者。滤波器208过滤出接收自电源206的预定频率的功率信号而滤波器202过滤出接收自电源204的预定频率的功率信号。 
加热元件198由接收自电源204的功率信号加热以将ESC198的电极维持在希望的温度从而进一步将等离子体室135内的环境维持在希望的温度。此外,加热元件196由接收自电源206的功率信号加热以将ER194维持在希望的温度从而进一步将等离子体室135内的环境维持在希望的温度。 
应当注意,在实施方式中,ER194和ESC201包括任意数量的加热元件和任意类型的加热元件。例如,ESC201包括电感加热元件或金属板。在一实施方式中,ESC201和ER194中的每一者均包括允许冷水等通过的一或多个冷却元件,例如一或多个管,以将等离子体室135维持在希望的温度。 
进一步地,应当注意,在一实施方式中,系统200包括任意数量的滤波器。例如,电源204和206经由单一滤波器耦合到ESC201和ER194。 
图7A是系统217的实施方式的图形,用于图解滤波器202和208(图6)的模型以提高变量的精度。系统217包括耦合到模型216的隧道和带模型210,模型216包括滤波器202和208的电容器和/或电感器以及它们之间的连接。模型216被存储在存储HU162(图1)和/或其它存储HU中。模型216的电容器和/或电感器以诸如并联方式、串联方式或其组合等方式彼此耦合。模型216代表滤波器202和208的电容和/或电感。 
此外,系统217包括柱体模型211,柱体模型211是RF杆199(图4)和支撑件146(图4)的计算机生成模型。柱体模型211具有与RF杆199和支撑件146的电气元件的特征类似的特征。柱体模型211包括一或多个电容器、一或多个电感器、电感器之间的连接、电容器之间的连接、和/或电容器和电感器的组合之间的连接。 
主机系统130(图1)的处理器计算模型216、隧道和带模型210以及柱体模型211的组合阻抗,例如总阻抗等。组合阻抗提供在节点N2m处的复电压和阻抗。通过在确定在节点N2m处的变量时包括模型216以及隧道和带模型214,所述变量的精度被提高。应当注意,模型216的输出是模型节点N2m。 
图7B是系统219的实施方式的图形,用于图解滤波器202和208(图6)的模型以提高变量的精度。系统219包括隧道和带模型210以及模型218,模型218并联耦合到隧道和带模型210。模型218是模型216(图7A)的示例。模型218包括电感器Lfilter,电感器Lfilter代表滤波器202和208的组合电感。模型218还包括电容器Cfilter,电容器Cfilter代表滤波器202和208的直接组合电容。 
图8是用于利用VI探针238来测量在RF发生器220的输出231处的变量的系统236的实施方式的框图。输出231是节点N3(图1)或者节点N5(图1)的示例。RF发生器220是x MHz发生器或y MHz发生器(图1)的示例。主机系统130产生具有两或更多状态的数字脉冲信号213并将其提供给数字信号处理器(DSP)226。在一实施方式中,数字脉冲信号213是晶体管-晶体管逻辑(TTL)信号。所述状态的示例包括导通状态和截止状态、数值为1的状态和数值为0的状态、高态和低态,等等。 
在另一实施方式中,代替主机系统130,时钟振荡器(例如晶体振荡器)被用来产生模拟时钟信号,该模拟时钟信号被模数转换器转换成类似于数字脉冲信号213的数字信号。 
数字脉冲信号213被发送给DSP226。DSP226接收数字脉冲信号213并识别数字脉冲信号213的状态。例如,DSP226确定数字脉冲信号213在第一组时间段期间具有第一量级,例如值1、高态量级等,而在第二组时间段期间具有第二量级,例如值0、低态量级等。DSP226确定数字脉冲信号213在第一组时间段期间具有状态S1而在第二组时间段期间具有状态S0。状态S0的示例包括低态、值为0的状态、以及截止状态。状态S1的示例包括高态、值为1的状态、以及导通状态。又例如,DSP226将数字脉冲信号213的量级和预存储的值进行比较以确定在第一组时间段期间数字脉冲信号213的量级大于预存储的值以及在第二组时间段期间数字脉冲信号213在状态S0期间的量级不大于预存储的值。在使用时钟振荡器的实施方式中,DSP226从时钟振荡器接收模拟时钟信号,将该模拟信号转换成数字形式,然后识别两种状态S0和S1。 
当状态被识别为S1时,DSP226提供功率值P1和/或频率值F1给参数控制器222。此外,当状态被识别为S0时,DSP226提供功率值P0和/或频率值F0给参数控制器224。用于调谐频率的参数控制器的示例包括自动频率调谐器(AFT)。 
应当注意,参数控制器222、参数控制器224和DSP226是控制系统187的部件。例如,参数控制器222和参数控制器224是作为由DSP226执行的计算机程序的部分的逻辑块,例如调谐回路。在一些实施方式中,计算机程序具体体现在非易失性计算机可读介质(例如存储HU)中。 
在实施方式中,代替参数控制器,诸如硬件控制器、ASIC、PLD等控制器被使用。例如,硬件控制器代替参数控制器222而使用,另一硬件控制器代替参数控制器224而使用。 
在接收功率值P1和/或频率值F1时,参数控制器222将功率值P1和/或频率值F1提供给驱动器和放大器系统(DAS)232的驱动器228。驱动器的示例包括功率驱动器、电流驱动器、电压驱动器、 晶体管,等等。驱动器228产生具有功率值P1和/或频率值F1的RF信号并将该RF信号提供给DAS232的放大器230。 
在一实施方式中,驱动器228产生具有作为功率值P1的函数的驱动功率值的和/或具有作为频率值F1的函数的驱动频率值的RF信号。例如,驱动功率值在若干(例如1至5)瓦特的功率值P1范围内而驱动频率值在若干(例如1至5)Hz的频率值F1范围内。 
放大器230放大具有功率值P1和/或频率值F1的RF信号并产生对应于接收自驱动器228的RF信号的RF信号215。例如,RF信号215具有比功率值P1高的功率量。又例如,RF信号215具有与功率值P1的量相同的功率量。RF信号215经由缆线217和阻抗匹配电路114传送给已知负载112(图2)。 
缆线217是缆线150或缆线152(图1)的示例。例如,当RF发生器220是x MHz RF发生器(图1)的示例时,缆线217是缆线150的示例,而当RF发生器220是y MHz RF发生器(图1)的示例时,缆线217是缆线152的示例。 
当功率值P1和/或频率值F1被参数控制器222提供给DAS232且RF信号215被产生时,VI探针238测量在与缆线217耦合的输出231处的变量的值。VI探针238是VI探针110或VI探针111(图1)的示例。VI探针238经由通信设备233将变量的值发送给主机系统130,用于主机系统130执行方法102(图3)和本文所述的其它方法。通信设备233是通信设备185或189(图1)的示例。通信设备233采用诸如以太网、EtherCAT、USB、串行、并行、封包(packetization)、拆包(depacketization)等协议来将数据从VI探针238传送至主机系统130。在各种实施方式中,主机系统130包括采用通信设备233所采用的协议的通信设备。例如,当通信设备233采用封包协议时,主机系统130的通信设备采用拆包协议。又例如,当通信设备233采用串行传输协议时,主机系统130的通信设备采用串行传输协议。 
类似地,当接收功率值P0和/或频率值F0时,参数控制器224将功率值P0和/或频率值F0提供给驱动器228。驱动器228产生具有功率值P0和/或频率值F0的RF信号并将该RF信号提供给放大器230。 
在一实施方式中,驱动器228产生具有作为功率值P0的函数的驱动功率值的和/或具有作为频率值F0的函数的驱动频率值的RF信号。例如,驱动功率值在若干(例如1至5)瓦特的功率值P0范围内而驱动频率值在若干(例如1至5)Hz的频率值F0范围内。 
放大器230放大具有功率值P0和/或频率值F0的RF信号并产生对应于接收自驱动器228的RF信号的RF信号221。例如,RF信号221具有比功率值P0的量高的功率量。又例如,RF信号221具有与功率值P0的量相同的功率量。RF信号221经由缆线217和阻抗匹配电路114传送给已知负载112(图2)。 
当功率值P0和/或频率值F0被参数控制器222提供给DAS232且RF信号121被产生时,VI探针238测量在输出231处的变量的值。VI探针238将变量的值发送给主机系统130,用于主机系统130执行方法102(图2)。 
应当注意,在一实施方式中,VI探针238从DSP226去耦。进一步地,应当注意,在状态S1期间产生的RF信号215以及在状态S0期间产生的RF信号221是组合RF信号的部分。例如,RF信号215是组合RF信号的具有比RF信号221高的功率量的部分,RF信号221是组合RF信号的另一部分。 
图9是系统250的实施方式的框图,其中VI探针238和通信设备233位于RF发生器220的外面。在图1中,VI探针110位于x MHz RF发生器内以测量在x MHz RF发生器的输出位置的变量。VI探针238位于RF发生器220的外面以测量在RF发生器220的输出231处的变量。VI探针238与RF发生器220的输出231相关联(例如,耦合)。 
图10是系统128的实施方式的实施方式的框图,其中利用图1的系统126所确定的变量的值被使用。系统128包括m MHz RF发生器、n MHz RF发生器、阻抗匹配电路115、RF传输线287和等离子体室134。等离子体室134可类似于等离子体室175。 
应当注意,在实施方式中,图2的x MHz RF发生器类似于m MHz RF发生器而图2的y MHz RF发生器类似于n MHz RF发生器。例如,x MHz等于m MHz而y MHz等于n MHz。又例如,x MHz发生器和m MHz发生器具有相似的频率而y MHz发生器和n MHz发生器具有相似的频率。相似频率的示例是当x MHz在m MHz频率的雷达干扰带(window)内(例如,在kHz或Hz范围内)。在一些实施方式中,图2的x MHz RF发生器不与m MHz RF发生器类似且图2的y MHz RF发生器不与n MHz RF发生器类似。 
进一步要注意的是,在各种实施方式中,与用在x MHz和y MHz RF发生器的每一个中的传感器类型不同的传感器被用在m MHz和n MHz RF发生器的每一个中。例如,不遵循NIST标准的传感器被用在m MHz RF发生器中。又例如,只测量电压的电压传感器被用在m MHz RF发生器中。 
进一步地,应当注意,阻抗匹配电路115类似于阻抗匹配电路114(图1)。例如,阻抗匹配电路114的阻抗与阻抗匹配电路115的阻抗相同。又例如,阻抗匹配电路115的阻抗在阻抗匹配电路114的阻抗的雷达干扰带内(例如,在阻抗匹配电路114的阻抗的10-20%内)。在一些实施方式中,阻抗匹配电路115不与阻抗匹配电路114类似。 
阻抗匹配电路115包括电气元件(例如电感器、电容器等)以使耦合于阻抗匹配电路115的电源的阻抗与耦合于电路115的负载的阻抗相匹配。例如,阻抗匹配电路114使m MHz和n MHz RF发生器的阻抗与等离子体室134的阻抗相匹配。在一实施方式中,阻抗匹配 电路115被调谐以促进耦合到阻抗匹配电路115的m MHz和n MHz RF发生器的阻抗和负载的阻抗之间的匹配。 
应当注意,在实施方式中,RF传输线287类似于RF传输线113(图1)。例如,RF传输线287的阻抗与RF传输线113的阻抗相同。又例如,RF传输线287的阻抗在RF传输线113的阻抗的雷达干扰带内(例如,在RF传输线113的阻抗的10-20%内)。在多种实施方式中,RF传输线287不与RF传输线113类似。 
等离子体室134包括ESC192、上电极264以及其它部件(未图示),其它部件例如围绕上电极264的上介电环、围绕上介电环的上电极延伸部、围绕ESC192的下电极的下介电环、围绕下介电环的下电极延伸部、上等离子体禁区(PEZ)环、下PEZ环,等等。上电极264位于ESC192的对面且面向ESC192。工件262,例如半导体晶片等,被支撑在ESC192的上表面263上。ESC192的上电极264和下电极中的每一个均由金属(例如铝、铝合金、铜等)制成。 
在一实施方式中,上电极264包括耦合到中央气体进给装置(未图示)的孔。中央气体进给装置接收来自气体供应源(未图示)的一或多种工艺气体。工艺气体的示例包括含氧气体,比如O2。工艺气体的其它示例包括含氟气体,例如四氟化碳(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等。上电极264接地。ESC192经由阻抗匹配电路115耦合到m MHz RF发生器和n MHz RF发生器。 
当工艺气体被供应到上电极264和ESC192之间且当m MHz RF发生器和/或n MHz RF发生器经由阻抗匹配电路115供应功率给ESC192时,所述工艺气体被点燃以在等离子体室134内产生等离子体。 
应当注意,系统128缺少探针(例如,计量工具、VI探针、电压探针等)来测量在阻抗匹配电路115的输出283处或者在RF传输线287上的点处的变量。在模型节点N1m、N2m和N4m处的变量值被用来确定系统128是否如所希望的那样运行。 
还应当注意,在实施方式中,系统128包括任意数量的耦合到阻抗匹配电路的RF发生器。 
图11A、11B和11C是图解在通过使用电压探针在系统126(图1)的阻抗匹配电路114(图1)的输出(例如节点N4)位置测得的电压(例如均方根(RMS)电压等)和利用方法102(图2)确定的在对应模型节点输出(例如节点N4m)位置的电压(例如峰值电压等)之间的相互关系的图268、272和275的实施方式的图形。此外,图11A至11C是图解在通过使用电流探针在系统126(图1)的输出(例如节点N4)位置测得的电流(例如RMS电流等)和利用方法102(图2)确定的在对应输出(例如节点N4m)位置的电流(例如RMS电流等)之间的相互关系的图270、274和277的实施方式的图形。 
利用方法102确定的电压绘制在各图268、272和275中的x轴上而利用电压探针确定的电压绘制在各图268、272和275中的y轴上。类似地,利用方法102确定的电流绘制在各图270、274和277中的x轴上而利用电流探针确定的电流绘制在各图270、274和277中的y轴上。 
当x MHz RF发生器处于运转状态(例如接通等)而y MHz RF发生器和z MHz RF发生器处于非运转状态(例如断电、从阻抗匹配电路114解耦等)时,电压绘制为图268。此外,当y MHz RF发生器处于运转状态而x和z MHz RF发生器处于非运转状态时,电压绘制为图272。再者,当z MHz RF发生器处于运转状态而x和y MHz RF发生器处于非运转状态时,电压绘制为图275。 
类似地,当x MHz RF发生器处于运转状态(例如接通等)而y MHz RF发生器和z MHz RF发生器处于非运转状态(例如断电等)时,电流绘制为图270。此外,当y MHz RF发生器处于运转状态而x和z MHz RF发生器处于非运转状态时,电流绘制为图274。再者,当 z MHz RF发生器处于运转状态而x和y MHz RF发生器处于非运转状态时,电流绘制为图277。 
在各图268、272和275中可见,绘制在图中y轴上的电压和绘制在图中x轴上的电压之间存在近似线性相关。类似地,在各图270、274和277中可见,在绘制在y轴上的电流和绘制在x轴上的电流之间存在近似线性相关。 
图12A是示出在使用传感器工具(例如计量工具、探针、传感器等)测得的有线晶片偏置、利用方法102(图2)和数学换算(例如方程式、公式等)确定的模型偏置以及模型偏置中的误差之间有相互关系的图276和278的实施方式的图形。绘制为图276的有线晶片偏置在系统126(图1)的RF传输线113上的点(例如节点,例如节点N1、节点N2等)处测得,绘制为图276的模型偏置在RF传输模型161(图1)上的对应模型点(例如模型节点N1m、模型节点N2m等(图1))处被确定。有线晶片偏置沿着图276中的y轴绘制,模型偏置沿着图276中的x轴绘制。 
当x MHz RF发生器处于运转状态而y和z MHz RF发生器处于非运转状态时,有线晶片偏置和模型偏置绘制为图276。此外,图276的模型偏置利用方程式a2*V2+b2*I2+c2*sqrt(P2)+d2确定,其中“*”代表乘,sqrt代表平方根,“V2”代表在阻抗匹配模型104的输出位置的电压,I2代表在阻抗匹配模型104的输出位置的电流,P2代表在阻抗匹配模型104的输出位置的功率,“a2”是系数,“b2”是系数,“c2”是系数,而“d2”是常数值。 
图278在y轴上绘出了误差,该误差是在RF传输线模型161(图1)上的模型节点处(例如在节点N1m处、在节点N2m处(图1))的误差,且在x轴上绘出了在模型点处的模型偏置。模型误差是模型偏置中的误差,例如方差、标准差等。当x MHz RF发生器处于运转状态而y和z MHz RF发生器处于非运转状态时,模型误差和模型偏置绘制为图278。 
图12B是示出在有线晶片偏置、利用方法102(图2)和数学换算确定的模型偏置以及模型偏置中误差之间有相互关系的图280和282的实施方式的图形。图280和282以类似于图276和278(图12A)的方式进行绘制,不同的是图280和282绘制在y MHz RF发生器处于运转状态而x和z MHz RF发生器处于非运转状态时。此外,图280和282的模型偏置利用方程式a27*V27+b27*I27+c27*sqrt(P27)+d27确定,其中“V27”代表在阻抗匹配模型104的输出位置的电压,I27代表在阻抗匹配模型104的输出位置的电流,P27代表在阻抗匹配模型104的输出位置的功率,“a27”是系数,“b27”是系数,“c27”是系数,而“d27”是常数值。 
图12C是示出在有线晶片偏置、利用方法102(图2)和数学换算确定的模型偏置以及模型偏置中的误差之间有相互关系的图284和286的实施方式的图形。图284和286以类似于图276和278(图12A)的方式进行绘制,不同的是图284和286绘制在z MHz RF发生器处于运转状态而x和y MHz RF发生器处于非运转状态时。此外,图284和286的模型偏置利用方程式a60*V60+b60*I60+c60*sqrt(P60)+d60确定,其中“V60”代表在阻抗匹配模型104的输出位置的电压,I60代表在阻抗匹配模型104的输出位置的电流,P60代表在阻抗匹配模型104的输出位置的功率,“a60”是系数,“b60”是系数,“c60”是系数,而“d60”是常数值。 
图12D是示出在有线晶片偏置、利用方法102(图2)和数学换算确定的模型偏置以及模型偏置中的误差之间有相互关系的图288和290的实施方式的图形。图288和290以类似于图276和278(图12A)的方式进行绘制,不同的是图288和290绘制在x和y MHz RF发生器处于运转状态而z MHz RF发生器处于非运转状态时。此外,图288和290的模型偏置利用方程式a2*V2+b2*I2+c2*sqrt(P2)+d27*V27+e27*I27+f27*sqrt(P27)+g227确定,其中“d27”、“e27”和“f27”是系数,而“g227”是常数值。 
图12E是示出在有线晶片偏置、利用方法102(图2)和数学换算确定的模型偏置以及模型偏置中的误差之间有相互关系的图292和294的实施方式的图形。图292和294以类似于图276和278(图12A)的方式进行绘制,不同的是图292和294绘制在x和z MHz RF发生器处于运转状态而y MHz RF发生器处于非运转状态时。此外,图292和294的模型偏置利用方程式a2*V2+b2*I2+c2*sqrt(P2)+d60*V60+e60*I60+f60*sqrt(P60)+g260确定,其中“d60”、“e60”和“f60”是系数,而“g260”是常数值。 
图12F是示出在有线晶片偏置、利用方法102(图2)和数学换算确定的模型偏置以及模型偏置中的误差之间有相互关系的图296和298的实施方式的图形。图296和298以类似于图276和278(图12A)的方式进行绘制,不同的是图296和298绘制在y和z MHz RF发生器处于运转状态而x MHz RF发生器处于非运转状态时。此外,图296和298的模型偏置利用方程式a27*V27+b27*I27+c27*sqrt(P27)+d60*V60+e60*I60+f60*sqrt(P60)+g2760确定,其中“a27”、“b27”和“c27”是系数,而“g2760”是常数值。 
图12G是示出在有线晶片偏置、利用方法102(图2)和数学换算确定的模型偏置以及模型偏置中的误差之间有相互关系的图302和304的实施方式的图形。图302和304以类似于图276和278(图12A)的方式进行绘制,不同的是图302和304绘制在x、y和z MHz RF发生器处于运转状态时。此外,图302和304的模型偏置利用方程式a2*V2+b2*I2+c2*sqrt(P2)+d60*V60+e60*I60+f60*sqrt(P60)+g27*V27+h27*I27+i27*sqrt(P27)+j22760确定,其中“g27”、“h27”和“i27”是系数,而“j22760”是常数值。 
图13是主机系统130的实施方式的框图。主机系统130包括处理器168、存储HU162、输入HU320、输出HU322、输入/输出(I/O)接口324、I/O接口326、网络接口控制器(NIC)328和总线330。处理器168、存储HU162、输入HU320、输出HU322、I/O接 口324、I/O接口326和NIC328通过总线330互相耦合。输入HU320的示例包括鼠标、键盘、指示笔等。输出HU322的示例包括显示器、扬声器或者它们的组合。显示器可以是液晶显示器、发光二极管显示器、阴极射线管、等离子体显示器,等等。NIC328的示例包括网络接口卡、网络适配器等。 
I/O接口的示例包括提供在耦合到该接口的硬件之间的兼容性的接口。例如,I/O接口324将接收自输入HU320的信号转换成与总线330兼容的形式、振幅和/或速度。又例如,I/O接口326将接收自总线330的信号转换成与输出HU322兼容的形式、振幅和/或速度。 
要注意的是,虽然前述实施方式参考平行板等离子体室进行描述,但在一实施方式中,前述实施方式可应用于其它类型的等离子体室,例如包括电感耦合等离子体(ICP)反应器的等离子体室、包括电子回旋共振(ECR)反应器的等离子体室,等等。例如,x MHz RF发生器和y MHz RF发生器耦合于ICP等离子体室内的电感器。 
应当注意,虽然前述实施方式涉及提供RF信号给ESC177(图1)和ESC163(图10)电极且涉及使上电极179和264(图1和10)接地,但在若干实施方式中,RF信号被提供给上电极179和264中,同时ESC177和163下电极接地。 
此处所描述的实施方式可用各种计算机系统配置来实施,计算机系统配置包括手持式硬件单元、微处理器系统、基于微处理器的或可编程的消费电子产品、微型计算机、大型计算机,等等。所述实施方式还可在分布式计算环境中实施,在分布式计算环境中,任务由通过网络而连接的远程处理硬件单元执行。 
在上述实施方式的基础上,应当理解,所述实施方式可采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些操作是需要物理量的物理操纵的操作。本文所描述的构成实施方式的一部分的操作中的任意一个是有用的机器操作。所述实施方式也涉及用于执行这些操作的硬件单元或装置。所述装置可以为专用计算机专门构 造。当被定义为专用计算机时,该计算机也可执行不是专用部分的其它处理、程序执行或例程,同时仍然能够进行专用操作。在一些实施方式中,所述操作可由通用计算机处理,该通用计算机被存储在计算机存储器、缓存中或通过网络获得的一或多个计算机程序选择性地激活或配置。当数据通过网络获得时,该数据可由该网络上的其它计算机(例如云计算资源)进行处理。 
一或多种实施方式还可被制作为在非易失性计算机可读介质上的计算机可读代码。非易失性计算机可读介质是能够存储数据的任意数据存储硬件单元,其以后能够被计算机系统读取。非易失性计算机可读介质的示例包括硬盘驱动器、网络附加存储(NAS)、ROM、RAM、光盘ROM(CD-ROM)、可录式CD(CD-R)、可擦写CD(CD-RW)、磁带及其它光学和非光学数据存储硬件单元。非易失性计算机可读介质可包括分布在网络耦合计算机系统中的计算机可读有形介质,使得计算机可读代码以分布方式被存储和执行。 
虽然上面图2的流程图中的方法操作以特定顺序进行描述,但应当理解其它内务操作可在操作之间执行,或者操作可被调整使得它们发生在略微不同的时间,或者可被分布在允许在与处理相关的各种时间间隔发生处理操作的系统中,只要叠加操作的处理以希望的方式被执行。 
来自任何实施方式的一或多个特征可与任何其它实施方式的一或多个特征组合却不背离记载在本公开中所描述的各种实施方式中的范围。 
虽然出于清楚理解的目的已在一定程度上详细描述了前述实施方式,但显而易见的是,可在所附权利要求的范围内实施某些改变和修改。据此,本发明的实施方式应被视为示例性的而非限制性的,且这些实施方式不受限于此处给出的细节,而是可在所附权利要求的范围和等同原则内进行修改。 

Claims (21)

1.一种用于确定射频(RF)传输模型上的变量的值的方法,所述方法包括:
识别在RF发生器的输出位置测得的当所述RF发生器经由阻抗匹配电路耦合到等离子体室时的第一复电压和电流,所述阻抗匹配电路具有耦合到所述RF发生器的输出的输入和耦合到RF传输线的输出;
基于所述阻抗匹配电路中所定义的电气元件生成阻抗匹配模型,所述阻抗匹配模型具有输入和输出,所述阻抗匹配模型的输入接收所述第一复电压和电流,所述阻抗匹配模型具有一个或多个元件;
从所述阻抗匹配模型的输入到所述阻抗匹配模型的输出穿过所述一个或多个元件传送所述第一复电压和电流以确定第二复电压和电流,其中所述第二复电压和电流在所述阻抗匹配模型的输出位置;
基于所述RF传输线中所定义的电路元件生成RF传输模型,所述RF传输模型具有输入和输出,所述RF传输模型的输入耦合到所述阻抗匹配模型的输出,所述RF传输模型具有包括一或多个元件的部分;以及
从所述RF传输模型的输入到所述RF传输模型的所述部分的输出穿过所述RF传输模型的所述部分的所述一或多个元件传送所述第二复电压和电流以确定第三复电压和电流,其中所述第三复电压和电流在所述RF传输模型的所述部分的输出位置。
2.如权利要求1所述的方法,其中所接收的所述第一复电压和电流用电压和电流探针在所述RF发生器的输出位置测得,所述电压和电流探针根据预设公式校准。
3.如权利要求2所述的方法,其中所述预设公式是标准。
4.如权利要求3所述的方法,其中所述标准是美国国家标准技术研究所(NIST)标准,其中所述电压和电流探针与开路、短路或者负载耦合以校准所述电压和电流探针从而符合NIST标准。
5.如权利要求1所述的方法,其中所述第三复电压和电流包括电压值、电流值和所述电压值和所述电流值之间的相位。
6.如权利要求1所述的方法,其中所述RF发生器的输出被用于经由所述阻抗匹配电路和所述RF传输线将RF信号传送给所述等离子体室。
7.如权利要求1所述的方法,其中所述阻抗匹配电路的电气元件包括电容器、电感器或者电容器和电感器的组合。
8.如权利要求1所述的方法,其中所述阻抗匹配模型的元件包括电容器、电感器或者电容器和电感器的组合。
9.如权利要求1所述的方法,其中所述阻抗匹配电路的电气元件包括电容器和电感器的组合,其中所述阻抗匹配模型的元件具有与所述阻抗匹配电路的电气元件的特性相似的特性。
10.如权利要求1所述的方法,其中在所述RF传输模型的所述部分的输出位置的所述第三复电压和电流用于系统中,其中所述系统包括阻抗匹配电路且在所述系统的所述阻抗匹配电路的输出位置不包括计量工具,其中所述系统包括RF传输线且在所述系统的所述RF传输线上的点处不包括计量工具。
11.如权利要求1所述的方法,其中所述阻抗匹配模型和所述RF传输模型在计算机中生成。
12.如权利要求1所述的方法,其中从所述阻抗匹配模型的输入到所述阻抗匹配模型的输出穿过所述一个或多个元件传送所述第一复电压和电流以确定所述第二复电压和电流包括:
基于所述第一复电压和电流以及耦合在所述阻抗匹配模型的输入和中间节点之间的所述阻抗匹配模型的一个或多个元件的特性确定所述阻抗匹配模型中的所述中间节点内的中间复电压和电流;以及
基于所述中间复电压和电流以及耦合在所述中间节点和所述阻抗匹配模型的输出之间的所述阻抗匹配模型的一个或多个元件的特性确定所述第二复电压和电流。
13.如权利要求1所述的方法,其中所述RF传输模型包括RF隧道模型和RF带模型,所述RF隧道模型与所述RF带模型耦合。
14.如权利要求1所述的方法,其中所述RF传输线的电气元件包括电容器和电感器的组合,其中所述RF传输模型的元件具有与所述RF传输线的电气元件的特性相似的特性。
15.如权利要求1所述的方法,其中从所述RF传输模型的输入到所述RF传输模型的所述部分的输出穿过所述RF传输模型的所述部分的一或多个元件传送所述第二复电压和电流以确定所述第三复电压和电流包括:
基于所述第二复电压和电流以及耦合在所述RF传输模型的输入和中间节点之间的所述RF传输模型的所述部分的一或多个元件的特性确定所述RF传输模型的所述部分中的所述中间节点内的中间复电压和电流;以及
基于所述中间复电压和电流以及耦合在所述中间节点和所述RF传输模型的所述部分的输出之间的所述RF传输模型的所述部分的一或多个元件的特性确定所述第三复电压和电流。
16.一种用于确定射频(RF)传输模型上的变量的值的等离子体系统,其包括:
用于产生RF信号的RF发生器,所述RF发生器与电压和电流探针相关联,其中所述电压和电流探针被配置来测量在所述RF发生器的输出位置的第一复电压和电流;
耦合到所述RF发生器的阻抗匹配电路;
经由RF传输线耦合到所述阻抗匹配电路的等离子体室,所述阻抗匹配电路具有耦合到所述RF发生器的输出的输入和耦合到所述RF传输线的输出;以及
耦合到所述RF发生器的处理器,所述处理器用于:
识别所述第一复电压和电流;
基于所述阻抗匹配电路中所定义的电气元件生成阻抗匹配模型,所述阻抗匹配模型具有输入和输出,所述阻抗匹配模型的输入接收所述第一复电压和电流,所述阻抗匹配模型具有一个或多个元件;
从所述阻抗匹配模型的输入到所述阻抗匹配模型的输出穿过所述一个或多个元件传送所述第一复电压和电流以确定第二复电压和电流,其中所述第二复电压和电流在所述阻抗匹配模型的输出位置;
基于所述RF传输线中所定义的电气元件生成RF传输模型,所述RF传输模型具有输入和输出,所述RF传输模型的输入耦合到所述阻抗匹配模型的输出,所述RF传输模型具有包括一或多个元件的部分;
从所述RF传输模型的输入到所述RF传输模型的所述部分的输出穿过所述RF传输模型的所述部分的一或多个元件传送所述第二复电压和电流以确定第三复电压和电流,其中所述第三复电压和电流在所述RF传输模型的所述部分的输出位置;以及
将用于存储的所述第三复电压和电流提供给存储硬件单元。
17.如权利要求16所述的系统,其中所述电压和电流探针被校准以符合预设公式。
18.如权利要求16所述的系统,其中所述预设公式是标准,其中所述标准是美国国家标准技术研究所(NIST)标准,其中所述电压和电流探针与开路、短路或者负载耦合以校准所述电压和电流探针从而符合NIST标准。
19.一种用于确定射频(RF)传输模型上的变量的值的计算机系统,所述计算机系统包括:
处理器,其被配置来:
识别在RF发生器的输出位置测得的当所述RF发生器经由阻抗匹配电路耦合到等离子体室时的第一复电压和电流,所述阻抗匹配电路具有耦合到所述RF发生器的输出的输入和耦合到RF传输线的输出;
基于所述阻抗匹配电路中所定义的电气元件生成阻抗匹配模型,所述阻抗匹配模型具有输入和输出,所述阻抗匹配模型的输入接收所述第一复电压和电流,所述阻抗匹配模型具有一个或多个元件;
从所述阻抗匹配模型的输入到所述阻抗匹配模型的输出穿过所述一个或多个元件传送所述第一复电压和电流以确定第二复电压和电流,其中所述第二复电压和电流在所述阻抗匹配模型的输出位置;
基于所述RF传输线中所定义的电气元件生成RF传输模型,所述RF传输模型具有输入和输出,所述RF传输模型的输入耦合到所述阻抗匹配模型的输出,所述RF传输模型具有包括一或多个元件的部分;以及
从所述RF传输模型的输入到所述RF传输模型的所述部分的输出穿过所述RF传输模型的所述部分的一或多个元件传送所述第二复电压和电流以确定第三复电压和电流,其中所述第三复电压和电流在所述RF传输模型的所述部分的输出位置;以及
耦合到所述处理器的存储设备,所述存储设备配置来存储所述第三复电压和电流。
20.如权利要求19所述的计算机系统,其中所接收的所述第一复电压和电流用电压和电流探针在所述RF发生器的输出位置测得,所述电压和电流探针根据预设公式校准。
21.如权利要求20所述的计算机系统,其中所述电压和电流探针从所述RF发生器的数字信号处理器解耦。
CN201310692481.2A 2012-12-17 2013-12-17 确定rf传输线上的变量的值的方法和系统 Expired - Fee Related CN103871810B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/717,538 US9320126B2 (en) 2012-12-17 2012-12-17 Determining a value of a variable on an RF transmission model
US13/717,538 2012-12-17

Publications (2)

Publication Number Publication Date
CN103871810A true CN103871810A (zh) 2014-06-18
CN103871810B CN103871810B (zh) 2017-04-12

Family

ID=50910227

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310692481.2A Expired - Fee Related CN103871810B (zh) 2012-12-17 2013-12-17 确定rf传输线上的变量的值的方法和系统

Country Status (5)

Country Link
US (2) US9320126B2 (zh)
KR (1) KR102127017B1 (zh)
CN (1) CN103871810B (zh)
SG (2) SG2013093562A (zh)
TW (1) TWI620471B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108352817A (zh) * 2015-11-09 2018-07-31 瑞典爱立信有限公司 一种用于补偿来自电路的输出信号的放大器电路
CN111381097A (zh) * 2018-12-31 2020-07-07 特克特朗尼克公司 用于全双工串行通信链路的设备信号分离

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325759B2 (en) * 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US10469108B2 (en) * 2013-05-09 2019-11-05 Lam Research Corporation Systems and methods for using computer-generated models to reduce reflected power towards a high frequency RF generator during a cycle of operations of a low frequency RF generator
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9652567B2 (en) * 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9954508B2 (en) * 2015-10-26 2018-04-24 Lam Research Corporation Multiple-output radiofrequency matching module and associated methods
US9515633B1 (en) * 2016-01-11 2016-12-06 Lam Research Corporation Transformer coupled capacitive tuning circuit with fast impedance switching for plasma etch chambers
KR102452835B1 (ko) * 2016-12-05 2022-10-07 램 리써치 코포레이션 다중 제어 모드
US20180175819A1 (en) * 2016-12-16 2018-06-21 Lam Research Corporation Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
US11259871B2 (en) 2018-04-26 2022-03-01 Vektor Medical, Inc. Identify ablation pattern for use in an ablation
US11564641B2 (en) 2018-04-26 2023-01-31 Vektor Medical, Inc. Generating simulated anatomies of an electromagnetic source
US10943770B2 (en) * 2019-03-04 2021-03-09 Advanced Energy Industries, Inc. Detection of damage in matching networks
US10595736B1 (en) 2019-06-10 2020-03-24 Vektor Medical, Inc. Heart graphic display system
US10709347B1 (en) 2019-06-10 2020-07-14 Vektor Medical, Inc. Heart graphic display system
WO2022094425A1 (en) 2020-10-30 2022-05-05 Vektor Medical, Inc. Heart graphic display system
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
US11338131B1 (en) 2021-05-05 2022-05-24 Vektor Medical, Inc. Guiding implantation of an energy delivery component in a body
CA3228337A1 (en) 2021-08-09 2023-02-16 Vektor Medical, Inc. Tissue state graphic display system
US11534224B1 (en) 2021-12-02 2022-12-27 Vektor Medical, Inc. Interactive ablation workflow system
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1230689A (zh) * 1998-02-27 1999-10-06 恩尼技术公司 具有高度匹配定向性的电压-电流探头
US20040226657A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) * 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
WO2002075332A1 (en) 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
JP2005531927A (ja) 2002-06-28 2005-10-20 東京エレクトロン株式会社 材料処理ツールおよびセンサデータを使用して処理性能を予測するための方法およびシステム
WO2004003968A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) * 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
JP4359521B2 (ja) 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
EP1803142A1 (en) 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
JP4838525B2 (ja) * 2005-03-31 2011-12-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置及び可変整合器におけるインピーダンスのプリセット値を決定するためのプログラム
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
EP1889279B1 (en) 2005-06-10 2009-08-26 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8920600B2 (en) 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
JP2010519448A (ja) * 2007-02-16 2010-06-03 エイディー アストラ ロケット カンパニー 改良型プラズマ源
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8073646B2 (en) 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2009060213A1 (en) * 2007-11-06 2009-05-14 Microoncology Limited Microwave plasms sterilisation system and applicators therefor
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
KR20150017389A (ko) 2008-05-14 2015-02-16 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) * 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
WO2011022612A2 (en) 2009-08-21 2011-02-24 Mattson Technology, Inc. Inductive plasma source
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) * 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130006555A1 (en) * 2011-06-30 2013-01-03 Advanced Energy Industries, Inc. Method and apparatus for measuring the power of a power generator while operating in variable frequency mode and/or while operating in pulsing mode
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1230689A (zh) * 1998-02-27 1999-10-06 恩尼技术公司 具有高度匹配定向性的电压-电流探头
US20040226657A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108352817A (zh) * 2015-11-09 2018-07-31 瑞典爱立信有限公司 一种用于补偿来自电路的输出信号的放大器电路
CN111381097A (zh) * 2018-12-31 2020-07-07 特克特朗尼克公司 用于全双工串行通信链路的设备信号分离
CN111381097B (zh) * 2018-12-31 2024-05-28 特克特朗尼克公司 用于全双工串行通信链路的设备信号分离

Also Published As

Publication number Publication date
SG10201604882SA (en) 2016-08-30
US20140172335A1 (en) 2014-06-19
KR102127017B1 (ko) 2020-06-26
US10008371B2 (en) 2018-06-26
US20160189937A1 (en) 2016-06-30
KR20140078573A (ko) 2014-06-25
US9320126B2 (en) 2016-04-19
TW201438523A (zh) 2014-10-01
SG2013093562A (en) 2014-07-30
TWI620471B (zh) 2018-04-01
CN103871810B (zh) 2017-04-12

Similar Documents

Publication Publication Date Title
CN103871810A (zh) 确定rf 传输线上的变量的值的方法和系统
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
CN103984790A (zh) 使用模型确定与等离子体系统关联的晶片偏置
CN104051215A (zh) 确定等离子体系统中的故障装置
CN103298235B (zh) 基于状态的功率和频率调节
US9779196B2 (en) Segmenting a model within a plasma system
CN105742151B (zh) 功率和频率的基于阻抗的调节
CN105006419B (zh) 使用模型确定等离子体系统的rf 传输系统中故障的位置
CN103681195B (zh) 基于三个或更多个状态的功率和频率的调节
CN104051214A (zh) 使用模型确定与等离子体系统关联的离子能量
US20160109863A1 (en) System, Method and Apparatus for Improving Accuracy of RF Transmission Models for Selected Portions of an RF Transmission Path
KR20160048657A (ko) Rf 송신 시스템 모델들을 개량하기 위한 시스템, 방법 및 장치
US9530620B2 (en) Dual control modes
CN103926850B (zh) 调谐与等离子体阻抗有关联的参数
US9927481B2 (en) Cable power loss determination for virtual metrology
CN105047513B (zh) 软脉冲调制
KR20140133475A (ko) 플라즈마 시스템 내의 모델의 세그먼트화

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170412

Termination date: 20181217