CN103926850B - 调谐与等离子体阻抗有关联的参数 - Google Patents

调谐与等离子体阻抗有关联的参数 Download PDF

Info

Publication number
CN103926850B
CN103926850B CN201410014140.4A CN201410014140A CN103926850B CN 103926850 B CN103926850 B CN 103926850B CN 201410014140 A CN201410014140 A CN 201410014140A CN 103926850 B CN103926850 B CN 103926850B
Authority
CN
China
Prior art keywords
value
parameter
variable
judge
local minimum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410014140.4A
Other languages
English (en)
Other versions
CN103926850A (zh
Inventor
约翰·C·小瓦尔考
布拉德福德·J·林达克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103926850A publication Critical patent/CN103926850A/zh
Application granted granted Critical
Publication of CN103926850B publication Critical patent/CN103926850B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/466Radiofrequency discharges using capacitive coupling means, e.g. electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及调谐与等离子体阻抗有关联的参数,具体描述了用于调谐与等离子体阻抗相关联的参数的系统和方法。方法中的一种包括接收信息以判定变量。在传输线路测量信息并在参数具有第一值时进行测量。该传输线路用于提供功率到等离子体室。该方法进一步包括:判定所述变量是否在局部最小值,并在判定所述变量在局部最小值时提供所述第一值来调谐阻抗匹配电路。该方法包括在判定变量不在局部最小值时改变参数的所述第一值到第二值,并判定参数具有第二值时变量是否在局部最小值。

Description

调谐与等离子体阻抗有关联的参数
技术领域
本实施方式涉及判定参数,能以该参数控制等离子体阻抗,并且涉及用于调谐与等离子体阻抗相关联的参数的装置、方法和计算机程序。
背景技术
等离子体系统包括等离子体室和射频(RF)发生器。该发生器产生提供功率到等离子体室内的电极的RF信号。该RF信号具有例如频率和功率等特征。随着特征变化,等离子体阻抗发生变化。控制频率和功率以控制所述等离子体阻抗。
在这样的背景下产生本公开中描述的实施方式。
发明内容
本公开的实施方式提供用于调谐与等离子体阻抗相关联的参数的设备、方法和计算机程序。应当理解的是,这些实施方式可以在计算机可读介质上以多种方式来实现,例如,以过程、装置、系统、设备或方法来实现。若干实施方式描述如下。
在一种实施方式中,提供了等离子体系统。在等离子体系统中,参数,例如,频率、功率、电容、电感等,基于变量的局部最小值被调谐到某值。变量的例子包括在等离子体系统的阻抗匹配电路的输入端的源阻抗、与等离子体系统的等离子体相关联的伽马、反射功率等。局部最小值是基于当参数被分配一个或多个值时变量的稳定性和/或基于当参数被分配一个或多个值时该变量是否超过阈值时来判定的。当使用局部最小值时,实现该参数的值的快速分辨,在实现变量的相称的值时,该分辨是令人满意的。例如,相对于调谐参数以实现绝对最小值所需的时间,利用局部最小值减少了调谐参数以实现局部最小值所需的时间。
在一种实施方式中,提供了用于调谐与等离子体阻抗相关联的参数的方法。该方法包括接收信息以判定变量。信息是在传输线路测量的并在参数具有第一值时进行测量。传输线路用来经由阻抗匹配电路提供功率到等离子体室。该方法进一步包括判定所述变量是否是在局部最小值,并在判定所述变量在局部最小值时提供第一值来调谐阻抗匹配电路。该方法包括在判定变量不是在局部最小值时改变所述参数的第一值成第二值,并判定在参数具有第二值时变量是否为局部最小值。该方法由处理器执行。
在一种实施方式中,提供了用于调谐与等离子体阻抗相关联的参数的方法。该方法包括接收信息以判定变量。信息是在传输线路测量的并在参数具有第一值时进行测量。传输线路用来经由阻抗匹配电路提供功率到等离子体室。该方法进一步包括判定所述变量是否在局部最小值,并当判定变量在局部最小值时提供所述第一值以产生射频(RF)信号。RF信号对应于所述第一值并将被发送到等离子体室。所述方法包括在判定所述变量不是在局部最小值时改变参数的所述第一值成第二值,并判定在参数具有第二值时变量是否为局部最小值。该方法由处理器执行。
在一种实施方式中,描述了用于调谐与等离子体阻抗相关联的参数的方法。该方法包括接收信息以判定变量。信息是在传输线路测量的并在参数具有第一值时进行测量。传输线路用来经由阻抗匹配电路提供功率到等离子体室。该方法进一步包括判定当所述参数具有第一值时所述变量是否在局部最小值,并在判定变量在局部最小值时判定所述参数的所述第一值是否在习得值的极限内。习得值在学习历程过程中判定。所述方法包括在判定所述参数的第一值是在极限内时提供习得值以产生射频信号或以调谐阻抗匹配电路。所述方法包括在判定所述参数具有极限外的第一值时改变参数的所述第一值成第二值。该方法由处理器执行。
在一种实施方式中,描述了用于调谐与等离子体阻抗相关联的参数的方法。该方法包括接收信息以判定变量。信息是在传输线路测量的并在参数具有第一值时进行测量。传输线路用来经由阻抗匹配电路提供功率到等离子体室。该方法进一步包括判定所述变量的局部最小值。当该参数具有第一值时达到局部最小值。该方法还包括:通过改变参数的第一值成一个或多个其它值,判定当该参数具有该一个或多个其它值时使变量不稳定的事件的数量,并判定该事件的数量是否超出限度,来调谐参数。该方法包括在判定事件的数量超出了限度时反转调谐参数的方向,并在判定的事件的数量不超过限度时终止参数的进一步调谐。该方法由处理器执行。。
在一种实施方式中,局部最小值不同于绝对最小值。
上述实施方式的一些优点包括发现对应于变量的局部最小值的调谐参数并用调谐参数控制工艺。当变量是稳定的和/或变量的值不超过阈值时实现局部最小值。例如,等离子体室的电极以对应于与等离子体室内的等离子体相关联的伽马的稳定性的频率和/或功率操作。作为另一例子,等离子体室的电极是以对应于不超过阈值的伽马的频率和/或功率操作。作为又一个例子,阻抗匹配电路以某频率操作,所述阻抗匹配电路内的电容器的电容被改变,和/或阻抗匹配电路内的电感器的电感被改变以实现小于阈值的反射功率的值。减少超过阈值的可能性的变量的稳定性和/或变量的控制有助于在等离子体室内的衬底上执行受控的工艺,例如,蚀刻、沉积、清洗等。该工艺是通过保持对应于变量是稳定的和/或不超过阈值的参数来控制的。
此外,上述实施方式的优点包括用比发现变量的绝对最小值所用的时间更少的时间来发现局部最小值。发现绝对最小值比发现局部最小值花费更多的时间。例如,发现局部最小值后,参数将朝路径以下更远地改变以实现绝对最小值。该改变比发现局部最小值所用的时间更多。
此外,上述实施方式的优点包括通过使用在学习例程期间习得的参数的值以调整调谐参数,来实现与等离子体相关联的变量的更好的稳定性和/或不超过阈值的变量的更好的机会。在衬底上进行的工艺期间实现调谐参数。例如,调谐参数被调谐,以实现变量的稳定性和/或减少参数超过阈值的可能性。在本例子中,调谐参数被进一步调谐,以实现该参数的习得值。习得值是值,该值有助于实现比用所述调谐参数的工艺期间实现的稳定性水平较高的稳定性水平和/或在减少参数超过阈值的几率方面比使用调谐参数做的更好。
上述实施方式中的优点包括在判定是否改变调谐参数的方向过程中,减少等离子体内的事件的不超过限度的数量。例如,当在调谐过程中事件的数量超过了限度时,改变在衬底的处理期间参数被调谐的方向,当在调谐过程中事件的数量不超过限度时,则不改变。如果事件的数目不超过限度,则事件的发生是与等离子体相关联的变量的不稳定性方面的偏差,并在判定所述方向是否被反转时不考虑该偏差。另一方面,如果事件的数量超过了限度,则事件的发生显示在该变量中的不稳定性且方向反转。
结合附图从下面的详细描述其它方面将变得显而易见。
附图说明
这些实施方式可以通过结合附图参照以下描述来充分地理解。
图1是示出根据本公开中描述的实施方式的用于调谐与等离子体阻抗相关联的参数的各种方法的曲线图。
图2是根据本公开中描述的实施方式的用于判定调谐参数的方法的流程图。
图3是根据本公开中描述的实施方式的用于使用学习例程以判定调谐参数的方法的流程图。
图4是根据本公开中所描述的实施方式的用于判定是否改变调谐参数的方向的方法的流程图。
图5是根据本公开中描述的实施方式的用于调谐参数的系统的框图。
图6是示出了根据本公开中描述的实施方式的当传递到等离子体室的功率变化时反射系数变化的曲线图。
图7示出根据本公开中描述的实施方式的说明变量中的不稳定性是参数的值的变化的函数的曲线图。
图8是根据本公开中描述的一个实施方式的说明变量中的不稳定性可以用减少射频(RF)信号的频率进行控制的曲线图。
图9根据本公开中描述的实施方式示出了说明当RF信号的功率和/或频率被调谐时伽马可以被控制的曲线图。
图10根据本公开中描述的实施方式示出了说明当RF信号的功率和/或频率被调谐时伽马可以被控制的曲线图。
图11根据本公开中描述的实施方式示出了说明当RF信号的功率和/或频率被调谐时伽马可以被控制的曲线图。
图12根据在本公开中描述的一种实施方式示出了说明用来实现局部最小值的输送功率可重复地控制的曲线图。
图13是根据本公开所述的实施方式的图5的系统的主机系统的方框图。
具体实施方式
下面的实施方式描述了用于调谐与等离子体阻抗相关联的参数的系统和方法。显而易见的是,这些实施方式可以在没有这些具体细节中的一些或全部的情况下实践。在其它情况下,众所周知的工艺操作没有详细描述,以便不会不必要地使这些实施方式不清楚。
图1是示出用于调谐与等离子体阻抗相关联的参数的各种方法的曲线图170的实施方式的示图。曲线图170描绘了参数110与时间的关系,时间的测量单位为,例如,秒、分钟等。参数110的示例包括频率、电容、电感、功率、或它们的组合。频率的例子包括由射频(RF)发生器产生的RF信号的频率。RF信号被提供给阻抗匹配电路的电元件,例如,电容器、电感器等。在一些实施方式中,反射和前向功率在RF发生器的输出处测量。
曲线图170还描绘了变量106与时间的关系。变量106的例子包括伽玛、反射功率、复电压反射系数Γ、输送功率(delivered power)、前向功率、电压驻波比(VSWR)、在阻抗匹配电路的输入处的源阻抗等。应当指出的是,复电压反射系数Γ有时在本文中称为反射系数。伽马的例子包括反射功率与前向功率之间的关系,例如,反射功率与前向功率的比率。输送功率的例子是前向功率和反射功率之间的差值。前向功率是从射频(RF)发生器产生并经由传输线路向等离子体室传输的功率。反射功率是从等离子体室向RF发生器反射的功率。
图2是用于判定调谐参数的方法129的实施方式的流程图。该方法129是通过等离子体系统的一个或多个处理器(例如,RF发生器的处理器,主机系统的处理器等)来执行的。处理器的示例包括专用集成电路(ASIC)、可编程逻辑器件(PLD)、微处理器、微控制器、中央处理单元(CPU)等。RF发生器是A兆赫(MHz)RF发生器。下面进一步描述A MHz RF发生器和主机系统。
参照图1的曲线图170来理解图2。在操作104中,信息被接收以判定变量106。信息是在传输线路测量的。信息的示例包括前向功率、反射功率、电压、电流或它们的组合。信息用被耦合到传输线路的传感器测量。
在参数110具有第一值124时测量该信息(图1)。例如,在A MHz射频发生器将具有P千瓦(kW)的功率值和/或F MHz的频率的射频信号经由传输线路提供到等离子体室的时间,传感器测量正向和反射功率,其中A、P和F中是大于零的实数。A MHz的例子包括2MHz、27MHz和60MHz。P的例子包括P1和P0,其在下文说明。此外,F的例子包括F1和F0,其也在下文说明。在一些实施方式中,A MHz与F MHz相同。作为测量信息的另一个例子,在A MHz射频发生器的处理器提供功率和/或频率值到A MHz射频发生器的驱动器和放大器系统(DAS)以允许DAS产生具有对应于该功率和频率值(例如与该功率和频率值相同、为该功率和频率值的放大的值等)的值的RF信号的同时,该传感器测量电压和电流或传输线路上的正向和反射功率。
应当注意的是,一个或多个传感器测量在A MHz射频发生器的输出端或在经由传输线路耦合到A MHz射频发生器的阻抗匹配电路的输入端的信息。例如,一个或多个传感器测量在A MHz射频发生器的输出端的前向功率和反射功率、在阻抗匹配电路的输入端的电压和电流、在A MHz射频发生器的输出端的电压和电流等。在阻抗匹配电路的输入端测得的电压和电流用于计算在所述阻抗匹配电路的输入端的源阻抗。计算通过等离子体系统的一个或多个处理器执行,这将在下文中描述。在A MHz射频发生器的输出端的正向和反射功率被用来计算输送功率。计算是通过等离子体系统的一个或多个处理器执行的。
变量106是从信息判定的。例如,计算在传输线路测得的电压和电流的比率。作为另一个例子,计算在传输线路测得的反射和前向功率的比率。作为又一个例子,计算前向功率和反射功率之间的差值以判定在A MHz射频发生器的输出处的输送功率。作为另一个例子,计算在该阻抗匹配电路的输入的源阻抗。作为另一例子,计算复电压反射系数Γ。
在操作112中,判定当该参数具有第一值124时,变量106是否是在局部最小值114,第一值124是在状态S1或状态S0的值。例如,判定当该参数具有第一值124时该变量是否超出阈值。作为另一个例子,判定当阻抗匹配电路内的可变电容器的电容具有第一值124时从所述信息判定的反射功率是否超过阈值。作为又一个示例,判定当阻抗匹配电路内的可变电感器的电感具有第一值124时从所述信息判定的反射功率是否超过阈值。作为另一个例子,判定当阻抗匹配电路的操作的频率具有第一值124时从信息判定的反射功率是否超过阈值。在一些实施方式中,阻抗匹配电路的操作的频率与由A MHz RF发生器供给到所述阻抗匹配电路的RF信号的频率是相同的。作为另一个例子,当阻抗匹配电路内的可变电容器的电容具有值时、当所述阻抗匹配电路内的电感器的电感具有值时、和/或判定当所述阻抗匹配电路的操作的频率具有值时,从所述信息判定的反射功率是否超过阈值时。应当注意,在这个例子中,电感、电容、和/或操作的频率对应于第一值124。举例而言,当实现频率值、电感、和/或电容时,实现第一值124。阈值的一个例子包括为0.5的伽马值。阈值的另一个例子包括为0.6的伽马值。阈值的又一例子包括Z1欧姆的源阻抗,其中Z1是大于零的实数。阈值的另一个例子包括在0瓦特范围内的反射功率。例如,0.2瓦、0.5瓦、1瓦、10瓦、20瓦、100瓦、200瓦等值的反射功率是在0瓦特范围内。下面进一步描述状态S1和S0。
作为判定变量106是否在局部最小值114的另一示例,当参数具有第一值124时判断变量106是否是稳定的。在这个例子中,判定变量106是否没有振荡以判定变量106是否是稳定的。举例而言,判定当参数具有第一值124时变量106是否在两个值之间振荡。作为另一说明,判定变量106是否在输送功率的第一值和输送功率的第二值之间振荡。在这个例子中,响应于变量106在这两个值之间振荡的判定,判定变量106是不稳定的。否则,一旦判定变量106没有在两个值之间振荡,就判定变量106是稳定的。作为另一个例子,判定当参数具有第一值时,变量106是否在最小值(例如,最小输送功率值、最小源阻抗值、最小反射功率值等)和最大值(例如,最大输送功率值、最大源阻抗值、最大反射功率值等)之间振荡,并且判定所述最小和最大值之间的范围是否超过预定范围。在这个例子中,一旦判定最小值和最大值之间的范围超过了预定的范围,就判定变量106是不稳定的。否则,一旦判定最小值和最大值之间的范围不超过预定范围,就判定变量106是稳定的。
一旦判定变量106超过阈值或变量106是不稳定的,就判定变量106不处于局部最小值114。在另一方面,一旦判定变量106没有超过阈值且变量106是稳定的,就判定变量106是在局部最小值114。
在一种实施方式中,一旦判定变量106超过阈值和变量106是不稳定的,就判定变量106不在局部最小值,而不是在替代方案中判定变量106超过阈值或变量106是不稳定的以判定该变量106不处于局部最小值114。
在一些实施方式中,一旦判定变量106不超过阈值或变量106是稳定的,就判定变量106在局部最小值,而不是判定变量106不超过阈值和变量106是稳定的两者以判定变量106处于局部最小值114。
应当指出,在一种实施方式中,该方法129是在等离子体室中的衬底的处理过程中执行的,该处理例如,蚀刻沉积在衬底上的层、在衬底上沉积层、清洁衬底等。例如,所述方法129在不使用习得值的情况下执行,在下面进一步说明习得值。在方法129的执行过程中,等离子体系统的一个或多个处理器不应用习得值。
在一种实施方式中,习得值是在学习例程期间通过等离子体系统的一个或多个处理器产生的。在学习例程期间,脉冲等离子体未在等离子体室中激发以处理衬底。在该实施方式中,学习例程是以与执行方法129的方式类似的方式执行的。在某些实施方式中,在学习例程期间,脉冲等离子体在等离子体室中激发而不处理衬底。在这些实施方式中,学习例程是以与执行方法129的方式类似的方式执行的。衬底的例子包括晶片。衬底的另一例子包括在其上制造电路(如包括晶体管、电阻器、电容器、逻辑门等的电路)的晶片。
还应当注意,在一种实施方式中,通过沿方向166穿过从值125经由第一值124到达第二值126的路径发现局部最小值114。例如,主机系统控制电动机系统以改变阻抗匹配电路的电容器的电容成值125,在下面进一步描述电动机系统。作为另一个例子,主机系统控制电动机系统或控制到阻抗匹配电路的电感器的电流的量以改变电感器的电感成值125。作为又一例子,主机系统判定提供具有值125的频率到A MHz射频发生器,A MHz射频发生器产生具有值125的RF信号,并提供所述RF信号到阻抗匹配电路来以值125操作所述阻抗匹配电路。作为又一例子,主机系统控制电动机系统来改变阻抗匹配电路的电容器的电容成某值、控制电动机系统或控制到阻抗匹配电路的电感器的电流的量来改变电感器的电感成某值、以及判定提供频率到A MHz射频发生器以在该频率值操作阻抗匹配电路。在这个例子中,值125包括电容值、电感值和/或频率值。举例而言,值125对应于电容值、频率值和/或电感值。为了进一步说明,当实现电容、电感和/或频率值时实现该值125。作为另一个例子,AMHz的RF发生器的处理器判定提供具有值125的参数110到A MHz射频发生器的DAS。A MHz射频发生器的DAS产生对应于值125的RF信号,并经由传输线路向等离子体室提供该RF信号。
当参数110具有值125时,等离子体系统的一个或多个传感器感测所述传输线路来测量信息。当参数110具有值125时,等离子体系统的一个或多个处理器判定变量106的一个或多个值。同样地,当参数110具有第一值124和第二值126时,等离子体系统的一个或多个处理器判定变量106的一个或多个值。
此外,等离子体系统的一个或多个处理器判定,变量106的一个或多个值在参数110具有值125时是否是稳定的和不超过阈值。而且,所述一个或多个处理器判定变量106的一个或多个值在参数110具有第一值124时是否是稳定的和不超过所述阈值,以及变量106的一个或多个值在参数110具有第二值126时是否是稳定的和不超过所述阈值。
一旦判定变量106的一个或多个值在参数110具有第一值124时是稳定的且不超过阈值、变量106的一个或多个值在参数110具有值125时是不稳定的或超过阈值的、以及变量106的一个或多个值在参数110具有第二值126时是不稳定的或超过阈值的,一个或多个处理器就判定:当参数具有第一值124时,变量106是在局部最小值114。
在一种实施方式中,通过沿方向166穿过从值125经由第一值124的路径并且在局部最小值114被发现时停止,从而发现局部最小值114。例如,一旦局部最小值114被发现,等离子体系统的一个或多个处理器不进一步沿方向166穿过该路径来判定变量106的一个或多个值在参数110具有第二值126时是否不稳定或超过阈值。相反,在这个例子中,一旦判定变量106的一个或多个值在参数110具有第一值124时是稳定的和不超过阈值,所述一个或多个处理器就判定局部最小值114被发现。
响应于当参数具有第一值124时判定变量106是在局部最小值114,在操作118中,主机系统的一个或多个处理器继续调谐阻抗匹配电路和/或A MHz射频发生器,以保持该参数在第一值124。例如,主机系统的处理器指令A MHz射频发生器的数字信号处理器(DSP),以继续提供具有第一值124的参数110给A MHz射频发生器的DAS并且A MHz射频发生器的DAS继续产生具有有第一值124的参数的RF信号。作为另一个例子,主机系统继续提供控制信号到电动机系统以保持电容器的电容器板在阻抗匹配电路内的某距离处,以保持所述第一值124的电容。作为另一例子,主机系统继续提供控制信号到电动机系统或继续提供电流给在阻抗匹配电路内的电感器,以维持电感器的第一值124的电感。作为又一例子,主机系统控制所述阻抗匹配电路来维持阻抗匹配电路的操作在某频率值,该频率值是第一值124的例子。作为又一例子,主机系统继续提供控制信号到电动机系统,以保持电容器的电容器板在阻抗匹配电路内的某距离处,以维持电容,继续提供控制信号给电动机系统或继续提供电流给阻抗匹配内的电感器,以维持电感器的电感,和/或控制所述阻抗匹配电路来维持阻抗匹配电路的操作在某频率值。应当指出的是在这个例子中,第一值124对应于电容、电感和/或频率值。在操作118后重复操作104。
在另一方面,一旦判定在该参数具有第一值124时变量106不处于局部最小值114,则在操作122中,将第一值124改变为另一值,例如,第二值126。举例来说,接续第一值124的提供,将其它的值通过A MHz射频发生器的处理器提供给A MHz射频发生器的DAS。举例而言,提供第一值124之后,没有第一值124与第二值126之间的值通过A MHz射频发生器的处理器提供到A MHz射频发生器的DAS。作为又一例子,第二值126是由主机系统向电动机系统提供以实现第二值126的阻抗匹配电路内的电容或电感。作为另一个例子,第二值126是由主机系统提供给A MHz射频发生器来实现阻抗匹配电路的第二值126的操作的频率。作为又一个例子,电容通过主机系统被提供给电动机系统,电感通过主机系统被提供给电动机系统和/或阻抗匹配电路是由主机系统控制,以在某频率下操作以实现第二值126。作为又一个例子,电容通过主机系统提供给电动机系统,电流被提供到所述阻抗匹配电路的电感器和/或阻抗匹配电路通过主机系统控制以在某频率下操作从而实现第二值126。
在操作122后,相对于所述第二值126而不是相对于所述第一值124重复方法129。例如,当参数110具有第二值126时,A MHz射频发生器的DAS产生具有对应于第二值126的值的RF信号并且将该RF信号经由传输线路提供到等离子体室。作为另一个例子,当从等离子体系统的一个或多个处理器接收到阻抗匹配电路的电容器的电容是第二值126的指示时,电动机系统移动阻抗匹配电路的电容器的板来实现第二值126的电容量。作为又一例子,当从等离子体系统的一个或多个处理器接收到阻抗匹配电路的电感器的电感是第二值126的指示时,电动机系统改变(例如,增加、减少等)插入阻抗匹配电路的电感中的铁芯的量来实现第二值126的电感。作为另一个例子,当从等离子体系统的一个或多个处理器接收到阻抗匹配电路的电感器的电感是第二值126的指示时,主机系统改变供给到阻抗匹配电路的电流的量来实现第二值126的电感。作为又一个例子,当从等离子体系统的一个或多个处理器接收到阻抗匹配电路的操作的频率是第二值126的指示时,阻抗匹配电路以第二值126的频率经由A MHz射频发生器操作。应该指出的是,作为另一个例子,阻抗匹配电路内的电容器的电容、阻抗匹配电路内的电感器的电感、和阻抗匹配电路的操作的频率的组合被应用以实现第二值126。例如,电动机系统操作阻抗匹配电路的电容器的板和阻抗匹配电路的电感器的电感受控制以实现第二值126,在这个例子中,第二值126包括电容器的电容和电感器的电感。当参数110被改变到第二值126和第二值126被施加到等离子体系统时,信息被接收以判定变量106的一个或多个值。
当参数110具有第二值126时,在A MHz射频发生器的传感器测量在传输线路的信息。变量106中的一个或多个值基于参数110具有第二值126时测得的信息,通过等离子体系统的一个或多个处理器判定。此外,在操作112中,判定变量106的一个或多个值在参数110具有第二值126时是否在局部最小值。
应当指出的是,在各种实施方式中,在参数110的在沿方向166穿过时的通过等离子体系统的一个或多个处理器连续提供到A MHz射频发生器的DSP和/或电动机系统的任何两个值(例如,频率值,等)之间的差是最小的。例如,值125和第一值124之间的差在千赫(kHz)的范围内。作为另一个例子,值125和第一值124之间的差在10和20千赫之间。
此外,在一些实施方式中,参数110的沿方向166穿过时的通过等离子体系统的一个或多个处理器连续地提供给A MHz射频发生器的DSP和/或电动机系统的任何两个数值之间的差是相同的。例如,第一值124和值125之间的差与第二值126和第一值124之间的差是相同的。
应当指出的是,在一种实施方式中,局部最小值不同于绝对的最小值116。例如,一旦局部最小值114被判定,则等离子体系统的一个或多个处理器不需要搜索绝对最小值116。如果在局部最小值114被判定之后一个或多个处理器继续沿方向166调谐参数110,则绝对最小值116被发现。当参数110具有值127时,实现绝对最小值116。绝对最小值116的例子包括零瓦特的反射功率。绝对最小值116的另一个例子包括在50欧姆的阻抗匹配电路的输入处的源阻抗。在一些实施方式中,在阻抗匹配电路的输入处的源阻抗包括A MHz射频发生器和传输线路的从阻抗匹配电路的输入判定的阻抗。
在一种实施方式中,当参数110具有值127时的变量106振荡的范围小于当参数110具有第一值124时的变量106振荡的范围。在一些实施方式中,当参数具有值127时的变量106的最大的值max(0)小于当参数具有第一值124时的最大值max(-3)。在这些实施方式中,最大值max(0)和max(-3)两者均不超过阈值。
还应当注意的是如图1所示min和max是变量106的最小值和最大值。例如,当参数110具有值125时,值min(-4)和max(-4)是变量106的值。作为另一个例子,当参数110具有第一值124时,值min(-3)和max(-3)是变量106的值,当参数110具有第二值126时,值min(-2)和max(-2)是可变106的值,以及当参数110具有值160时,值min(-1)和max(-1)是变量106的值,和当参数110具有值127时,值min(0)和max(0)是变量106的值。
在一种实施方式中,局部最小值114是绝对最小值。
图3是使用学习例程以判定调谐参数的方法136的实施方式的流程图。方法136通过等离子体系统的一个或多个处理器执行。
方法136包括参照图1和图2上面描述的操作104、112和122。此外,参照图1和图3,一旦在参数具有第一值124时判定变量106是在局部最小值114,则在操作138中,判定第一值124是否在习得值的限制内,该习得值例如,值160(图1)。例如,判定习得值和第一值124之间的差是否小于例如,10千赫、20千赫、1千瓦(kW)、10千瓦、1瓦、10瓦、1欧姆、5欧姆、2欧姆等极限(limit)。在一种实施方式中,该极限是在千赫的范围内。
该习得值是在学习例程期间通过A MHz的RF发生器的处理器习得的值。例如,在学习例程期间,等离子体系统的一个或多个处理器沿方向166穿过路径并在参数110具有值160时一个或多个处理器判定变量106是在局部最小值,而不是在参数110具有第一值124时判定变量106是在局部最小值114。
一旦判定第一值124在习得值的极限之外,则在操作122中,将第一值变成另一值。另一方面,一旦判定第一值124在习得值的极限之内,在操作140中,习得值被提供以调谐阻抗匹配电路或A MHz射频发生器。例如,等离子体系统的一个或多个处理器提供习得值给AMHz射频发生器的DSP。A MHz射频发生器的DSP从等离子体系统的一个或多个处理器接收习得值,并提供习得值给A MHz射频发生器的DAS。A MHz射频发生器产生具有对应于所述习得值的值的RF信号,并经由传输线路提供所述RF信号给等离子体室。作为另一个例子,电动机系统接收来自等离子体系统的一个或多个处理器的习得值(例如,电容值)并控制阻抗匹配电路内电容器以实现习得值。作为又一例子,电动机系统接收来自等离子体系统的一个或多个处理器的习得值(例如,电感值)并控制所述阻抗匹配电路内的电感器以实现习得值。作为另一个例子,电动机系统接收来自等离子体系统的一个或多个处理器的习得值并控制电容器和电感器来实现习得值,所述习得值包括阻抗匹配电路内的电容器的电容值和阻抗匹配电路内的电感器的电感值。作为另一个例子,阻抗匹配电路在接收到在从A MHz射频发生器接收的RF信号内的习得值时,以习得值(例如,频率)操作,该A MHz射频发生器从等离子体系统的一个或多个处理器接收该习得值。作为又一例子中,阻抗匹配电路以某频率操作,所述阻抗匹配电路的电容器的电容受控制,和/或阻抗匹配电路的电感器的电感受控制以获得习得值。
在操作140后重复方法136。例如,操作104、112、122和138相对于所述习得值进行,而不是相对于第一值124进行。举例而言,当参数110具有习得值时,A MHz射频发生器的DAS产生具有对应于习得值的值的RF信号并经传输线路提供该RF信号给等离子体室。当具有对应于习得值的值的RF信号被提供给等离子体室时,所述信息被接收,以判定变量106的一个或多个值。在参数110具有习得值时,A MHz射频发生器的传感器测量在传输线路的信息。变量106中的一个或多个值是基于当参数110具有习得值时测得的信息通过A MHz射频发生器的处理器判定的。此外,在操作112中,判定变量106的一个或多个值在参数110具有习得值时是否在局部最小值。另外,在操作122中,一旦判定在参数110具有习得值时变量106的一个或多个值不是在局部最小值,就将习得值改变为另一值。作为另一个例子,当电动机控制系统控制阻抗匹配电路的电容器来获得习得值时,等离子体系统的一个或多个传感器感测在传输线路上的前向功率和反射功率。一个或多个传感器将前向和反射功率经由A MHz射频发生器的DSP提供到主机系统。主机系统从正向和反射功率值判定反射功率,并判定该反射功率值是否在局部最小值。
应当指出的是,在一种实施方式中,方法136是在衬底处理过程中执行的。
图4是用于判定是否改变调谐参数110的方向的方法150的实施方式的流程图。方法150是通过等离子体系统的一个或多个处理器执行的,并且参照图1来描述。
执行操作104。参照图1和图4,在操作154中,当参数110具有第一值124时,判定变量106的局部最小值114。此外,在操作156中,参数110通过沿方向166改变第一值124成一个或多个其它值(例如第二值126、值160等)来调谐。
在操作158中,当参数110被调谐到一个或多个其它值时,判定使变量106不稳定的事件的量。例如,判定当参数110具有第二值126时变量106是否是不稳定的,以及当参数110具有值160时变量106是否是不稳定的。在这个例子中,一旦判定当参数110具有值160时变量106是不稳定的,并且当参数110具有第二值126时变量106是不稳定的,就判定两个事件发生,在参数110的值126与160中的每个值发生一个事件。此外,在这个例子中,一旦判定变量106在参数110具有值160时是不稳定的以及变量106在参数110具有第二值126时是稳定的,则判定在值160发生一个事件。
在一种实施方式中,判定在参数具有判定事件的数量的值时变量106是否超过阈值,而不是判定在参数110具有判定事件的数量的值时变量106是否是不稳定的。例如,判定当参数110具有第二值126时变量106是否超过阈值,和参数110具有值160时变量106是否超过阈值。在这个例子中,一旦判定变量106参数110具有值160时超过阈值并且变量106在参数110具有第二值126时超过阈值,就判定产生了两个事件,在参数110的值126和160中的每个产生一个事件。此外,在该例子中,一旦判定变量106在参数110具有值160时超过阈值并且变量106在参数110具有第二值126时没有超过阈值,就判定在值160已经发生一个事件。
在一些实施方式中,做出变量106在参数110具有某值时是否是不稳定的判定以及变量106在该参数具有该值时是否超过阈值的判定两者来判定事件的数量。例如,判定:变量106在参数110具有第二值126时是否是不稳定的,变量106在参数110具有值160时是否是不稳定的,变量106在参数110具有第二值126时是否超过阈值,以及变量106在参数110具有值160时是否超过阈值。一旦判定变量106在参数110具有值160时是不稳定的,变量106在参数110具有第二值126时是不稳定的,变量106在参数110具有值160时超过阈值,以及变量106在参数110具有第二值126时超过阈值,就判定两个事件已经发生,在参数110的值126和160中的每个发生一个事件。此外,在该例子中,一旦判定变量106在参数110具有值160时是不稳定的且变量106在参数110具有值160时超过阈值,以及变量106在参数110具有第二值126时是稳定的且变量106在参数110具有第二值126时没有超过阈值,就判定在参数具有值160时发生一个事件。
在操作159中,判定事件的数量是否超过限度。例如,判定事件的数量是否超过2、3、4、6,或另一正整数。响应于判定事件的数目不超过该限度,在操作164中,参数110的进一步调谐被中断。例如,在操作158中实现的一个或多个其它值没有进一步改变成参数110的一个或多个其他值以停止参数110的进一步调谐。
在操作165中,在操作158中实现的其它值中的一个被应用到等离子体系统。例如,在操作158中实现的其它值中的一个被提供给A MHz射频发生器的DSP。作为另一例子,在操作158中实现的其它值中的一个被提供给电动机系统。作为又一例子,在操作158中实现的其它值中的一个被提供给阻抗匹配电路。参数110的在操作165中提供的值是使该事件的数目不超过限度的值。在一实施方式中,在操作165中提供的值是使该事件的数量超过限度的值。在一些实施方式中,在操作165的过程中,在操作165中提供让局部最小值114实现的第一值124,而不是提供在操作158所实现的其他值中的一个。
在接收到在操作165中提供的参数110的值时,等离子体系统应用该值。例如,DAS产生具有该值的RF信号并经由传输线路向等离子体室提供该RF信号。作为另一个例子,电动机系统移动阻抗匹配电路内的电容器的板以获得作为操作165的值的例子的电容。作为又一例子,电动机系统改变延伸通过阻抗匹配电路内的电感器的铁芯的长度来获得作为操作165的值的例子的电感。作为另一个例子,主机系统改变流过阻抗匹配电路内的电感器的电流来获得作为操作165的值的例子的电感。作为另一个例子,主机系统控制所述阻抗匹配电路以便以作为操作165的值的例子的频率操作。作为另一个例子,电动机系统移动阻抗匹配电路内的电容器的板来获得电容,控制阻抗匹配电路的电感器以获得电感,和/或主机系统经由A MHz射频发生器来控制阻抗匹配电路以在某频率下操作。应当指出的是,在该例子中,电感、电容和频率的组合是操作165的值的例子。在操作165之后,重复方法150。
一旦判定事件的数量超出了限度,则在操作162中,使路径(参数110沿着该路径调谐)穿过的方向166与方向168相反。在一种实施方式中,当穿过是沿方向168时,方向的反转被执行以实现方向166。一旦方向166被反转,则重复方法150以沿着方向168调谐参数110。
应当指出的是,参数110的值沿着方向166减小,直到达到值127并且之后沿着方向166增大。例如,值125、124、126、160和127是从高到低降序排列。同样,参数110的值沿方向168减小,直到达到值127,并从值127增大到值125。
在一种实施方式中,沿方向166,参数110的值先增大后减小,并沿方向168,参数110的值先增大后减小。
应当指出的是,在一种实施方式中,方法150是在衬底处理过程中执行的。
应当指出的是,虽然方法129、136和150是相对于方向166描述的,但在一个实施方式中,方法129、136和150可以沿方向168执行,方向168沿该路径与方向166相反。
应当注意的是,每一种方法129、136和150是由一个或多个处理器(例如,主机系统的处理器)、由A MHz射频发生器的DSP、或由主机系统190的处理器和DSP的组合执行的。虽然方法129、136和150的操作以特定的顺序进行了描述,但应当理解,其他内务操作可以在这些操作之间执行或这些操作可以被调整以使它们在略微不同的时间发生,或者可以分布在允许处理操作在与处理相关联的各种间隔发生的系统中,只要是以所希望的方式进行叠加操作的处理即可。
图5是用于调谐参数110的等离子体系统172的实施方式的框图。等离子体室109包括下电极196,上电极194,以及其它部件(未示出),例如,围绕上电极194的上电介质环、围绕上电介质环的上电极延伸部、围绕下电极196的下电介质环、围绕下电介质环的下电极延伸部、上部等离子体排除区域(PEZ)环、下部PEZ环等。上电极194位于下电极196的相对侧并面向下电极196。衬底130,例如,半导体晶片,具有电子部件的半导体晶片等,被支承在下电极196的上表面197上。下电极196是由金属制成的,例如,阳极化铝、铝合金等。此外,上电极194是由金属制成的,该金属例如,铝、铝合金等。
在衬底132上开发集成电路,例如,专用集成电路(ASIC)、可编程逻辑器件(PLD)等,并且集成电路在各种电子设备中使用,电子设备例如,蜂窝电话、平板电脑、智能电话、计算机、膝上型计算机、网络设备等。集成电路是由电气元件组成的,电气元件例如,晶体管、电阻器、电容器、逻辑门电路、存储设备、处理器,等等。
在一种实施方式中,上电极194包括被耦合到中央气体进给装置(未示出)的孔。中央气体进给装置接收来自气体供给源的一种或多种处理气体(未示出)。工艺气体的例子包括含氧气体,诸如O2。工艺气体的其它例子包括含氟气体,例如四氟化碳(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等。上电极194是接地的。下电极196经由阻抗匹配电路192被耦合到MHz的RF发生器174,例如,A MHz射频发生器。
当工艺气体被供给到上电极194和下电极196之间且当RF发生器174的DAS186产生功率并经由阻抗匹配电路192供给该功率到下电极196时,该工艺气体被点燃以产生等离子体室109内的脉冲等离子体102。例如,DAS186产生功率并经由阻抗匹配电路192供给该功率,以点燃该工艺气体,从而产生等离子体102。如下所述,当供应到下电极196的RF信号在两种状态S1和S0之间变化时,等离子体102被施加脉冲。
阻抗匹配电路包括电气元件,例如,电路部件,电感器,电容器等,以使耦合到阻抗匹配电路的功率源的阻抗与耦合到阻抗匹配电路的负载的阻抗匹配。例如,阻抗匹配电路192使RF发生器174和/或传输线路108的一个或多个部分(例如,在DAS186等)的阻抗与等离子体室109的一个或多个部分(例如,等离子体102、部件、等)的阻抗匹配。RF发生器174、传输线路108、或者它们的组合是源的例子且等离子体室109是负载的例子。源和负载之间的阻抗匹配减少功率从负载朝向源反射的机会。
主机系统190耦合到数字信号处理器(DSP)180。主机系统190产生具有两个或更多的状态的数字脉冲信号185并将其提供到DSP180。主机系统190的示例包括处理器、计算机、控制器,等等。在一种实施方式中,数字脉冲信号185是晶体管-晶体管逻辑(TTL)信号。状态的例子包括接通状态和截止状态,具有1的数字值的状态和具有0的数字值的状态,高状态和低的状态等。
在另一实施方式中,时钟振荡器,例如,晶体振荡器,而不是主机系统190,用于产生模拟的时钟信号,模拟的时钟信号是通过模拟-数字转换器转换成类似于数字脉冲信号185的数字信号。
数字脉冲信号185被发送到DSP180。DSP180接收数字脉冲信号185,并确定数字脉冲信号185的状态。例如DSP180判定在第一组时间段期间数字脉冲信号185具有第一量值,例如,1的值、高状态量值等,且DSP180判定在第二组时间段期间数字脉冲信号185具有第二量值,例如,0的值、低状态量值等。该DSP180判定在第一组时间段期间数字脉冲信号185具有状态S1,而在第二组时间段期间具有状态S0。状态S0的例子包括低状态、具有值0的状态、和截止状态。状态S1的例子包括高状态、具有值1的状态、和接通状态。作为又一个例子,DSP180比较数字脉冲信号185的量值与预先存储的值,以判定在第一组时间段周期数字脉冲信号185的量值大于预先存储的值,以及在所述第二组时间段期间数字脉冲信号185的量值不大于预先存储的值。在使用时钟振荡器的实施方式中,DSP180从时钟振荡器接收模拟时钟信号,将模拟信号转换成数字形式,然后确定两个状态S0和S1。
在一些实施方式中,根据变量106确定等离子体阻抗的状态,而不是确定数字脉冲信号185的状态。例如,传感器188测量在传输线路108上的信息并将该信息提供给在DSP180。DSP180根据从传感器188接收到的信息计算变量106。如果变量106的值超过预先存储的值,则等离子体102的状态是S1,如果该变量106的值不超过预先存储的值,则等离子体102的状态是S0。
当状态被判定为S1时,DSP180提供功率值P1和/或频率值F1到参数控制器176,此外,当状态被确定为S0时,DSP180提供功率值P0和/或频率值F0到参数控制器178。用于调谐频率的参数控制器的例子包括自动频率调谐器(AFT)。
应当注意的是,参数控制器176、参数控制器178、以及DSP180是控制系统187的部分。例如,参数控制器176和参数控制器178是逻辑块,例如,调谐回路,其是通过DSP180执行的计算机程序的一部分。在一些实施方式中,所述计算机程序包含在非临时性计算机可读介质内,例如,存储设备内。存储装置的例子包括只读存储器(ROM)、随机存取存储器(RAM)、或它们的组合。举例而言,存储设备包括硬盘、闪存、独立磁盘冗余阵列,等等。
在一种实施方式中,控制器,例如,硬件控制器、ASIC、PLD,等等,用来代替参数控制器。例如,控制器用于代替参数控制器176和另一控制器用于代替参数控制器178。
在接收到功率值P1和/或频率值F1时,参数控制176提供功率值P1和/或频率值F1到DAS186的驱动器182。驱动器的例子包括功率驱动器、电流驱动器、电压驱动器、晶体管等。驱动器182产生具有功率值P1和/或频率值F1的RF信号并提供所述RF信号到DAS186的放大器184。
在一种实施方式中,驱动器182产生具有是功率值P1的函数的驱动功率值的RF信号,和/或具有是频率值F1的函数的驱动频率值。例如,驱动功率值在若干(例如1到5)瓦特的功率值P1内且驱动频率值在若干(例如例如1到5)赫兹的频率值F1内。
放大器184放大具有功率值P1和/或频率值F1的RF信号,并产生对应于从驱动器182接收到的该RF信号的RF信号120。例如,RF信号120具有比功率值P1的量更高的功率的量。作为另一例子,RF信号120具有与功率值P1的量相同的功率的量。RF信号120经由传输线路108和匹配电路192传输到下电极196,以控制等离子体102的阻抗。
当功率值P1和/或频率值F1通过参数控制176提供给DAS186并产生RF信号120时,传感器188感测在传输线路108上的信息。传感器188发送信息给控制系统187,控制系统187将信息从模拟形式转换成数字形式。控制系统187在状态S1期间接收来自传感器188的信息,并提供该信息到主机系统190。在状态S1期间主机系统190接收来自传感器188的信息并执行方法129(图2)、方法136(图3)、和/或方法150(图4)。例如,在状态S1期间接收测得的信息时,主机系统190的处理器执行变量稳定历程181(VSR),例如,方法129、方法136、方法150,等等。
类似地,在接收到功率值P0和/或频率值F0时,参数控制器178提供功率值P0和/或频率值F0到驱动器182。驱动器182创建具有功率值P0和/或频率值F0的RF信号并提供该RF信号给放大器184。
在一种实施方式中,驱动器182产生具有是功率值P0的函数的驱动功率值的和/或具有是频率值F0的函数的驱动频率值的RF信号。例如,驱动功率值在若干(例如1到5)瓦特的功率值P0内且驱动频率值在若干(例如1到5)赫兹的频率值F0内。
放大器184放大具有功率值P0和/或频率值F0的RF信号,并产生对应于从驱动器182接收到的该RF信号的RF信号121。例如,RF信号121具有比功率值P0的量更高的功率量。作为另一例子,RF信号121具有与功率值P0的量相同的功率量。RF信号121经由传输线路108和匹配电路192传输到下电极196,以控制等离子体102的阻抗。
当功率值P0和/或频率值F0通过参数控制器178提供给DAS186且RF信号121产生时,传感器188感测在传输线路108上的信息。传感器188发送信息到控制系统187,控制系统187将信息从模拟形式转换成数字形式。在状态S0期间控制系统187接收来自传感器188的信息并执行方法129(图2)、方法136(图3)或方法150(图4)。应当指出的是,在作为数字脉冲信号185的状态或等离子体102的状态的状态期间执行方法129、136和150。例如,当在状态S0期间接收测得的信息时主机系统190的处理器执行VSR181。
应当注意的是,在一种实施方式中,值P1、P0、F1和F0是响应于方法129、136或150的执行来判定的。
主机系统190提供参数110的值(图1)给电动机系统191,电动机系统191包括一个或多个电动电动机,其将电能转换为机械能。电动机系统191操作(例如,移动等)阻抗匹配电路192内的电气部件,以实现参数110的值。例如,电动机系统191移动电容器的板以实现电容器的电容。作为另一个例子,电动机系统191移动阻抗匹配电路192的电感器内的铁芯以实现电感器的电感。在一些实施方式中,不是使用电动机系统191来改变阻抗匹配电路的电感器的电感,而是使用主机系统190来改变供给到所述阻抗匹配电路192的电感器的电流的量来实现电感器的电感。主机系统190经由RF发生器174控制阻抗匹配电路192以便以作为参数110的例子的频率操作,例如,射频频率。
应当注意的是,虽然参照A MHz射频发生器描述了方法129、136和150,但在各种实施方式中,方法129、136和150适用于任何数量(例如,两个或三个)的射频发生器。例如,等离子体系统可包括2MHz射频发生器、27MHz射频发生器和60MHz射频发生器。在这个例子中,在一些实施方案中,可以使用其它的频率(例如,3MHz、30MHz和65MHz)的射频发生器。例如,等离子体系统可包括3MHz射频发生器、30MHz射频发生器和65MHz射频发生器。此外,数量2、27和60不限于2、27和60。例如,2MHz射频发生器产生具有范围从1.8兆赫到2.2兆赫的频率的RF信号。
还应当指出,虽然传感器188被耦合到RF发生器174的输出189,但在一些实施方式中,传感器(例如,传感器188、另一传感器等)可被耦合到阻抗匹配电路192的输入193。耦合到输入193的传感器测量在输入193处的电压和电流且该电压和电流用来判定在输入193的源阻抗。耦合到输入193的另一传感器提供所测得的信息给控制系统187,控制系统187将信息发送到主机系统190用于方法129、136或150的执行。
图6是示出当输送功率201变化时反射系数207变化的曲线图200的实施方式。曲线图200描绘了y轴上的输送功率201与x-轴上的时间的关系。曲线图200还描绘了y轴上的反射系数207与x-轴上的时间的关系。如图所示,反射系数207可以通过改变输送功率201来控制。
图7是图202和204的说明变量106的不稳定是参数110的值的变化的函数并且变量106可通过调谐参数110来控制的实施方式的曲线图。曲线图202描绘了在y轴上的RF信号的频率与在x-轴上的状态(如状态S0)的时间的关系,且曲线图204上描绘了在y轴上的RF信号的功率在x-轴上的状态的时间的关系。如图202所示,频率达到值,例如59.45MHz。当频率在曲线图202中所示的值时,在变量106中出现不稳定性。在图中的204中的前向功率203和反射功率205也显示不稳定性。如图所示,该频率可以控制,以控制变量106的稳定性。
图8是曲线图206的说明变量106的不稳定性随着RF信号的频率增加而增加的实施方式。曲线图206描绘了对于RF信号的各种调谐频率的在y轴上的供给到等离子体102(图5)的RF信号的前向功率与x轴上的时间的关系。随着调谐频率从60.2MHz提高到60.8MHz,变量106的不稳定性增大。通过降低频率来减小变量106的不稳定性。变量106的不稳定性通过前向功率的不稳定显示。
图9-11是曲线图208、210、212、214、224、226、228、230、232、234、236和238的实施方式,其说明当RF信号的功率和/或频率被调谐时伽马可被控制。每个曲线图208、224和232描绘y轴上的伽马的虚部与x轴上的伽马的实部的关系。此外,每个曲线图210、226和234描绘在状态S1和S0期间y轴上的RF信号的输送功率与x轴上的时间的关系。应当注意的是,在一种实施方式中,在本文术语状态S1和状态1可互换使用并且术语状态S0和状态0可互换使用。
每个曲线图212、228和236描绘了y轴上的RF信号的频率与x轴上的时间的关系。另外,各曲线图214、230和238描绘了y轴上的实际功率设置点和RF驱动功率与x轴上的时间的关系。如曲线图208、224和232所示,伽马的变化按曲线图的顺序增加。例如,曲线图208中绘制的伽马的变化小于在曲线图224中绘制的伽玛的变化,曲线图224中绘制的伽马的变化小于在曲线图232中绘制的伽玛的变化。
在曲线图208绘制的伽玛通过在每个状态1和状态0期间使用输送功率控制。输送功率显示在曲线图210中。此外,在曲线图208中绘制的伽马通过在每个状态1和状态0期间使用调谐频率来控制。调谐频率被示于曲线图212中。
类似地,在曲线图224中绘制的伽马通过在每个状态1和状态0期间使用输送功率来控制。输送功率显示在曲线图226中。此外,在曲线图224中绘制的伽马通过在每个状态1和状态0期间使用调谐频率来控制。调谐频率显示在曲线图228中。
此外,在曲线图232中绘制的伽马通过在每个状态1和状态0期间使用输送功率来控制。输送功率显示在曲线图234中。另外,在曲线图232中绘制的伽马通过在每个状态1和状态0期间使用调谐频率来控制。调谐频率显示在图236中。
图12示出了说明可重复控制使局部最小值实现的输送功率的曲线图240和242的实施方式。重复性使得能对在衬底130上进行的工艺进行控制(图5)。曲线图240描绘了输送功率信号SG1、SG2和SG3和时间的关系,而曲线图242也描绘了输送功率信号SG4、SG5和SG6与时间的关系。
图13是主机系统190(图5)的一种实施方式的框图。主机系统190包括输入硬件单元(HU)250、输出HU252、输入/输出(I/O)接口254、I/O接口256、网络接口控制器(NIC)258、总线260、处理器262、和存储HU264。处理器262、存储HU264、输入HU250、输出HU252、I/O接口254、I/O接口256、以及NIC258经由总线260彼此耦合。输入HU250的例子包括鼠标、键盘、触笔等。输出HU252的例子包括显示器、扬声器、或它们的组合。显示器可以是液晶显示器、发光二极管显示器、阴极射线管、等离子体显示器等。NIC258的例子可以包括网络接口卡、网络适配器等。存储HU的例子包括存储器件、ROM、RAM或它们的组合。例如,存储HU可以是闪存驱动器、存储磁盘的冗余阵列、硬盘等
I/O接口的例子包括在多个硬件之间提供兼容性的接口,该多个硬件都耦合到该接口。例如,I/O接口254将从输入HU250接收的信号转换成与总线260兼容的形式、振幅、和/或速率。作为另一个例子,I/O接口256将从总线260接收的信号转换成与输出HU252兼容的形式、振幅、和/或速率。
应当注意的是,虽然上述实施方式涉及提供RF信号到下电极196以及使上电极194接地,但在一些实施方式中,RF信号被提供给上电极194,而下电极196接地。
还应当注意,虽然参照平行板等离子体室描述了上述实施方式,但在一种实施方式中,上述实施方式适用于其他类型的等离子体室,例如,包括电感耦合等离子体(ICP)反应器的等离子体室、包括电子回旋共振(ECR)反应器的等离子体室等。例如,A MHz射频发生器被耦合到ICP等离子体室中的电感器。
本文描述的实施方式可以用各种计算机系统配置来实施,所述配置包括手持式设备、微处理器系统、基于微处理器的或可编程的消费性电子产品、小型计算机、大型计算机等。该实施方式也可以在执行任务的分布式计算环境中由通过网络连接的远程处理设备来实施。
考虑上面的实施方式,但应理解,本实施方式可以采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些操作是那些需要物理量的物理操控的操纵。本文所述的形成这些实施方式中的一部分的任何操作的是有用的机器操作。这些实施方式还涉及用于执行这些操作的设备或装置。该装置可以被特别地构造用于专用计算机。当定义为专用计算机时,该计算机也可以执行不是专用的部分的其它处理、程序执行或例程,同时仍能够用于专用的操作。替代地,这些操作可以通过选择性地经由存储在计算机存储器、高速缓存、或在网络上获得的一个或多个计算机程序激活或配置的通用的计算机来处理。当在网络上得到数据时,该数据可通过网络上的其它计算机(例如,云计算资源)来处理。
一种或多种实施方式也可以被制造为在计算机可读介质上的计算机可读代码。计算机可读介质是可以存储数据的任何数据存储HU,该数据其后可以被计算机系统读取。计算机可读介质的例子包括硬盘驱动器、网络附加存储(NAS)、ROM、RAM、光盘只读存储器(CD-ROM)、可记录CD(CD-R),可重写CD(CD-RW)、磁带和其他光学和非光学数据存储设备。计算机可读介质可以包括分布在网络耦合计算机系统的计算机可读有形介质,使得计算机可读代码以分布方式被存储和执行。
应当注意的是,在不脱离本公开中描述的各种实施方式中所描述的范围的情况下,任何实施方式的一个或多个特征可与任何其它实施方式的一个或多个特征相结合。
虽然为便于清楚理解的目的,已相当详细地描述前述的实施方式,但显而易见,可在所附权利要求的范围内实施某些变化和修改。因此,这些实施方式应被视为说明性的而不是限制性的,并且这些实施方式并不限于本文所给出的细节,而是可以按所附权利要求的范围和等同方案进行修改。

Claims (24)

1.一种用于调谐与等离子体阻抗相关联的参数的方法,其特征在于,所述方法包括:
接收信息以判定变量,在传输线路测量所述信息,当所述参数具有第一值时测量所述信息,所述传输线路用于经由阻抗匹配电路提供功率到等离子体室;
判定在所述参数具有所述第一值时所述变量是否在局部最小值;
在判定所述变量在所述局部最小值时提供所述第一值以调谐所述阻抗匹配电路;
当判定所述变量不在所述局部最小值时改变所述参数的所述第一值成第二值;以及
判定在所述参数具有所述第二值时所述变量是否在局部最小值,
其中,所述方法通过处理器来执行。
2.根据权利要求1所述的方法,其中所述判定当所述参数具有所述第一值时所述变量是否在局部最小值包括:
判定当所述参数具有所述第一值时所述变量是否超过阈值;或
判定当所述参数具有所述第一值时所述变量是否是稳定的;或
它们的组合。
3.根据权利要求2所述的方法,其中,当所述变量没有振荡时所述变量是稳定的。
4.根据权利要求2所述的方法,其中,当所述变量在最小值和最大值之间振荡,且所述最小值和所述最大值之间的范围超过预定的范围时,所述变量是不稳定的。
5.根据权利要求1所述的方法,其中,在衬底处理过程中执行所述方法,其中,在不使用所述参数的习得值的情况下执行所述方法。
6.根据权利要求5所述的方法,其中,在电子装置内执行所述衬底。
7.根据权利要求1所述的方法,其中,所述参数包括频率、电容、电感、或它们的组合。
8.根据权利要求1所述的方法,其中,所述信息包括电压、电流、功率、或它们的组合,其中,所述变量包括伽玛、反射功率、复电压反射系数、等离子体阻抗、或它们的组合。
9.根据权利要求1所述的方法,其中,所述局部最小值不同于绝对最小值,其中,所述绝对最小值包括零瓦特的反射功率。
10.根据权利要求1所述的方法,其中所述处理器在主机系统内,所述主机系统耦合到射频(RF)发生器,所述射频发生器经由所述阻抗匹配电路耦合到所述等离子体室。
11.一种用于调谐与等离子体阻抗相关联的参数的方法,其特征在于,所述方法包括:
接收信息以判定变量,在传输线路测量所述信息,当所述参数具有第一值时测量所述信息,所述传输线路用于经由阻抗匹配电路提供功率到等离子体室;
判定在所述参数具有所述第一值时所述变量是否在局部最小值;
在判定所述变量在所述局部最小值时提供所述第一值以产生射频信号,所述射频信号对应于所述第一值,所述射频信号要被发送给所述等离子体室;
当判定所述变量不在所述局部最小值时改变所述参数的所述第一值成第二值;以及
判定在所述参数具有所述第二值时所述变量是否在局部最小值,
其中,所述方法通过处理器来执行。
12.根据权利要求11所述的方法,其中,所述判定当所述参数具有所述第一值时所述变量是否在局部最小值包括:
判定当所述参数具有所述第一值时所述变量是否超过阈值;或
判定当所述参数具有所述第一值时所述变量是否是稳定的;或
它们的组合。
13.根据权利要求11所述的方法,其中,所述信息包括电压、电流、功率、或它们的组合,其中,所述变量包括伽玛、反射功率、复电压反射系数、等离子体阻抗、或它们的组合。
14.一种用于调谐与等离子体阻抗相关联的参数的方法,其特征在于,所述方法包括:
接收信息以判定变量,在传输线路测量所述信息,当所述参数具有第一值时测量所述信息,所述传输线路用于经由阻抗匹配电路提供功率到等离子体室;
判定当所述参数具有所述第一值时所述变量是否在局部最小值;
在判定所述变量在所述局部最小值时判定所述参数的所述第一值在习得值的极限内,在学习例程期间判定所述习得值;
在判定所述参数的所述第一值在所述极限内时提供所述习得值以产生射频信号或以调谐所述阻抗匹配电路;以及
在判定所述参数具有在所述极限外的所述第一值时改变所述参数的所述第一值成第二值,
其中,所述方法通过处理器来执行。
15.根据权利要求14所述的方法,其中,所述判定当所述参数具有所述第一值时所述变量是否在局部最小值包括:
判定在所述参数具有所述第一值时所述变量是否超过阈值;以及
判定在所述参数具有所述第一值时所述变量是否是稳定的。
16.根据权利要求15所述的方法,其中,当所述变量在最小值和最大值之间振荡,且所述最小值和所述最大值之间的范围超过预定的范围时,所述变量是不稳定的。
17.根据权利要求16所述的方法,其中,当所述变量不振荡时,所述变量是稳定的。
18.根据权利要求15所述的方法,其中,所述方法是在衬底处理过程中执行的。
19.根据权利要求18所述的方法,其中,在电子装置内执行所述衬底。
20.一种用于调谐与等离子体阻抗相关联的参数的方法,其特征在于,所述方法包括:
接收信息以判定变量,在传输线路测量所述信息,当所述参数具有第一值时测量所述信息,所述传输线路用于经由阻抗匹配电路提供功率到等离子体室;
判定所述变量的局部最小值,当所述参数具有所述第一值时,实现所述局部最小值;
通过改变所述参数的所述第一值成一个或多个其它值来调谐所述参数;
判定当所述参数具有所述一个或多个其它值时使得所述变量不稳定的事件的数量;
判定所述事件的数量是否超过限度;
在判定所述事件的数量超过了所述限度时反转调谐所述参数的方向;以及
当判定所述事件的数量不超过所述限度时终止所述参数的进一步调谐,
其中,所述方法通过处理器来执行。
21.根据权利要求20所述的方法,其中所述判定所述变量的所述局部最小值包括:
判定在所述参数具有所述第一值时,所述变量没有超过阈值;和
判定在所述参数具有所述第一值时,所述变量是稳定的。
22.根据权利要求20所述的方法,其中,所述反转调谐所述参数的所述方向包括增大所述参数的值或减小所述参数的值。
23.根据权利要求20所述的方法,其中,所述方法是在衬底的处理期间执行的,其中所述方法在不知道所述参数的习得值的情况下执行,其中所述局部最小值不同于绝对最小值,其中,所述处理器在主机系统内,所述主机系统耦合到射频(RF)发生器,所述射频发生器经由所述阻抗匹配电路耦合到所述等离子体室。
24.根据权利要求20所述的方法,其中,所述方法是在衬底处理过程中执行的,其中在电子装置内执行所述衬底。
CN201410014140.4A 2013-01-11 2014-01-13 调谐与等离子体阻抗有关联的参数 Active CN103926850B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/740,047 US9155182B2 (en) 2013-01-11 2013-01-11 Tuning a parameter associated with plasma impedance
US13/740,047 2013-01-11

Publications (2)

Publication Number Publication Date
CN103926850A CN103926850A (zh) 2014-07-16
CN103926850B true CN103926850B (zh) 2017-04-12

Family

ID=51145109

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410014140.4A Active CN103926850B (zh) 2013-01-11 2014-01-13 调谐与等离子体阻抗有关联的参数

Country Status (6)

Country Link
US (3) US9155182B2 (zh)
JP (1) JP6404569B2 (zh)
KR (1) KR102304002B1 (zh)
CN (1) CN103926850B (zh)
SG (2) SG10201605648SA (zh)
TW (1) TWI609406B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9318304B2 (en) * 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
KR102021961B1 (ko) * 2014-01-13 2019-11-04 삼성전자주식회사 반도체 제조설비의 관리방법
CN105591629B (zh) * 2014-10-22 2018-01-26 中微半导体设备(上海)有限公司 自动频率调谐阻抗匹配的匹配频率的获取方法和装置
US9754767B2 (en) * 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US9966231B2 (en) * 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
KR20170117312A (ko) * 2016-04-13 2017-10-23 램 리써치 코포레이션 무선 주파수 값들을 사용함으로써 상태 전이들 동안 반사된 전력을 감소시키기 위한 시스템들 및 방법들
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
CN108199742B (zh) * 2017-11-13 2020-12-01 深圳市万普拉斯科技有限公司 自调谐方法、自调谐系统及移动终端
EP3745825A4 (en) * 2018-01-23 2021-01-20 Fuji Corporation PLASMA GENERATOR AND INFORMATION PROCESSING METHOD
CN110299279B (zh) * 2019-08-22 2019-11-12 中微半导体设备(上海)股份有限公司 一种射频电源系统、等离子体处理器及其调频匹配方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5383116A (en) * 1990-06-17 1995-01-17 Kvaser Consultant Ab Device for controlling a member in a system
CN1148757A (zh) * 1995-08-24 1997-04-30 日本电气株式会社 天线调谐控制器
JP2005130198A (ja) * 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
CN101073085A (zh) * 2004-10-15 2007-11-14 朗姆研究公司 用于改进对等离子体负载的rf功率传输稳定性的设备和方法
CN101374381A (zh) * 2007-08-20 2009-02-25 清华大学 实现射频阻抗匹配的方法及射频阻抗匹配系统
CN201226589Y (zh) * 2008-05-15 2009-04-22 重庆医科大学 一种超声压电换能器自动阻抗匹配器

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
JP3001658B2 (ja) * 1991-03-28 2000-01-24 日本原子力研究所 高周波加熱装置
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
JPH0765993A (ja) * 1993-08-20 1995-03-10 Anelva Corp 有磁場マイクロ波放電反応装置
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6110405A (en) 1997-09-15 2000-08-29 Wellman, Inc. Melt spinning colored polycondensation polymers
KR100560886B1 (ko) 1997-09-17 2006-03-13 동경 엘렉트론 주식회사 가스 플라즈마 프로세스를 감시 및 제어하기 위한 시스템및 방법
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
WO2003055286A1 (fr) 2001-12-10 2003-07-03 Tokyo Electron Limited Source de puissance haute frequence et son procede de commande, et processeur a plasma
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US20060065631A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
JP4799947B2 (ja) * 2005-02-25 2011-10-26 株式会社ダイヘン 高周波電源装置および高周波電源の制御方法
JP4739793B2 (ja) * 2005-03-31 2011-08-03 株式会社ダイヘン 高周波電源装置
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
ATE441203T1 (de) 2005-06-10 2009-09-15 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
PT2211916E (pt) 2007-11-06 2016-01-11 Creo Medical Ltd Sistema de esterilização por plasma de micro-ondas e respetivos aplicadores
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
KR101522251B1 (ko) 2008-09-22 2015-05-21 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
US8447255B2 (en) * 2008-10-28 2013-05-21 Sony Ericsson Mobile Communications Ab Variable impedance matching network and method for the same
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
TWM432209U (en) * 2012-02-23 2012-06-21 Taiwan Carol Electronics Co Ltd Wireless microphone receiver
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
SG10202113236SA (en) 2012-10-30 2021-12-30 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5383116A (en) * 1990-06-17 1995-01-17 Kvaser Consultant Ab Device for controlling a member in a system
CN1148757A (zh) * 1995-08-24 1997-04-30 日本电气株式会社 天线调谐控制器
JP2005130198A (ja) * 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
CN101073085A (zh) * 2004-10-15 2007-11-14 朗姆研究公司 用于改进对等离子体负载的rf功率传输稳定性的设备和方法
CN101374381A (zh) * 2007-08-20 2009-02-25 清华大学 实现射频阻抗匹配的方法及射频阻抗匹配系统
CN201226589Y (zh) * 2008-05-15 2009-04-22 重庆医科大学 一种超声压电换能器自动阻抗匹配器

Also Published As

Publication number Publication date
US9627182B2 (en) 2017-04-18
JP2014146593A (ja) 2014-08-14
US9155182B2 (en) 2015-10-06
TW201443971A (zh) 2014-11-16
SG10201605648SA (en) 2016-08-30
CN103926850A (zh) 2014-07-16
TWI609406B (zh) 2017-12-21
SG2014000905A (en) 2014-08-28
US20150206717A1 (en) 2015-07-23
US9320127B2 (en) 2016-04-19
KR102304002B1 (ko) 2021-09-23
KR20140091498A (ko) 2014-07-21
US20140197731A1 (en) 2014-07-17
US20160189932A1 (en) 2016-06-30
JP6404569B2 (ja) 2018-10-10

Similar Documents

Publication Publication Date Title
CN103926850B (zh) 调谐与等离子体阻抗有关联的参数
KR102438859B1 (ko) Rf 송신 시스템 모델들을 개량하기 위한 시스템, 방법 및 장치
US10008371B2 (en) Determining a value of a variable on an RF transmission model
KR102467354B1 (ko) 가변 주파수 생성기들을 사용하는 스마트 rf 펄싱 튜닝
JP6483880B2 (ja) ウェハバイアスを決定するための方法およびプラズマシステム
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
CN103681194B (zh) 边沿缓变
TWI633810B (zh) 電漿系統中故障裝置之決定
KR102313223B1 (ko) 모델링, 피드백 및 임피던스 매칭을 사용하는 에칭 레이트 제어
KR102223863B1 (ko) 이중 제어 모드
TW201627894A (zh) 射頻傳輸路徑之選擇的部分所用的射頻傳輸模型之準確性改良系統、方法及設備
CN103681195B (zh) 基于三个或更多个状态的功率和频率的调节
CN103869769B (zh) 用于统计数据抽取的统计计算
JP2015090759A (ja) プラズマ処理装置
CN107887247A (zh) 在一个状态中频率和匹配调谐以及在另一状态中频率调谐
CN108447759B (zh) 使用模型确定与等离子体系统关联的离子能量的方法和系统
CN104053295B (zh) 使用电压控制模式进行室匹配

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant