JP2014146593A - プラズマインピーダンスに関連するパラメータのチューニング - Google Patents

プラズマインピーダンスに関連するパラメータのチューニング Download PDF

Info

Publication number
JP2014146593A
JP2014146593A JP2014003009A JP2014003009A JP2014146593A JP 2014146593 A JP2014146593 A JP 2014146593A JP 2014003009 A JP2014003009 A JP 2014003009A JP 2014003009 A JP2014003009 A JP 2014003009A JP 2014146593 A JP2014146593 A JP 2014146593A
Authority
JP
Japan
Prior art keywords
value
parameter
variable
determining
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014003009A
Other languages
English (en)
Other versions
JP6404569B2 (ja
JP2014146593A5 (ja
Inventor
C Valcore John Jr
ジョン・シー.・バルコア・ジュニア
J Lyndaker Bradford
ブラッドフォード・ジェイ.・リンデーカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2014146593A publication Critical patent/JP2014146593A/ja
Publication of JP2014146593A5 publication Critical patent/JP2014146593A5/ja
Application granted granted Critical
Publication of JP6404569B2 publication Critical patent/JP6404569B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/466Radiofrequency discharges using capacitive coupling means, e.g. electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】プラズマインピーダンスに関連するパラメータをチューニングするための方法を提供する。
【解決手段】方法の1つは、変数を決定するための情報を受信する104。この情報は伝送ラインにおいて測定され、パラメータが第1の値を有する時に測定される。伝送ラインを用いて、プラズマチャンバに電力を供給する。変数が極小値であるかどうかを決定すること112、および変数が極小値であることを決定すると、インピーダンスマッチング回路をチューニングするために第1の値を供給する118。変数が極小値でないことを決定すると、第1の値をパラメータの第2の値に変更する122、およびパラメータが第2の値である場合に変数が極小値であるかどうかを決定することを含む。
【選択図】図2

Description

本発明は、プラズマインピーダンスを制御できるパラメータを決定すること、ならびにプラズマインピーダンスに関連するパラメータをチューニングするための装置、方法、およびコンピュータプログラムに関する。
プラズマシステムは、プラズマチャンバ、および高周波(RF)電源を含む。この電源は、プラズマチャンバ内の電極に電力を供給するRF信号を生成する。RF信号は、例えば周波数、および電力等の特性を有する。これらの特性の変化に応じて、プラズマインピーダンスの変化が発生する。周波数、および電力を制御することによってプラズマインピーダンスを制御する。
本開示に記載する実施形態は、このような背景に関連する。
本開示の実施形態は、プラズマインピーダンスに関連するパラメータをチューニングするための装置、方法、およびコンピュータプログラムを提供する。本実施形態は、例えばプロセス、装置、システム、デバイス、またはコンピュータ可読媒体上の方法といった数多くの様式で実装できることを理解されたい。複数の実施形態について以下に説明する。
ある実施形態では、プラズマシステムを提供する。このプラズマシステムでは、周波数、電力、静電容量、インピーダンス等のパラメータを、変数の極小値に基づいてチューニングする。変数の例としては、プラズマシステムのインピーダンスマッチング回路の入力における電源インピーダンス、プラズマシステムのプラズマに関連するガンマ、反射電力等が挙げられる。極小値は、パラメータに1つ、もしくは複数の値を割り当てる際の変数の安定性、および/またはパラメータに上記1つ、もしくは複数の値を割り当てる際に変数が閾値を超えるかどうかに基づいて決定される。極小値を用いると、パラメータの値の迅速な分析が達成され、この分析は変数の適当な値を達成するにあたって十分である。例えば、極小値を使用することにより、絶対最小値を達成するためにパラメータをチューニングするためにかかる時間に比べて、極小値を達成するためにパラメータをチューニングするためにかかる時間を削減できる。
一実施形態では、プラズマインピーダンスに関連するパラメータをチューニングするための方法を説明する。本方法は、変数を決定するための情報を受信することを含む。この情報は伝送ラインにおいて測定され、パラメータが第1の値を有する時に測定される。伝送ラインを用いて、インピーダンスマッチング回路を介してプラズマチャンバに電力を供給する。本方法は、さらに、変数が極小値であるかどうかを決定すること、および変数が極小値であることを決定すると、インピーダンスマッチング回路をチューニングするために第1の値を供給すること、を含む。本方法は、変数が極小値でないことを決定すると、第1の値をパラメータの第2の値に変更すること、およびパラメータが第2の値である場合に変数が極小値であるかどうかを決定すること、を含む。本方法はプロセッサによって実行される。
一実施形態では、プラズマインピーダンスに関連するパラメータをチューニングするための方法を説明する。本方法は、変数を決定するための情報を受信することを含む。この情報は伝送ラインにおいて測定され、パラメータが第1の値を有する時に測定される。伝送ラインを用いて、インピーダンスマッチング回路を介してプラズマチャンバに電力を供給する。本方法は、さらに、変数が極小値であるかどうかを決定すること、および変数が極小値であることを決定すると、高周波(RF)信号を生成するために第1の値を供給すること、を含む。RF信号は第1の値に対応し、プラズマチャンバへ送信されるようになっている。本方法は、変数が極小値でないことを決定すると、パラメータの第1の値を第2の値に変更すること、およびパラメータが第2の値を有する場合に変数が極小値であるかどうかを決定すること、を含む。本方法はプロセッサによって実行される。
一実施形態では、プラズマインピーダンスに関連するパラメータをチューニングするための方法を説明する。本方法は、変数を決定するための情報を受信することを含む。この情報は伝送ラインにおいて測定され、パラメータが第1の値を有する時に測定される。伝送ラインを用いて、インピーダンスマッチング回路を介してプラズマチャンバに電力を供給する。本方法はまた、パラメータが第1の値を有する場合に変数が極小値であるかどうかを決定すること、および変数が極小値であることを決定すると、パラメータの第1の値が学習値の限界範囲内であるかどうかを決定すること、を含む。学習値は学習ルーチン中に決定される。本方法は、パラメータの第1の値が上記限界範囲内であることを決定すると、高周波(RF)信号を生成するため、またはインピーダンスマッチング回路をチューニングするために学習値を供給することを含む。本方法は、パラメータが上記限界範囲外の第1の値を有することを決定すると、第1の値をパラメータの第2の値に変更することを含む。本方法はプロセッサによって実行される。
一実施形態では、プラズマインピーダンスに関連するパラメータをチューニングするための方法を説明する。本方法は、変数を決定するための情報を受信することを含む。この情報は伝送ラインにおいて測定され、パラメータが第1の値を有する時に測定される。伝送ラインを用いて、インピーダンスマッチング回路を介してプラズマチャンバに電力を供給する。本方法は、さらに、変数の極小値を決定することを含む。極小値は、パラメータが第1の値を有する場合に達成される。本方法はまた、第1の値をパラメータの1つまたは複数の他の値に変更することによってパラメータをチューニングすること、パラメータが上記1つまたは複数の他の値を有する場合に変数が不安定となるイベントの数を決定すること、およびイベントの数が限界を超えることを決定すること、を含む。本方法は、イベントの数が限界を超えることを決定すると、パラメータのチューニングの方向を反転すること、およびイベントの数が限界を超えないことを決定すると、パラメータの更なるチューニングを停止すること、を含む。本方法はプロセッサによって実行される。
ある実施形態では、極小値は絶対最小値とは異なる。
上述の実施形態の利点としては、変数の極小値に対応するチューニングされたパラメータを得ることができること、およびこのチューニングされたパラメータを用いてプロセスを制御できることが挙げられる。極小値は、変数が安定である場合、および/または変数の値が閾値を超えない場合に達成される。例えば、プラズマチャンバの電極は、プラズマチャンバ内のプラズマに関連するガンマの安定性に対応する周波数、および/または電力で作動する。別の例として、プラズマチャンバの電極は、閾値を超えないガンマに対応する周波数、および/または電力で作動する。さらに別の例としては、インピーダンスマッチング回路はある周波数で作動し、インピーダンスマッチング回路内のキャパシタの静電容量は変化し、および/またはインピーダンスマッチング回路内のインダクタのインダクタンスは変化し、これによって閾値未満の反射電力値が達成される。変数の安定性、および/または閾値を超える機会を減らすよう変数を制御することは、例えばプラズマチャンバ内で基板上にエッチングする、蒸着する、クリーニングする等のプロセス制御に役立つ。安定である変数、および/または閾値を超えない変数に対応するパラメータを維持することにより、プロセスを制御する。
さらに、上述の実施形態の利点としては、変数の絶対最小値を得るよりも短い時間で極小値を得ることができることが挙げられる。絶対最小値を得るには、極小値を得るよりも長い時間を必要とする。例えば、極小値を得た後に絶対最小値を達成するためには、パラメータをパスの、さらに下まで変更しなければならない。このような変更は極小値をするよりも長い時間を必要とする。
また、上述の実施形態の利点としては、学習ルーチン中に学習したパラメータの値を用いて、チューニングされたパラメータをチューニングすることにより、プラズマに関連する変数のより良好な安定性、および/または変数が閾値を超えない可能性の向上を達成できることが挙げられる。チューニングされたパラメータは、基板上で実行されるプロセス中に達成される。例えば、チューニングされたパラメータは、変数の安定性を達成する、および/またはパラメータが閾値を超える可能性を低減するようにチューニングされる。この例では、チューニングされたパラメータは、パラメータの学習値を達成するよう、さらに調整される。学習値は、チューニングされたパラメータを用いるプロセス中に達成されるよりも高いレベルの安定性を達成するために役立つ値、および/またはパラメータが閾値を超える可能性を低減する役割を、チューニングされたパラメータを用いる場合よりも良好に果たす値である。
上述の実施形態の利点としては、パラメータのチューニング方向を変更するかどうかを決定するにあたって、プラズマ内の限界を超えないイベントの数を無視できることが挙げられる。例えば、基板の処理中にパラメータをチューニングする方向は、チューニング中のイベントの数が限界を超える場合に変更され、イベントの数が限界を超えない場合には変更されない。イベントの数が限界を超えない場合、イベントの発生はプラズマに関連する変数の不安定性における逸脱であり、方向を反転させるべきかどうかを決定するにあたってこの逸脱は考慮されない。その一方で、イベントの数が限界を超える場合、イベントの発生は変数の不安定性を示し、上記方向は反転される。
他の態様は、添付の図面と関連する以下の詳細な説明から明らかになるであろう。
添付の図面と関連する以下の説明を参照することにより、以上の実施形態を最適に理解できる。
図1は、本開示で説明するある実施形態による、プラズマインピーダンスに関連するパラメータをチューニングするための様々な方法を示すグラフである。
図2は、本開示で説明するある実施形態による、チューニングされたパラメータを決定するための方法のフローチャートである。
図3は、本開示で説明するある実施形態による、学習ルーチンを用いてチューニングされたパラメータを決定するための方法のフローチャートである。
図4は、本開示で説明するある実施形態による、パラメータのチューニング方向を変更するかどうかを決定するための方法のフローチャートである。
図5は、本開示で説明するある実施形態による、パラメータをチューニングするためのシステムのブロック図である。
図6は、本開示で説明するある実施形態による、プラズマチャンバに送達される電力が変化した場合に反射係数が変化することを示すグラフである。
図7は、本開示で説明するある実施形態による、変数の不安定性がパラメータの値の変化の関数であることを示すグラフである。
図8は、本開示で説明する一実施形態による、高周波(RF)信号の周波数の低減によって変数の不安定性を制御できることを示すグラフである。
図9は、本開示で説明するある実施形態による、RF信号の電力、および/または周波数をチューニングするとガンマを制御できることを示すグラフである。
図10は、本開示で説明するある実施形態による、RF信号の電力、および/または周波数をチューニングするとガンマを制御できることを示すグラフである。
図11は、本開示で説明するある実施形態による、RF信号の電力、および/または周波数をチューニングするとガンマを制御できることを示すグラフである。
図12は、本開示で説明する一実施形態による、極小値が達成される供給電力を繰り返し制御できることを示すグラフである。
図13は、本開示で説明するある実施形態による、図5のシステムのホストシステムのブロック図である。
以下の実施形態は、プラズマインピーダンスに関連するパラメータをチューニングするためのシステム、および方法を説明する。本実施形態を、これら具体的な詳細の一部、または全てを用いずに実行してよいことは明らかである。他の例では、本実施形態を不必要に不明瞭にしないために、公知のプロセス操作については詳細に説明しなかった。
図1は、プラズマインピーダンスに関連するパラメータをチューニングするための様々な方法を示すグラフ170の実施形態の図である。グラフ170は、例えば秒、分等の単位で測定した時間に対してパラメータ110をプロットしたものである。パラメータ110の例としては、周波数、静電容量、インダクタンス、電力、またはこれらの組み合わせが挙げられる。周波数の例としては、高周波(RF)電源が生成したRF信号の周波数が挙げられる。RF信号は、インピーダンスマッチング回路の電子構成部品、例えばキャパシタ、インダクタ等に供給される。いくつかの実施形態では、RF電源の出力において反射電力、および順方向電力を測定する。
グラフ170は、さらに、時間に対して変数106をプロットしたものである。変数106の例としては、ガンマ、反射電力、複素電圧反射係数Γ、供給電力、順方向電力、電圧定在波値(VSWR)、インピーダンスマッチング回路の入力における電源インピーダンス等が挙げられる。なお、複素電圧反射係数Γは反射係数と呼ばれる場合もある。ガンマの例としては、反射電力と順方向電力との間の関係、例えば反射電力と順方向電力との比が挙げられる。供給電力の例としては、順方向電力と反射電力との差が挙げられる。順方向電力とは、高周波(RF)電源で生成されて、伝送ラインを介してプラズマチャンバへと送られる電力である。反射電力とは、プラズマチャンバからRF電源へと反射される電力である。
図2は、チューニングされたパラメータを決定するための方法129の実施形態のフローチャートである。方法129は、プラズマシステムの1つまたは複数のプロセッサ、例えばRF電源のプロセッサ、ホストシステムのプロセッサ等によって実行される。プロセッサの例としては、特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)、マイクロプロセッサ、マイクロコントローラ、中央処理装置(CPU)等が挙げられる。RF電源はAメガヘルツ(MHz)RF電源である。A MHz RF電源、およびホストシステムについて、以下に、さらに説明する。
図2は、図1のグラフ170を参照して理解される。操作104では、変数106を決定するための情報を受信する。この情報は伝送ラインにおいて測定される。情報の例としては、順方向電力、反射電力、電圧、電流、またはこれらの組み合わせが挙げられる。この情報は伝送ラインに結合されたセンサで測定される。
パラメータ110が第1の値124を有する場合に情報を測定する(図1)。例えばセンサは、A MHz RF電源がPキロワット(kW)の電力値、および/またはFMHzの周波数を有するRF信号を伝送ラインを介してプラズマチャンバに供給する際に、順方向電力、および反射電力を測定し、ここでA、P、およびFはゼロより大きい実数である。A MHz の例としては、2MHz、27MHz、および60MHzが挙げられる。Pの例としては、以下に説明するP1、およびP0が挙げられる。さらに、Fの例としては、これもまた以下に説明するF1、およびF0が挙げられる。いくつかの実施形態では、A MHz はFMHzと同一である。情報の測定の別の例としては、センサは、A MHz RF電源のプロセッサがA MHz RF電源のドライバ、および増幅器システム(DAS)に電力、および/または周波数値を供給することによってDASが上記電力、および周波数値に対応する値、例えばこれと同一である値、これを増幅した値等を有するRF信号を生成できるようにする時に、伝送ライン上で順方向電力、および反射電力の電圧、および電流を測定する。
1つまたは複数のセンサは、A MHz RF電源の出力における、または伝送ラインを介してAMHRF電源に結合されたインピーダンスマッチング回路の入力における情報を測定することに留意されたい。例えば、上記1つまたは複数のセンサは、A MHz RF電源の出力における順方向電力、および反射電力、インピーダンスマッチング回路の入力における電圧、および電流、A MHz RF電源の出力における電圧、および電流等を測定する。インピーダンスマッチング回路の入力において測定された電圧、および電流を用いて、インピーダンスマッチング回路の入力における電源インピーダンスが算出される。この算出は、以下に説明するプラズマシステムの1つまたは複数のプロセッサによって実行される。A MHz RF電源の出力における順方向電力、および反射電力を用いて、供給電力が算出される。この算出は、プラズマシステムの1つまたは複数のプロセッサによって実行される。
変数106は情報から決定される。例えば、伝送ラインにおいて測定された電圧と電流との比を算出する。別の例として、伝送ラインにおいて測定された反射電力と順方向電力との比を演算する。さらに別の例として、順方向電力と反射電力との差を算出して、A MHz RF電源の出力における供給電力を決定する。別の例として、インピーダンスマッチング回路の入力における電源インピーダンスを算出する。別の例として、複素電圧反射係数Γを算出する。
操作112では、パラメータが状態S1、またはS0時の値である第1の値124を有する場合に変数106が極小値114であるかどうかを決定する。例えば、パラメータが第1の値124を有する場合に変数が閾値を超えるかどうかを決定する。別の例としては、インピーダンスマッチング回路内の変数キャパシタの静電容量が第1の値124を有する場合に、情報から決定された反射電力が閾値を超えるかどうかを決定する。さらに別の例としては、インピーダンスマッチング回路内の変数インダクタのインダクタンスが第1の値124を有する場合に、情報から決定された反射電力が閾値を超えるかどうかを決定する。別の例としては、インピーダンスマッチング回路の動作周波数が第1の値124を有する場合に、情報から決定された反射電力が閾値を超えるかどうかを決定する。いくつかの実施形態では、インピーダンスマッチング回路の動作周波数は、A MHz RF電源がインピーダンスマッチング回路に供給するRF信号の周波数と同一である。別の例としては、インピーダンスマッチング回路内の変数キャパシタの静電容量がある値を有する場合、インピーダンスマッチング回路内のインダクタのインダクタンスがある値を有する場合、および/またはインピーダンスマッチング回路の動作周波数がある値を有する場合に、情報から決定された反射電力が閾値を超えるかどうかを決定する。なおこの例では、インダクタンス、静電容量、および/または動作周波数は第1の値124に対応する。即ち、第1の値124は、周波数値、インダクタンス、および/または静電容量が達成された場合に達成される。閾値の例としては、ガンマ値0.5が挙げられる。閾値の別の例としては、ガンマ値0.6が挙げられる。閾値の、さらに別の例としては、電源インピーダンスZ1Ωが挙げられ、ここでZ1はゼロより大きい実数である。閾値の別の例としては、0ワットの範囲内の反射電力が挙げられる。反射電力の、例えば0.2ワット、0.5ワット、1ワット、10ワット、20ワット、100ワット、200ワット等の値は、0ワットの範囲内である。状態S1、およびS0について、以下で、さらに詳細に説明する。
変数106が極小値114であるかどうかを決定する別の例として、パラメータが第1の値124を有する場合に変数106が安定であるかどうかを決定する。この例では、変数106に、変数106が安定であるかどうかを決定するための振動が不足しているかどうかを決定する。即ち、パラメータが第1の値124を有する場合に、変数106が2つの値の間で振動するかどうかを決定する。別の例としては、変数106が供給電力の第1の値と供給電力の第2の値の値との間で振動するかどうかを決定する。この例では、変数106が2つの値の間で振動することを決定するのに対応して、変数106が不安定であることを決定する。またはそうでなければ、変数106に2つの値の間での振動が不足していることを決定すると、変数106が安定であることを決定する。別の例としては、パラメータが第1の値を有する場合に、変数106が最小値(例えば最小供給電力値、最小電源インピーダンス値、最小反射電力値等)と最大値(例えば最大供給電力値、最大電源インピーダンス値、最大反射電力値等)との間で振動するかどうか、および最小値と最大値との間の範囲が所定の範囲を超えるかどうかを決定する。この例では、最小値と最大値との間の範囲が所定の範囲を超えることを決定すると、変数106が不安定であることを決定する。またはそうでなければ、最小値と最大値との間の範囲が所定の範囲を超えないことを決定すると、変数106が安定であることを決定する。
変数106が閾値を超えること、または変数106が不安定であることを決定すると、変数106が極小値114でないことを決定する。その一方で、変数106が閾値を超えること、および変数106が安定であることを決定すると、変数106が極小値114であることを決定する。
一実施形態では、変数106が閾値を超えること、または変数106が不安定であることのいずれかを決定することによって、変数106が極小値114でないことを決定する代わりに、変数106が閾値を超えること、および変数106が不安定であることを決定すると、変数106が極小値でないことを決定する。
いくつかの実施形態では、変数106が閾値を超えないこと、および変数106が安定であることの両方を決定することによって、変数106が極小値114であることを決定する代わりに、変数106が閾値を超えないこと、または変数106が安定であることを決定すると、変数106が極小値114であることを決定する。
ある実施形態では、方法129は、例えばプラズマチャンバ内の基板上に蒸着された層をエッチングする、プラズマチャンバ内の基板上に層を蒸着する、プラズマチャンバ内の基板を洗浄する等のプロセス中に実行されることに留意されたい。例えば、方法129は、以下に、さらに説明する学習値を用いることなく実行される。プラズマシステムの1つまたは複数のプロセッサは、方法129の実行中に学習値を使用しない。
一実施形態では、学習値は学習ルーチン中にプラズマシステムの1つまたは複数のプロセッサによって生成される。学習ルーチン中、基板を処理するために、プラズマチャンバ内でパルスプラズマが着火されることはない。この実施形態では、学習ルーチンは方法129を実行するのと同様の様式で実行される。いくつかの実施形態では、学習ルーチン中、基板を処理することなく、パルスプラズマをプラズマチャンバ内で着火する。これらの実施形態では、学習ルーチンは方法129を実行するのと同様の様式で実行される。基板の例としては、ウエハが挙げられる。基板の別の例としては、電気回路構成、例えばトランジスタ、抵抗、キャパシタ、論理ゲート等を含む回路構成をその上に製作したウエハが挙げられる。
なお、一実施形態では、ある値125から第1の値124を通って第2の値126への、方向166の経路を通過することにより、極小値114を得ることに、さらに留意されたい。例えばホストシステムは以下で、さらに説明するモータシステムを制御して、インピーダンスマッチング回路のキャパシタの静電容量を値125に変化させる。別の例としては、ホストシステムはモータシステムを制御するか、またはインピーダンスマッチング回路のインダクタへの電流量を制御して、インダクタのインダクタンスを値125に変化させる。さらに別の例では、ホストシステムは、値125を有する周波数をA MHz RF電源に供給することを決定し、このA MHz RF電源は、値125を有するRF信号を生成し、インピーダンスマッチング回路にRF信号を供給して、値125でインピーダンスマッチング回路を動作させる。さらに別の例としては、ホストシステムは、モータシステムを制御することによってインピーダンスマッチング回路のキャパシタの静電容量をある値に変化させ、モータシステムを制御するか、またはインピーダンスマッチング回路のインダクタへの電流の量を制御することによってインダクタのインダクタンスをある値に変化させ、およびA MHz RF電源にある周波数を供給することによってインピーダンスマッチングをその周波数値で作動させることを決定する。この例では、値125は静電容量値、インダクタンス値、および/または周波数値を含む。即ち、値125は静電容量値、周波数値、および/またはインダクタンス値に対応する。また即ち、値125は、静電容量、インダクタンス、および/または周波数値が達成される場合に達成される。別の例としては、A MHz RF電源のプロセッサは、値125を有するパラメータ110をA MHz RF電源のDASに供給することを決定する。A MHz RF電源のDASは、値125に対応するRF信号を生成し、伝送ラインを介してこのRF信号をプラズマチャンバに供給する。
パラメータ110が値125を有する場合、プラズマシステムの1つまたは複数のセンサは伝送ラインを感知して情報を測定する。パラメータが値125を有する場合、プラズマシステムの1つまたは複数のプロセッサは、変数106の1つまたは複数の値を決定する。同様に、パラメータ110が第1の値124、および第2の値126を有する場合、プラズマシステムの1つまたは複数のプロセッサは、変数106の1つまたは複数の値を決定する。
さらに、プラズマシステムの1つまたは複数のプロセッサは、パラメータ110が値125を有する場合の変数106の1つまたは複数の値が安定でありかつ閾値を超えないかどうかを決定する。さらに、プラズマシステムの1つまたは複数のプロセッサは、パラメータ110が第1の値124を有する場合の変数106の1つまたは複数の値が安定でありかつ閾値を超えないかどうか、およびパラメータ110が第2の値126を有する場合の変数106の1つまたは複数の値が安定でありかつ閾値を超えないかどうかを決定する。
パラメータ110が第1の値124を有する場合の変数106の1つまたは複数の値が安定でありかつ閾値を超えないこと、パラメータ110が値125を有する場合の変数106の1つまたは複数の値が不安定である、または閾値を超えること、およびパラメータ110が第2の値126を有する場合の変数106の1つまたは複数の値が不安定である、または閾値を超えることを確認するとすぐに、1つまたは複数のプロセッサは、パラメータが第1の値124を有する場合に変数106が極小値114であることを決定する。
ある実施形態では、ある値125から第1の値124を通る方向166の経路を通過して、極小値114を得たところで停止することにより、極小値114を得る。例えば、極小値114を得ると、プラズマシステムの1つまたは複数のプロセッサは、パラメータ110が第2の値を有する場合の変数106の1つまたは複数の値が不安定であるか、または閾値を超えることを決定するために方向166の経路を、さらに通過することはない。むしろこの例では、パラメータ110が第1の値124を有する場合の変数106の1つまたは複数の値が安定でありかつ閾値を超えないことを決定すると、この1つまたは複数のプロセッサは、極小値114を得たことを決定する。
パラメータが第1の値124を有する場合に変数106が極小値114であることを決定するのに対応して、操作118では、ホストシステムの1つまたは複数のプロセッサは、パラメータを第1の値124に維持するために、インピーダンスマッチング回路、および/またはA MHz RF電源をチューニングし続ける。例えば、ホストシステムのプロセッサは、A MHz RF電源のデジタル信号プロセッサ(DSP)に、第1の値124を有するパラメータ110をA MHz RF電源のDASに供給し続けるよう指示し、また、A MHz RF電源のDASは第1の値124を有するパラメータを有するRF信号を生成し続ける。別の例としては、ホストシステムは、キャパシタのキャパシタプレートをインピーダンスマッチング回路内である距離の位置に保持するために、モータシステムに制御信号を供給し続け、第1の値124の静電容量を維持する。別の例としては、ホストシステムはモータシステムに制御信号を供給し続けるか、またはインピーダンスマッチング回路内のインダクタに電流を供給し続けて、インダクタの第1の値124のインダクタンスを維持する。さらに別の例としては、ホストシステムは、キャパシタのキャパシタプレートをインピーダンスマッチング回路内である距離の位置に保持するために、モータシステムに制御信号を供給し続けて静電容量を維持し、インピーダンスマッチング回路内のインダクタに電流を供給し続けてインダクタのインダクタンスを維持し、および/またはインピーダンスマッチング回路を制御してインピーダンスマッチング回路の動作をある周波数値に維持する。なおこの例では、第1の値124は静電容量、インピーダンス、および/または周波数値に対応する。操作118の後、操作104を繰り返す。
その一方で、パラメータが第1の値124を有する場合に変数106が極小値114ではないことを決定すると、操作122において、第1の値124は別の値、例えば第2の値125に変化する。例としては、第1の値124の供給に続いて、A MHz RF電源のプロセッサによってA MHz電源のDASに他の値を供給する。即ち、第1の値124を供給した後、第1の値124と第2の値125との間には、A MHz RF電源のプロセッサによってA MHzの電源のDASに供給される値はない。さらに別の例としては、ホストシステムによってモータシステムに第2の値126を供給して、インピーダンスマッチング回路内で第2の値126の静電容量、またはインダクタンスを達成する。別の例としては、ホストシステムによってA MHz RF電源に第2の値126を供給して、インピーダンスマッチング回路の第2の値126の動作周波数を達成する。さらに別の例としては、ホストシステムによってモータシステムに静電容量を供給し、ホストシステムによってモータシステムにインダクタンスを供給し、および/またはホストシステムによってインピーダンスマッチング回路を制御して、第2の値126を達成する周波数で動作させる。さらに別の例としては、ホストシステムによってモータシステムに静電容量を供給し、インピーダンスマッチング回路のインダクタに電流を供給し、および/またはホストシステムによってインピーダンスマッチング回路を制御して、第2の値126を達成する周波数で動作させる。
操作122の後、第1の値124に対してではなく第2の値126に対して本方法129を繰り返す。例えば、パラメータ110が第2の値126を有する場合、A MHz RF電源のDASは、第2の値126に対応する値を有するRF信号を生成し、伝送ラインを介してこれをプラズマチャンバに供給する。別の例としては、プラズマシステムの1つまたは複数のプロセッサから、インピーダンスマッチング回路のキャパシタの静電容量が第2の値126であるという指標を受信すると、モータシステムはインピーダンスマッチング回路のキャパシタのプレートを移動させて、第2の値126の静電容量を達成する。さらに別の例としては、プラズマシステムの1つまたは複数のプロセッサから、インピーダンスマッチング回路のインダクタのインダクタンスが第2の値126であるという指標を受信すると、モータシステムは、インピーダンスマッチング回路のインダクタ内の鉄心の挿入量を変化(例えば増大、減少等)させて、第2の値126のインダクタンスを達成する。別の例としては、プラズマシステムの1つまたは複数のプロセッサから、インピーダンスマッチング回路のインダクタのインダクタンスが第2の値126であるという指標を受信すると、ホストシステムは、インピーダンスマッチング回路のインダクタに供給される電流量を変化させて、第2の値126のインダクタンスを達成する。さらに別の例としては、プラズマシステムの1つまたは複数のプロセッサから、インピーダンスマッチング回路の動作周波数が第2の値126であるという指標を受信すると、インピーダンスマッチング回路は、A MHz RF電源を介して、第2の値126の周波数で動作する。なお、別の例としては、インピーダンスマッチング回路内のキャパシタの静電容量、インピーダンスマッチング回路内のインダクタのインダクタンス、およびインピーダンスマッチング回路の動作周波数の組み合わせを用いて、第2の値126を達成する。例えば、モータシステムは、インピーダンスマッチング回路のキャパシタのプレートを動作させ、インピーダンスマッチング回路のインダクタのインダクタンスを制御して第2の値126を達成し、この例ではこの第2の値126は、キャパシタの静電容量、およびインダクタのインダクタンスを含む。パラメータ110が第2の値126に変化し、この第2の値126をプラズマシステムに適用すると、変数106の1つまたは複数の値を決定するための情報を受信する。
パラメータ110が第2の値126を有する場合、A MHz電源のセンサは伝送ラインにおいて情報を測定する。プラズマシステムの1つまたは複数のプロセッサは、パラメータ110が第2の値126を有する場合に測定した情報に基づいて、変数106の1つまたは複数の値を決定する。さらに、操作112では、パラメータ110が第2の値126を有する場合の変数106の1つまたは複数の値が極小値であるかどうかを決定する。
なお、様々な実施形態では、方向166への通過に、プラズマシステムの1つまたは複数のプロセッサがA MHz RF電源のDSP、および/またはモータシステムに連続して供給するパラメータ110のいずれの2つの値(例えば周波数値等)の間の差は、最小である。例えば、値125と第1の値124との間の差は、キロヘルツ(kHz)の範囲内である。別の例としては、値125と第1の値124との間の差は、10〜20kHzである。
さらに、いくつかの実施形態では、方向166への通過に、プラズマシステムの1つまたは複数のプロセッサがA MHz RF電源のDSP、および/またはモータシステムに連続して供給するパラメータ110のいずれの2つの値の間の差は、同一である。例えば、第1の値124と第2の値125との間の差は、第2の値126と第1の値124との間の差と同一である。
なお、一実施形態では、極小値は絶対最小値116ではない。例えば、プラズマシステムの1つまたは複数のプロセッサは、極小値114が決定されれば絶対最小値116を求める必要はない。極小値114が決定された後に、1つまたは複数のプロセッサがパラメータ110を方向166にチューニングし続ける場合、絶対最小値116を得る。絶対最小値116は、パラメータ110が値127を有する場合に達成される。絶対最小値116の例は、ゼロワットの反射電力を含む。絶対最小値116の別の例としては、インピーダンスマッチング回路の入力における50Ωの電源インピーダンスを含む。いくつかの実施形態では、インピーダンスマッチング回路の入力における電源インピーダンスは、インピーダンスマッチング回路の入力から決定されるようなA MHz RF電源のインピーダンス、および伝送ラインを含む。
ある実施形態では、パラメータ110が値127を有する場合の変数106の振動範囲は、パラメータ110が第1の値124を有する場合の変数106の振動範囲よりも小さい。いくつかの実施形態では、パラメータが値127を有する場合の変数106の最大値max(0)は、パラメータ110が第1の値124を有する場合の最大値max(-3)よりも小さい。これらの実施形態では、最大値max(0)、およびmax(-3)は両方とも閾値を超えない。
図1に示すmin、およびmaxは、変数106の最小値、および最大値であることに、さらに留意されたい。例えば、値min(-4)、およびmax(-4)は、パラメータ110が値125を有する場合の変数106の値である。別の例としては、値min(-3)、およびmax(-3)は、パラメータ110が第1の値124を有する場合の変数106の値であり、値min(-2)、およびmax(-2)は、パラメータ110が第2の値126を有する場合の変数106の値であり、値min(-1)、およびmax(-1)は、パラメータ110が値160を有する場合の変数106の値であり、値min(0)、およびmax(0)は、パラメータ110が値127を有する場合の変数106の値である。
一実施形態では、極小値114は絶対最小値である。
図3は、学習ルーチンを使用してチューニングされたパラメータを決定するための方法136の実施形態のフローチャートである。本方法136は、プラズマシステムの1つまたは複数のプロセッサによって実行される。
本方法136は操作104、112、および122を含み、これらについては図1および図2を参照して上述した。さらに、図1および図3を参照して、パラメータが第1の値124を有する場合に変数106が極小値114であることを決定すると、操作138において、第1の値124が学習値の限界内であるかどうか、例えば値160であるかどうか(図1)を決定する。例えば、学習値と第1の値124との間の差が限界(例えば10kHz、20KHz、1キロワット(kW)、10kW、1ワット、10ワット、1Ω、5Ω、2Ω等)より小さいかどうかを決定する。一実施形態では、この限界はkHzの範囲内である。
学習値は、学習ルーチン中にA MHz RF電源のプロセッサが学習する値である。例えば、学習ルーチン中、プラズマシステムの1つまたは複数のプロセッサは経路を方向166へ通過し、パラメータ110が第1の値124を有する場合に変数106が極小値114であることを決定する代わりに、1つまたは複数のプロセッサは、パラメータ110が値160である場合に変数106が極小値であることを決定する。
第1の値124が学習値の限界の外にあることを決定すると、操作122において、第1の値を別の値に変更する。その一方で、第1の値124が学習値の限界内であることを決定すると、操作140において、インピーダンスマッチング回路、またはA MHz RF電源をチューニングするために学習値を供給する。例えば、プラズマシステムの1つまたは複数のプロセッサは、A MHz RF電源のDSPに学習値を供給する。A MHz RF電源のDSPは、プラズマシステムの1つまたは複数のプロセッサから学習値を受信し、A MHz RF電源のDASに学習値を供給する。A MHz RF電源は、学習値に対応する値を有するRF信号を生成し、伝送ラインを介してこのRF信号をプラズマチャンバに供給する。別の例としては、モータシステムは、プラズマシステムの1つまたは複数のプロセッサから学習値(例えば静電容量値)を受信し、インピーダンスマッチング回路内のキャパシタを制御して学習値を達成する。さらに別の例としては、モータシステムは、プラズマシステムの1つまたは複数のプロセッサから学習値(例えばインダクタンス値)を受信し、インピーダンスマッチング回路内のインダクタを制御して学習値を達成する。別の例としては、モータシステムは、プラズマシステムの1つまたは複数のプロセッサから、インピーダンスマッチング回路内のキャパシタの静電容量値、およびインピーダンスマッチング回路内のインダクタのインダクタンスを含む学習値を受信し、キャパシタ、およびインダクタを制御して学習値を達成する。別の例としては、A MHz RF電源から受信したRF信号内の学習値を受信するとすぐに、インピーダンスマッチング回路は、学習値(例えば周波数)で動作し、このA MHz RF電源は、プラズマシステムの1つまたは複数のプロセッサから学習値を受信する。さらに別の例としては、インピーダンスマッチング回路はある周波数で動作し、インピーダンスマッチング回路のキャパシタの静電容量を制御し、および/またはインピーダンスマッチング回路のインダクタのインダクタンスを制御し、これによって学習値を達成する。
操作140の後、本方法136を繰り返す。例えば、第1の値124の代わりに学習値に対して、操作104、112、122、および138を実施する。即ち、パラメータ110が学習値を有する場合、A MHz RF電源のDASは、学習値に対応する値を有するRF信号を生成して、伝送ラインを介してプラズマチャンバにこのRF信号を供給する。学習値に対応する値を有するRF信号をプラズマチャンバに供給する際、変数106の1つまたは複数の値を決定するための情報を受信する。パラメータ110が学習値を有する場合、A MHz電源のセンサは伝送ラインにおいて情報を測定する。変数106の1つまたは複数の値は、パラメータ110が学習値を有する場合の測定情報に基づいて、A MHz RF電源のプロセッサによって決定される。さらに、操作112では、パラメータ110が学習値を有する場合の変数106の1つまたは複数の値が極小値であるかどうかを決定する。また、操作122では、パラメータ110が学習値を有する場合に変数106の1つまたは複数の値が極小値でないことを決定すると、学習値を別の値に変更する。別の例としては、モータシステムがインピーダンスマッチング回路のキャパシタを制御して学習値を達成する場合、プラズマシステムの1つまたは複数のセンサは、伝送ライン上の順方向電力、および反射電力を感知する。1つまたは複数のセンサは、A MHz RF電源のDSPを介してホストシステムに順方向電力値、および反射電力値を供給する。ホストシステムは、順方向電力値、および反射電力値から反射電力を決定し、反射電力値が極小値であるかどうかを決定する。
なお、一実施形態では、方法136は基板の処理中に実行される。
図4は、パラメータ110のチューニング方向を変更するかどうかを決定するための方法150の実施形態のフローチャートである。方法150は、プラズマシステムの1つまたは複数のプロセッサによって実行され、図1に関連して説明されている。
操作104を実施する。図1および図4に関して、操作154では、パラメータ110が第1の値124を有する場合の変数106の極小値114を決定する。さらに、操作156では、第1の値124を1つまたは複数の他の値、例えば第2の値126、値160等に方向166に変更することによって、パラメータ110をチューニングする。
操作158では、パラメータを1つまたは複数の他の値にチューニングする場合、変数106が不安定となるイベントの数を決定する。例えば、パラメータ110が第2の値126である場合に変数106が不安定であるかどうか、およびパラメータ110が値160である場合に変数106が不安定であるかどうかを決定する。この例では、パラメータ110が値160である場合に変数106が不安定であること、およびパラメータ110が第2の値126である場合に変数106が不安定であることを決定すると、パラメータ110の値126、および160にそれぞれ1つずつ、2つのイベントを生成することを決定する。さらに、この例では、パラメータ110が値160である場合に変数106が不安定であること、およびパラメータ110が第2の値126である場合に変数106が安定であることを決定すると、値160において1つのイベントが発生したことを決定する。
ある実施形態では、パラメータ110がイベントの数を決定するための値を有する場合に変数106が不安定であるかどうかを決定する代わりに、パラメータがイベントの数を決定するための値を有する場合に変数106が閾値を超えるかどうかを決定する。例えば、パラメータ110が第2の値126を有する場合に変数106が閾値を超えるかどうか、およびパラメータ110が値160を有する場合に変数106が閾値を超えるかどうかを決定する。この例では、パラメータが値160を有する場合に変数106が閾値を超えるかどうか、およびパラメータ110が第2の値126を有する場合に変数106が閾値を超えるかどうかを決定すると、パラメータ110の値126、および160にそれぞれ1つずつ、2つのイベントを生成することを決定する。さらに、この例では、パラメータ110が値160を有する場合に変数106が閾値を超えるかどうか、およびパラメータ110が第2の値126を有する場合に変数106が閾値を超えないかどうかを決定すると、値160において1つのイベントが発生したことを決定する。
いくつかの実施形態では、パラメータ110がある値を有する場合に変数106が不安定であるかどうか、およびパラメータがその値を有する場合に変数106が閾値を超えるかどうかの両方の決定を行い、イベントの数を決定する。例えば、パラメータ110が第2の値126を有する場合に変数106が不安定であるかどうか、パラメータ110が値160を有する場合に変数106が不安定であるかどうか、パラメータ110が第2の値126を有する場合に変数106が閾値を超えるかどうか、およびパラメータ110が値160を有する場合に変数106が閾値を超えるかどうかを決定する。パラメータ110が値160を有する場合に変数106が不安定であること、パラメータ110が第2の値126を有する場合に変数106が不安定であること、パラメータ110が値160を有する場合に変数106が閾値を超えること、およびパラメータ110が第2の値126を有する場合に変数106が閾値を超えることを決定すると、パラメータ110の値126、および160にそれぞれ1つずつ、2つのイベントが発生したことを決定する。さらにこの例では、パラメータ110が値160を有する場合に変数106が不安定であること、およびパラメータ110が値160を有する場合に変数106が閾値を超えること、ならびにパラメータ110が第2の値126を有する場合に変数106が安定であること、およびパラメータ110が第2の値126を有する場合に変数106が閾値を超えないこと、を決定すると、パラメータが値160を有する場合に1つのイベントが発生したことを決定する。
操作160では、イベントの数が限界を超えるかどうかを決定する。例えば、イベントの数が2、3、4、6、または別の正の整数を超えるかどうかを決定する。イベントの数が限界を超えないことを決定するのに対応して、操作164では、パラメータ110の更なるチューニングを中止する。例えば、操作158で達成された1つまたは複数の他の値は、パラメータ110の1つまたは複数の追加の値へと、さらに変化することはなく、これによってパラメータ110の更なるチューニングを中止する。
操作165では、操作158で達成された他の値のうちの1つをプラズマシステムに適用する。例えば、操作158で達成された他の値のうちの1つを、A MHz RF電源のDSPに供給する。別の例としては、操作158で達成された他の値のうちの1つを、モータシステムに供給する。さらに別の例としては、操作158で達成された他の値のうちの1つを、インピーダンスマッチング回路に供給する。操作165で供給されるパラメータ110の値は、イベントの数が限界を超えないような値である。ある実施形態では、操作165で供給されるパラメータ110の値は、イベントの数が限界を超えるような値である。いくつかの実施形態では、操作165の間、操作158で達成される他の値のうちの1つを供給する代わりに、操作165では、極小値114が達成される第1の値124が供給される。
操作165で供給されるパラメータ110の値を受信するとすぐに、プラズマシステムはこの値を適用する。例えば、DASはこの値を有するRF信号を生成し、伝送ラインを介してこのRF信号をプラズマチャンバに供給する。別の例としては、モータシステムは、インピーダンスマッチング回路内のキャパシタのプレートを移動させてある静電容量を達成し、これは操作165の値の一例である。さらに別の例としては、モータシステムはインピーダンスマッチング回路内のインダクタを通って延在する鉄心の長さを変化させてあるインダクタンスを達成し、これは操作165の値の一例である。別の例としては、ホストシステムはインピーダンスマッチング回路内のインダクタを通って流れる電流量を変化させてあるインダクタンスを達成し、これは操作165の値の一例である。別の例としては、ホストシステムはインピーダンスマッチング回路を制御してある周波数で動作させ、この周波数は操作165の値の一例である。さらに別の例としては、モータシステムはインピーダンスマッチング回路内のキャパシタのプレートを移動させてある静電容量を達成し、インピーダンスマッチング回路のインダクタはあるインダクタンスを達成するよう制御され、および/またはホストシステムはA MHz RF電源を介してインピーダンスマッチング回路を制御してある周波数で動作させる。なお、本例では、インダクタンス、静電容量、および周波数の組み合わせが、操作165の値の一例である。操作165の後、方法150を繰り返す。
イベントの数が限界を超えることを決定すると、操作162において、パラメータ110をチューニングする経路の通過方向166を、方向168へと反転させる。一実施形態では、上記通過が方向168に沿っている場合、この方向の反転を実施して方向166を達成する。方向166を反転すると、方法150を繰り返して、方向168においてパラメータ110をチューニングする。
パラメータ110の値は、値127に達するまで方向166に減少し、その後方向166に増加する。例えば、値125、124、126、160、および127は、大きい値から小さい値へと降順に並んでいる。同様に、パラメータ110の値は、値127に達するまで方向168に減少し、値127から値125まで増加する。
一実施形態では、方向166においてパラメータ110の値はまずに増加し、続いて減少し、また方向168においてパラメータ110の値はまず増大し、続いて減少する。
なお一実施形態では、方法150は基板の処理中に実行される。
方法129、136、および150を方向166に関して説明したが、一実施形態では、方法129、136、および150を、経路に沿って、方向166とは反対方向である方向168において実行できることに留意されたい。
各方法129、136、および150は、1つまたは複数のプロセッサ、例えばホストシステムのプロセッサ、A MHz RF電源のDSP、またはホストシステム190のプロセッサとDSPとの組み合わせによって実行されることに留意されたい。方法129、136、および150の操作について具体的な順序で説明したが、これらの操作の間に他の準備動作を実施してよく、またはこれらの操作を、これらがわずかに異なる時に起こるよう調整してよく、もしくはこれらの操作をシステム内に分散させてよく、これによって、オーバレイ操作の処理が所望の様式で実施される限りにおいて、処理に関連する様々な間隔で処理操作を発生させることができる、ということを理解するべきである。
図5は、パラメータ110をチューニングするためのプラズマシステム172の実施形態のブロック図である。プラズマチャンバ110は、下側電極196、上側電極194、および他の部品(図示せず)、例えば上側電極194を取り囲む上側絶縁リング、上側絶縁リングを取り囲む上側電極延長部、下側電極196を取り囲む下側絶縁リング、下側絶縁リングを取り囲む下側電極延長部、上側プラズマ排除領域(plasma exclusion zone:PEZ)リング、下側PEZリング等を含む。上側電極194は、下側電極196の反対側に、これに対面するよう配置される。例えば半導体ウエハ、電子構成部品を有する半導体ウエハ等である基板130は、下側電極196の上側表面197上に支持される。下側電極196は、例えば陽極酸化アルミニウム、アルミニウム合金等の金属製である。また上側電極194は、例えばアルミニウム、アルミニウム合金等の金属製である。
例えば特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)等の集積回路を基板132上に形成し、この集積回路を例えば携帯電話、タブレット、スマートフォン、コンピュータ、ラップトップ、ネットワーク機器等の広範な電子デバイスに使用する。集積回路は、例えばトランジスタ、抵抗、キャパシタ、論理ゲート、記憶デバイス、プロセッサ等の電気部品からなる。
一実施形態では、上側電極194は中央ガス給送(図示せず)に結合される孔を含む。中央ガス給送は、ガス供給源(図示せず)から1つまたは複数のプロセスガスを受けとる。プロセスガスの例としては、O2等の酸素含有ガスが挙げられる。プロセスガスの他の例としては、例えばテトラフルオロメタン(CF4)、六フッ化硫黄(SF6)、ヘキサフルオロエタン(C26)等のフッ素含有ガスが挙げられる。上側電極194は接地接続される。下側電極196は、インピーダンスマッチング回路192を介して、例えばA MHz RF電源であるMHzRF電源174に結合される。
上側電極194と下側電極196との間にプロセスガスが供給されると、およびRF電源174のDAS186が電力を生成して、インピーダンスマッチング回路192を介してこの電力を下側電極196に供給すると、プロセスガスは着火され、プラズマチャンバ110内でパルスプラズマ102が生成される。例えば、DAS186は、プロセスガスに着火してプラズマ102を生成するために、電力を生成して、インピーダンスマッチング回路192を介してこの電力を供給する。以下で説明するように、下側電極196に供給されるRF信号が2つの状態S1、およびS0の間で変化すると、プラズマ102はパルスを与えられる。
インピーダンスマッチング回路は、インピーダンスマッチング回路に結合された電源のインピーダンスを、インピーダンスマッチング回路に結合された負荷のインピーダンスに適合させるための、例えば電気回路構成部品、インダクタ、キャパシタ等の電気部品を含む。例えば、インピーダンスマッチング回路192は、RF電源174の1つ、もしくは複数の部分(例えばDAS186等)、および/または伝送ライン108のインピーダンスを、プラズマチャンバ110の1つ、もしくは複数の部分(例えばプラズマ102、部品等)のインピーダンスに適合させる。RF電源174、伝送ライン108、またはこれらの組み合わせは電源の例であり、プラズマチャンバ110は負荷の例である。電源と負荷との間のインピーダンスの適合は、電力が負荷から電源へ反射する可能性を低減する。
ホストシステム190は、デジタル信号プロセッサ(DSP)180に結合される。ホストシステム190は、2つ以上の状態を有するデジタルパルス化信号185を生成し、これをDSP180に供給する。ホストシステム190の例としては、プロセッサ、コンピュータ、コントローラ等が挙げられる。一実施形態では、デジタルパルス化信号185は、トランジスタ‐トランジスタ-論理(TTL)信号である。状態の例としては、オン状態、およびオフ状態、デジタル値1を有する状態、およびデジタル値0を有する状態、ハイ状態、およびロー状態等が挙げられる。
別の実施形態では、ホストシステム190の代わりに、例えば水晶発振器であるクロック発振器を用いてアナログクロック信号を生成し、アナログ-デジタルコンバータを用いて、このアナログクロック信号をデジタルパルス化信号185と同様のデジタル信号に変換する。
デジタルパルス化信号185をDSP180に送信する。DSP180はデジタルパルス化信号185を受信し、デジタルパルス化信号185の状態を識別する。例えば、DSP180は、デジタルパルス化信号185が第1の一連の期間において第1の大きさの値、例えば値1、ハイ状態の値等を有し、第2の一連の期間において第2の大きさの値、例えば値0、ロー状態の値等を有することを決定する。DSP180は、デジタルパルス化信号185が第1の一連の期間において状態S1を有し、第2の一連の期間において状態S0を有することを決定する。状態S0の例としては、ロー状態、値0を有する状態、およびオフ状態があげられる。状態S1の例としては、ハイ状態、値1を有する状態、およびオン状態が挙げられる。さらに別の例としては、DSP180は、デジタルパルス化信号185の値の大きさを予め記憶された値と比較して、第1の一連の期間においてデジタルパルス化信号185の値の大きさがこの予め記憶された値より大きく、第2の一連の期間においてデジタルパルス化信号185の値の大きさがこの予め記憶された値以下であることを決定する。クロック発振器を使用する実施形態では、DSP180はクロック発振器からアナログクロック信号を受信し、このアナログ信号をデジタル形式に変換し、続いて2つの状態S0、およびS1を識別する。
いくつかの実施形態では、デジタルパルス化信号185の状態を識別する代わりに、変数106に基づいてプラズマインピーダンスの状態を識別する。例えば、センサ188は伝送ライン108上の情報を測定し、この情報をDSP180に供給する。DSP180は、センサ188から受信したこの情報に基づいて変数106を算出する。変数106の値が予め記憶された値を超える場合、プラズマ102の状態はS1であり、変数106の値が予め記憶された値を超えない場合、プラズマ102の状態はS0である。
状態がS1であると識別される場合、DSP180は電力値P1、および/または周波数値F1をパラメータ制御176に供給する。さらに、状態がS0であると識別される場合、DSP180は電力値P0、および/または周波数値F0をパラメータ制御178に供給する。周波数をチューニングするために使用されるパラメータ制御の例としては、自動周波数チューナ(AFT)が挙げられる。
なお、パラメータ制御176、パラメータ制御178、およびDSP180は、制御システム187の一部である。例えば、パラメータ制御176、およびパラメータ制御178は、例えば調整ループである論理ブロックであり、これはDSP180が実行するコンピュータプログラムの一部である。いくつかの実施形態では、コンピュータプログラムは非一時的コンピュータ可読媒体、例えば記憶デバイス内で実現される。記憶デバイスの例としては、読み出し専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、またはこれらの組み合わせが挙げられる。即ち、記憶デバイスとしては、ハードディスク、フラッシュメモリ、独立した複数のディスクの冗長アレイ等が挙げられる。
ある実施形態では、パラメータ制御の代わりに、例えばハードウェアコントローラ、ASIC、PLD等のコントローラを用いる。例えば、パラメータ制御176の代わりに1つのコントローラを使用し、パラメータ制御178の代わりに別のコントローラを使用する。
電力値P1、および/または周波数値F1を受信するとすぐに、パラメータ制御176は電力値P1、および/または周波数値F1をDAS188のドライバ182に供給する。ドライバの例としては、電力ドライバ、電流ドライバ、電圧ドライバ、トランジスタ等が挙げられる。ドライバ182は、電力値P1、および/または周波数値F1を有するRF信号を生成し、このRF信号をDAS186の増幅器184に供給する。
一実施形態では、ドライバ182は、電力値P1の関数である駆動電力値を有する、および/または周波数値F1の関数である駆動周波数値を有するRF信号を生成する。例えば、駆動電力値は、例えば1〜5ワットである数ワットの電力値P1内にあり、駆動周波数値は、例えば1〜5Hzである数Hzの周波数値F1内にある。
増幅器184は、電力値P1、および/または周波数値F1を有するRF信号を増幅し、ドライバ182から受信したRF信号に対応するRF信号120を生成する。例えば、RF信号120は、電力値P1より高い電力量を有する。別の例としては、RF信号120は電力値P1と同一の電力量を有する。RF信号120は、伝送ライン108、およびマッチング回路192を介して下側電極197に伝送され、これによってプラズマ102のインピーダンスを制御する。
パラメータ制御176によって電力値P1、および/または周波数値F1がDAS186に供給され、RF信号120が生成されると、センサ188は伝送ライン108上で情報を感知する。センサ188はこの情報を制御システム187に送り、制御システム187はこの情報をアナログ形式からデジタル形式に変換する。制御システム187は、状態S1の間、センサ188から情報を受信し、この情報をホストシステム190に供給する。ホストシステム190は、状態S1の間、センサ188から情報を受信し、方法129(図2)、方法136(図3)、および/または方法150(図4)を実行する。例えば、ホストシステム190のプロセッサは、状態S1の間に測定された情報を受信するとすぐに、例えば方法129、方法136、方法150等の変数安定性ルーチン181(variable stability routine:VSR)を実行する。
同様に、電力値P0、および/または周波数値F0を受信するとすぐに、パラメータ制御178は電力値P0、および/または周波数値F0をドライバ182に供給する。ドライバ182は、電力値P0、および/または周波数値F0を有するRF信号を生成し、このRF信号を増幅器184に供給する。
一実施形態では、ドライバ182は、電力値P0の関数である駆動電力値を有する、および/または周波数値F0の関数である駆動周波数値を有するRF信号を生成する。例えば、駆動電力値は、例えば1〜5ワットである数ワットの電力値P0内にあり、駆動周波数値は、例えば1〜5Hzである数Hzの周波数値F0内にある。
増幅器184は、電力値P0、および/または周波数値F0を有するRF信号を増幅し、ドライバ182から受信したRF信号に対応するRF信号121を生成する。例えば、RF信号121は、電力値P1より高い電力量を有する。別の例としては、RF信号121は電力値P1と同一の電力量を有する。RF信号121は、伝送ライン108、およびマッチング回路192を介して下側電極197に伝送され、これによってプラズマ102のインピーダンスを制御する。
パラメータ制御176によって電力値P0、および/または周波数値F0がDAS186に供給され、RF信号121が生成されると、センサ188は伝送ライン108上で情報を感知する。センサ188はこの情報を制御システム187に送り、制御システム187はこの情報をアナログ形式からデジタル形式に変換する。制御システム187は、状態S0の間、センサ188から情報を受信し、センサ188から情報を受信し、方法129(図2)、方法136(図3)、または方法150(図4)を実行する。なお、方法129、136、および150は、デジタルパルス化信号185、またはプラズマ120のいずれかである状態中に実行される。例えば、ホストシステム190のプロセッサは、状態S0の間に測定された情報を受信するとすぐに、例えばVSR181を実行する。
なお、一実施形態では、値P1、P0、F1、およびF0は方法129、136、または150の実行に応じて決定される。
ホストシステム190は、モータシステム191にパラメータ110の値(図1)を供給し、ここでモータシステム191は1つまたは複数の電気モータを含み、電気モータは電気エネルギを機械エネルギに変換する。モータシステム191は、インピーダンスマッチング回路192内の電気部品を動作させ(例えば移動させ)、パラメータ110のこの値を達成する。例えば、モータシステム191はキャパシタのプレートを移動させて、キャパシタのある静電容量を達成する。別の例としては、モータシステム191はインピーダンスマッチング回路192のインダクタ内の鉄心を移動させて、インダクタのあるインダクタンスを達成する。いくつかの実施形態では、インピーダンスマッチング回路のインダクタのインダクタンスを変化させるためにモータシステム191を使用する代わりに、ホストシステム190を用いてインピーダンスマッチング回路192のインダクタに供給される電流量を変化させて、インダクタのあるインダクタンスを達成する。ホストシステム190は、RF電源174を介してインピーダンスマッチング回路192を制御して、これをある周波数、例えば高周波数で動作させる。この周波数はパラメータ110の一例である。
方法129、136、および150をA MHz RF電源に関して説明したが、様々な実施形態では、方法129、136、および150は、いずれの数のRF電源、例えば2つ、または3つのRF電源を使用することに留意されたい。例えば、プラズマシステムは2MHzRF電源、27MHzRF電源、および60MHzRF電源を含んでよい。この例では、いくつかの実施形態では、他の周波数、例えば3MHz、30MHz、および65MhzのRF電源を使用してよい。例えば、プラズマシステムは3MHzRF電源、30MHzRF電源、および65MHzRF電源を含んでよい。また、上記の数2、27、および60は2、27、および60に限定されない。例えば、2MHzRF電源は、1.8〜2.2MHzの範囲の周波数を有するRF信号を生成する。
さらに、センサ188はRF電源174の出力189に結合されるが、複数の実施形態では、例えばセンサ188、別のセンサ等のセンサを、インピーダンスマッチング回路192の入力193に結合してよい。入力193に結合されるセンサは、入力193において電圧、および電流を測定し、この電圧、および電流を用いて、入力193において電源インピーダンスを決定する。入力193に結合される他のセンサは、測定した情報を制御システム187に供給し、この制御システム187は、方法129、136、または150を実行するためにこの情報をホストシステム190に送信する。
図6は、供給電力201が変化した場合に反射係数207が変化することを示すグラフ200の実施形態である。グラフ200は、供給電力201をy軸上に、x軸上の時間に対してプロットしたものである。グラフ200はまた、反射係数207をy軸上に、x軸上の時間に対してプロットしたものである。図示したように、反射係数270は供給電力201を変化させることによって制御できる。
図7は、変数106の不安定性がパラメータ110の値の変化の関数であること、およびパラメータ110をチューニングすることによって変数106を制御できることを示すグラフ202、および204の実施形態を示す。グラフ202は、ある状態、例えば状態S0に関して、RF信号の周波数をy軸上に、x軸上の時間に対してプロットしたものであり、グラフ204は、上記状態に関して、RF信号の電力をy軸上に、x軸上の時間に対してプロットしたものである。グラフ202に示すように、周波数はある値、例えば59.45MHzを達成する。周波数がグラフ202に示す値である場合、変数106において不安定性が発生する。この不安定性は、グラフ204における順方向電力203、および反射電力205にも見られる。図示したように、変数106の安定性を制御するために周波数を制御できる。
図8は、RF信号の周波数の増大によって変数106の不安定性が増大することを示すグラフ206の実施形態である。グラフ206は、RF信号の様々なチューニング周波数に関して、プラズマ102に供給されるRF信号内の順方向電力(図5)をy軸上に、x軸上の時間に対してプロットしたものである。チューニング周波数が60.2MHzから60.8MHzに増大すると、変数106の不安定性は増大する。変数106の不安定性は、上記周波数を減少させることによって減少する。変数106の不安定性は、順方向電力の不安定性で示される。
図9〜図11は、RF信号の電力、および/または周波数をチューニングする際にガンマを制御できることを示すグラフ208、210、212、214、224、226、228、230、232、234、236、および238の実施形態である。各グラフ208、224、および232は、ガンマの虚数部分をy軸上に、x軸上のガンマの実数部分に対してプロットしたものである。さらに、各グラフ210、226、および234は、状態S1、およびS0の間のRF信号の供給電力をy軸上に、x軸上の時間に対してプロットしたものである。なお、ある実施形態では、用語「状態S1」、および「状態1」は相互交換可能に使用され、用語「状態S0」、および「状態0」は相互交換可能に使用される。
各グラフ212、228、および236は、RF信号の周波数をy軸上に、x軸上の時間に対してプロットしたものである。また、各グラフ214、230、および238は、実効電力設定点、およびRF駆動電力をy軸上に、x軸上の時間に対してプロットしたものである。グラフ208、224、および232が示すように、ガンマの変動はこのグラフの順に増大している。例えば、グラフ208にプロットされたガンマはグラフ224にプロットされたガンマより小さく、グラフ224にプロットされたガンマはグラフ232にプロットされたガンマより小さい。
グラフ208にプロットされたガンマを、状態1、および状態0のそれぞれの間に供給電力を用いて制御する。この供給電力をグラフ210に示す。さらに、グラフ208にプロットされたガンマを、状態1、および状態0のそれぞれの間にチューニング周波数を用いて制御する。このチューニング周波数をグラフ212に示す。
同様に、グラフ224にプロットされたガンマを、状態1、および状態0のそれぞれの間に供給電力を用いて制御する。この供給電力をグラフ226に示す。さらに、グラフ224にプロットされたガンマを、状態1、および状態0のそれぞれの間にチューニング周波数を用いて制御する。このチューニング周波数をグラフ228に示す。
また、グラフ232にプロットされたガンマを、状態1、および状態0のそれぞれの間に供給電力を用いて制御する。この供給電力をグラフ234に示す。さらに、グラフ232にプロットされたガンマを、状態1、および状態0のそれぞれの間にチューニング周波数を用いて制御する。このチューニング周波数をグラフ236に示す。
図12は、極小値が達成される供給電力を繰り返し制御できることを示すグラフ240、および242の実施形態を示す。この反復可能性により、基板102上で実施されるプロセスを制御できる(図5)。グラフ240は、供給電力信号SG1、SG2、およびSG3を時間に対してプロットしたものであり、またグラフ242は、供給電力信号SG4、SG5、およびSG6を時間に対してプロットしたものである。
図13は、ホストシステム190のある実施形態のブロック図である(図5)。ホストシステム190は、入力ハードウェア部(HU)250、出力HU252、入力/出力(I/O)インタフェース254、I/Oインタフェース256、ネットワークインタフェースコントローラ(NIC)258、バス260、プロセッサ262、および記憶HU264を含む。プロセッサ262、記憶HU264、入力HU250、出力HU252、I/Oインタフェース254、I/Oインタフェース、およびNIC258は、バス260を介して互いに結合される。入力HU250の例としては、マウス、キーボード、スタイラス等が挙げられる。出力HU252の例としては、ディスプレイ、スピーカー、またはこれらの組み合わせが挙げられる。ディスプレイは液晶ディスプレイ、発光ダイオードディスプレイ、陰極線管、プラズマディスプレイ等であってよい。NIC258の例としては、ネットワークインタフェースカード、ネットワークアダプタ等が挙げられる。記憶HUの例としては、記憶デバイス、ROM、RAM、またはこれらの組み合わせが挙げられる。例えば、記憶HUはフラッシュドライブ、記憶ディスクの冗長アレイ、ハードディスク等であってよい。
I/Oインタフェースの例としては、インタフェースに結合されたハードウェアの部品間に適合性を提供するインタフェースが挙げられる。例えば、I/Oインタフェース254は、入力HU250から受信した信号を、バス260と適合する形式、振幅、および/または速度に変換する。別の例としては、I/Oインタフェース256は、バス260から受信した信号を、出力HU252と適合する形式、振幅、および/または速度に変換する。
上述の実施形態は、RF信号を下側電極196に供給すること、および上側電極194を接地接続することに関するが、いくつかの実施形態では、RF信号は上側電極194に供給され、その一方で下側電極196が接地接続されることに留意されたい。
さらに、上述の実施形態は平行板プラズマチャンバに関して説明されているが、一実施形態では、上述の実施形態を、例えば誘導結合プラズマ(inductively coupled plasma:ICP)反応器を含むプラズマチャンバ、電子サイクロトロン共鳴(electron-cyclotron resonance:ECR)等の他のタイプのプラズマチャンバに適用することに留意されたい。例えば、A MHz RF電源を、ICPプラズマチャンバ内のインダクタに結合する。
本明細書で説明した実施形態は、携帯用デバイス、マイクロプロセッサシステム、マイクロプロセッサベースの、またはプログラム可能な一般消費者向け電子機器、ミニコンピュータ、メインフレームコンピュータ等を含む様々なコンピュータシステム構成を用いて実行できる。これらの実施形態はまた、分散コンピューティング環境でも実行でき、分散コンピューティング環境では、ネットワークを介してリンクしている複数の遠隔処理デバイスがタスクを実行する。
上述の実施形態について考えると、これらの実施形態は、コンピュータシステム内に記憶されたデータに関わる様々なコンピュータ実装動作を使用できることが理解されるだろう。これらの動作は、物理量の物理的操作を必要とするものである。本明細書で説明する、これらの実施形態の一部を形成する動作はいずれも、有用な機械的動作である。これらの実施形態はまた、これらの動作を実施するためのデバイス、または装置に関する。装置は専用コンピュータ用に特別に構成してよい。専用コンピュータとして定義される場合、コンピュータは、その特定目的の一部ではない他の処理、プログラム実行、またはルーチンも実施でき、その一方でその特定目的に関する動作も可能である。代替として、動作は、コンピュータメモリ、キャッシュに記憶された、またはネットワークを介して入手される1つまたは複数のコンピュータプログラムによって選択的に起動される、または構成される汎用コンピュータによって処理してよい。ネットワークを介してデータを入手する場合、このデータはネットワーク上、例えば計算リソースのクラウド上の他のコンピュータによって処理してよい。
1つまたは複数の実施形態を、コンピュータ可読媒体上のコンピュータ可読コードとして製作することもできる。コンピュータ可読媒体はデータを記憶できるいずれのデータ記憶HUであり、その後コンピュータシステムがこれを読むことができる。コンピュータ可読媒体の例としては、ハードドライブ、ネットワーク接続記憶装置(network attached storage:NAS)、ROM、RAM、コンパクトディスクROM(CD-ROM)、書き込み可能CD(CD-R)、書き換え可能CD(CD-RW)、磁気テープ、ならびに他の光学、および非光学データ記憶デバイスが挙げられる。コンピュータ可読媒体は、ネットワーク接続コンピュータシステムにわたって分散されたコンピュータ可読有形媒体を含んでよく、これによって分散形式でコンピュータ可読コードを記憶、および実行する。
本開示に記載した様々な実施形態において説明した範囲から逸脱することなく、いずれの実施形態からの1つまたは複数の特徴を、いずれの他の実施形態の1つまたは複数の特徴と組み合わせてよい。
上述の実施形態は、理解を容易にする目的で、いくつかの詳細について説明したが、添付の請求項の範囲内において特定の変更、および改変を実行できることは明らかであろう。従って、本明細書で説明した実施形態は、例示的なものであって限定的なものではないと考えるべきであり、これらの実施形態は、本明細書に挙げた詳細に限定されるものではなく、添付の請求項の範囲、および均等物の範囲内で改変してよい。

Claims (24)

  1. プラズマインピーダンスに関連するパラメータをチューニングするための方法であって、
    変数を決定するための情報を受信することであって、前記情報は伝送ラインにおいて測定され、前記情報は、前記パラメータが第1の値を有する時に測定され、前記伝送ラインを用いて、インピーダンスマッチング回路を介してプラズマチャンバに電力を供給する、受信と、
    前記変数が極小値であるかどうかを決定することと、
    前記変数が極小値であることを決定すると、前記インピーダンスマッチング回路をチューニングするために前記第1の値を提供することと、
    前記変数が極小値でないことを決定すると、前記第1の値を前記パラメータの第2の値に変更することと、
    前記パラメータが前記第2の値である場合に前記変数が極小値であるかどうかを決定することと、を含み、
    プロセッサによって実行される、方法。
  2. 請求項1に記載の方法であって、
    前記パラメータが第1の値を有する場合に前記変数が極小値であるかどうかを決定することは、
    前記パラメータが前記第1の値を有する場合に前記変数が閾値を超えるかどうかを決定すること、もしくは
    前記パラメータが前記第1の値を有する場合に前記変数が安定であるかどうかを決定すること、または
    これらの組み合わせ、を含む、方法。
  3. 請求項2に記載の方法であって、
    前記変数の振動が不足している場合に、前記変数は安定である、方法。
  4. 請求項2に記載の方法であって、
    前記変数が最小値と最大値との間で振動し、前記最小値と前記最大値との間の範囲が所定の範囲を超える場合、前記変数は不安定である、方法。
  5. 請求項1に記載の方法であって、
    前記方法は基板の処理中に実行され、
    前記方法は前記パラメータの学習値を用いることなく実施される、方法。
  6. 請求項5に記載の方法であって、
    前記基板は電子デバイス内に実装される、方法。
  7. 請求項1に記載の方法であって、
    前記パラメータは、周波数、静電容量、インダクタンス、またはこれらの組み合わせを含む、方法。
  8. 請求項1に記載の方法であって、
    前記情報は、電圧、電流、電力、またはこれらの組み合わせを含み、
    前記変数は、ガンマ、反射電力、複素電圧反射係数、プラズマインピーダンス、またはこれらの組み合わせを含む、方法。
  9. 請求項1に記載の方法であって、
    前記極小値は絶対最小値ではなく、
    前記絶対最小値はゼロワットの反射電力を含む、方法。
  10. 請求項1に記載の方法であって、
    前記プロセッサはホストシステム内にあり、
    前記ホストシステムは、高周波(RF)電源に結合され、
    前記RF電源は前記インピーダンスマッチング回路を介して前記プラズマチャンバに結合される、方法。
  11. プラズマインピーダンスに関連するパラメータをチューニングするための方法であって、
    変数を決定するための情報を受信することであって、前記情報は伝送ラインにおいて測定され、前記情報は、前記パラメータが第1の値を有する時に測定され、前記伝送ラインを用いて、インピーダンスマッチング回路を介してプラズマチャンバに電力を供給する、受信と、
    前記変数が極小値であるかどうかを決定することと、
    前記変数が極小値であることを決定すると、高周波(RF)信号を生成するために前記第1の値を供給することであって、前記RF信号は前記第1の値に対応し、前記RF信号は前記プラズマチャンバへ送信されるようになっている、供給することと、
    前記変数が極小値でないことを決定すると、前記第1の値を前記パラメータの第2の値に変更することと、
    前記パラメータが前記第2の値である場合に前記変数が極小値であるかどうかを決定することと、を含み、
    プロセッサによって実行される、方法。
  12. 請求項11に記載の方法であって、
    前記パラメータが第1の値を有する場合に前記変数が極小値であるかどうかを決定することは、
    前記パラメータが前記第1の値を有する場合に前記変数が閾値を超えるかどうかを決定すること、もしくは
    前記パラメータが前記第1の値を有する場合に前記変数が安定であるかどうかを決定すること、または
    これらの組み合わせ、を含む、方法。
  13. 請求項11に記載の方法であって、
    前記情報は、電圧、電流、電力、またはこれらの組み合わせを含み、
    前記変数は、ガンマ、反射電力、複素電圧反射係数、プラズマインピーダンス、またはこれらの組み合わせを含む、方法。
  14. プラズマインピーダンスに関連するパラメータをチューニングするための方法であって、
    変数を決定するための情報を受信することであって、前記情報は伝送ラインにおいて測定され、前記情報は、前記パラメータが第1の値を有する時に測定され、前記伝送ラインを用いて、インピーダンスマッチング回路を介してプラズマチャンバに電力を供給する、受信と、
    前記パラメータが前記第1の値である場合に前記変数が極小値であるかどうかを決定することと、
    前記変数が極小値であることを決定すると、前記パラメータの前記第1の値が学習値の限界範囲内であるかどうかを決定することであって、前記学習値は学習ルーチン中に決定される、決定と、
    前記パラメータの前記第1の値が前記限界範囲内であることを決定すると、高周波(RF)信号を生成するため、または前記インピーダンスマッチング回路をチューニングするために、前記学習値を供給することと、
    前記パラメータが前記限界範囲外の前記第1の値を有することを決定すると、前記第1の値を前記パラメータの前記第2の値に変更することと、を含み、
    プロセッサによって実行される、方法。
  15. 請求項14に記載の方法であって、
    前記パラメータが第1の値を有する場合に前記変数が極小値であるかどうかを決定することは、
    前記パラメータが前記第1の値を有する場合に前記変数が閾値を超えるかどうかを決定することと、
    前記パラメータが前記第1の値を有する場合に前記変数が安定であるかどうかを決定することと、を含む、方法。
  16. 請求項15に記載の方法であって、
    前記変数が最小値と最大値との間で振動し、前記最小値と前記最大値との間の範囲が所定の範囲を超える場合、前記変数は不安定である、方法。
  17. 請求項16に記載の方法であって、
    前記変数の前記振動が不足している場合、前記変数は安定である、方法。
  18. 請求項15に記載の方法であって、
    基板の処理中に実行される、方法。
  19. 請求項18に記載の方法であって、
    前記基板は電子デバイス内に実装される、方法。
  20. プラズマインピーダンスに関連するパラメータをチューニングするための方法であって、
    変数を決定するための情報を受信することであって、前記情報は伝送ラインにおいて測定され、前記情報は、前記パラメータが第1の値を有する時に測定され、前記伝送ラインを用いて、インピーダンスマッチング回路を介してプラズマチャンバに電力を供給する、受信と、
    前記パラメータが前記第1の値である場合に達成される、前記変数の極小値を決定することと、
    前記第1の値を前記パラメータの1つまたは複数の他の値に変更することによって、前記パラメータをチューニングすることと、
    前記パラメータが前記1つまたは複数の他の値を有する場合に前記変数が不安定となるイベントの数を決定することと、
    前記イベントの数が限界を超えるかどうかを決定することと、
    前記イベントの数が前記限界を超えることを決定すると、前記パラメータのチューニングの方向を反転することと、
    前記イベントの数が前記限界を超えないことを決定すると、前記パラメータの更なるチューニングを中止することと、を含み、
    プロセッサによって実行される、方法。
  21. 請求項20に記載の方法であって、
    前記変数の前記極小値を決定することは、
    前記パラメータが前記第1の値を有する場合に前記変数が閾値を超えないことを決定することと、および
    前記パラメータが前記第1の値を有する場合に前記変数が安定であることを決定すること
    を含む、方法。
  22. 請求項20に記載の方法であって、
    前記パラメータのチューニングの前記方向を反転することは、前記パラメータの値を増大させること、または前記パラメータの値を減少させることを含む、方法。
  23. 請求項20に記載の方法であって、
    前記方法は基板の処理中に実行され、
    前記方法は、前記パラメータの学習値に関する知識を用いることなく実行され、
    前記極小値は絶対最小値ではなく、
    前記プロセッサはホストシステム内にあり、前記ホストシステムは高周波(RF)電源に結合され、前記RF電源は前記インピーダンスマッチング回路を介して前記プラズマチャンバに結合される、方法。
  24. 請求項20に記載の方法であって、
    前記方法は基板の処理中に実行され、
    前記基板は電子デバイス内に実装される、方法。
JP2014003009A 2013-01-11 2014-01-10 プラズマインピーダンスに関連するパラメータのチューニング Active JP6404569B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/740,047 2013-01-11
US13/740,047 US9155182B2 (en) 2013-01-11 2013-01-11 Tuning a parameter associated with plasma impedance

Publications (3)

Publication Number Publication Date
JP2014146593A true JP2014146593A (ja) 2014-08-14
JP2014146593A5 JP2014146593A5 (ja) 2017-02-09
JP6404569B2 JP6404569B2 (ja) 2018-10-10

Family

ID=51145109

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014003009A Active JP6404569B2 (ja) 2013-01-11 2014-01-10 プラズマインピーダンスに関連するパラメータのチューニング

Country Status (6)

Country Link
US (3) US9155182B2 (ja)
JP (1) JP6404569B2 (ja)
KR (1) KR102304002B1 (ja)
CN (1) CN103926850B (ja)
SG (2) SG2014000905A (ja)
TW (1) TWI609406B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018536251A (ja) * 2015-10-13 2018-12-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理のためのrfパルス反射の低減
JP2020510959A (ja) * 2017-02-22 2020-04-09 ラム リサーチ コーポレーションLam Research Corporation 複数の状態で反射電力を低減するようにチューニングするためのシステムおよび方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9318304B2 (en) * 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
KR102021961B1 (ko) * 2014-01-13 2019-11-04 삼성전자주식회사 반도체 제조설비의 관리방법
CN105591629B (zh) * 2014-10-22 2018-01-26 中微半导体设备(上海)有限公司 自动频率调谐阻抗匹配的匹配频率的获取方法和装置
US9966231B2 (en) * 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
KR20170117312A (ko) * 2016-04-13 2017-10-23 램 리써치 코포레이션 무선 주파수 값들을 사용함으로써 상태 전이들 동안 반사된 전력을 감소시키기 위한 시스템들 및 방법들
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
CN108199742B (zh) * 2017-11-13 2020-12-01 深圳市万普拉斯科技有限公司 自调谐方法、自调谐系统及移动终端
JP6843273B2 (ja) * 2018-01-23 2021-03-17 株式会社Fuji プラズマ発生装置および情報処理方法
CN110299279B (zh) 2019-08-22 2019-11-12 中微半导体设备(上海)股份有限公司 一种射频电源系统、等离子体处理器及其调频匹配方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04299282A (ja) * 1991-03-28 1992-10-22 Japan Atom Energy Res Inst 高周波加熱装置
JPH0765993A (ja) * 1993-08-20 1995-03-10 Anelva Corp 有磁場マイクロ波放電反応装置
JP2003234340A (ja) * 2002-02-08 2003-08-22 Anelva Corp 高周波プラズマ処理方法及び高周波プラズマ処理装置
JP2006286254A (ja) * 2005-03-31 2006-10-19 Daihen Corp 高周波電源装置
JP2006310245A (ja) * 2005-02-25 2006-11-09 Daihen Corp 高周波電源装置および高周波電源の制御方法

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
SE468532B (sv) * 1990-06-17 1993-02-01 Kvaser Consultant Ab Anordning och foerfarande foer att i ett system styra ett organ
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
JP2888179B2 (ja) * 1995-08-24 1999-05-10 日本電気株式会社 アンテナ同調制御装置
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6110405A (en) 1997-09-15 2000-08-29 Wellman, Inc. Melt spinning colored polycondensation polymers
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
AU2002354459A1 (en) 2001-12-10 2003-07-09 Tokyo Electron Limited High-frequency power source and its control method, and plasma processor
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) * 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
CN100594577C (zh) 2005-06-10 2010-03-17 伯德技术集团股份有限公司 分析半导体等离子体生成系统中的功率通量的系统和方法
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
CN101374381B (zh) * 2007-08-20 2011-07-27 清华大学 实现射频阻抗匹配的方法及射频阻抗匹配系统
CN104174049B (zh) 2007-11-06 2017-03-01 克里奥医药有限公司 可调施放器组件以及等离子体灭菌设备
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
CN201226589Y (zh) * 2008-05-15 2009-04-22 重庆医科大学 一种超声压电换能器自动阻抗匹配器
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
KR101522251B1 (ko) 2008-09-22 2015-05-21 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
US8447255B2 (en) * 2008-10-28 2013-05-21 Sony Ericsson Mobile Communications Ab Variable impedance matching network and method for the same
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
CN102612864B (zh) 2009-11-19 2015-06-10 朗姆研究公司 用于控制等离子体处理系统的方法和装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
TWM432209U (en) * 2012-02-23 2012-06-21 Taiwan Carol Electronics Co Ltd Wireless microphone receiver
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
TWI623510B (zh) 2012-10-30 2018-05-11 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於高縱橫比氧化物蝕刻之氟碳分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04299282A (ja) * 1991-03-28 1992-10-22 Japan Atom Energy Res Inst 高周波加熱装置
JPH0765993A (ja) * 1993-08-20 1995-03-10 Anelva Corp 有磁場マイクロ波放電反応装置
JP2003234340A (ja) * 2002-02-08 2003-08-22 Anelva Corp 高周波プラズマ処理方法及び高周波プラズマ処理装置
JP2006310245A (ja) * 2005-02-25 2006-11-09 Daihen Corp 高周波電源装置および高周波電源の制御方法
JP2006286254A (ja) * 2005-03-31 2006-10-19 Daihen Corp 高周波電源装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018536251A (ja) * 2015-10-13 2018-12-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理のためのrfパルス反射の低減
JP2020510959A (ja) * 2017-02-22 2020-04-09 ラム リサーチ コーポレーションLam Research Corporation 複数の状態で反射電力を低減するようにチューニングするためのシステムおよび方法
JP7282037B2 (ja) 2017-02-22 2023-05-26 ラム リサーチ コーポレーション 複数の状態で反射電力を低減するようにチューニングするためのシステムおよび方法

Also Published As

Publication number Publication date
US9320127B2 (en) 2016-04-19
TW201443971A (zh) 2014-11-16
KR20140091498A (ko) 2014-07-21
JP6404569B2 (ja) 2018-10-10
US9155182B2 (en) 2015-10-06
US20140197731A1 (en) 2014-07-17
US20160189932A1 (en) 2016-06-30
CN103926850A (zh) 2014-07-16
SG2014000905A (en) 2014-08-28
KR102304002B1 (ko) 2021-09-23
US20150206717A1 (en) 2015-07-23
US9627182B2 (en) 2017-04-18
CN103926850B (zh) 2017-04-12
TWI609406B (zh) 2017-12-21
SG10201605648SA (en) 2016-08-30

Similar Documents

Publication Publication Date Title
JP6404569B2 (ja) プラズマインピーダンスに関連するパラメータのチューニング
JP6526377B2 (ja) エッジランピング
KR102130921B1 (ko) 전력 및 주파수의 상태 기반 조절
JP2014089945A5 (ja)
JP6374647B2 (ja) プラズマ処理装置
JP2014146593A5 (ja)
US10157729B2 (en) Soft pulsing
JP6400272B2 (ja) インピーダンスに基づいた電力および周波数の調整
TWI620471B (zh) 射頻傳輸模型之一變數値的決定
KR102223863B1 (ko) 이중 제어 모드
TWI599272B (zh) 根據三個或更多狀態之功率及頻率調整
KR102339317B1 (ko) Rf 임피던스 모델 기반 폴트 검출
TWI840373B (zh) 徑向蝕刻均勻度的主動控制之方法及系統
JP2024514105A (ja) プラズマシース特性を制御するためのシステムおよび方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170106

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170106

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170816

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170912

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180307

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180821

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180913

R150 Certificate of patent or registration of utility model

Ref document number: 6404569

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250