TWI609406B - 調諧與電漿阻抗有關之參數的方法 - Google Patents

調諧與電漿阻抗有關之參數的方法 Download PDF

Info

Publication number
TWI609406B
TWI609406B TW103101032A TW103101032A TWI609406B TW I609406 B TWI609406 B TW I609406B TW 103101032 A TW103101032 A TW 103101032A TW 103101032 A TW103101032 A TW 103101032A TW I609406 B TWI609406 B TW I609406B
Authority
TW
Taiwan
Prior art keywords
value
parameter
variable
tuning
plasma
Prior art date
Application number
TW103101032A
Other languages
English (en)
Other versions
TW201443971A (zh
Inventor
小約翰C 微寇爾
布萊佛J 琳戴克
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201443971A publication Critical patent/TW201443971A/zh
Application granted granted Critical
Publication of TWI609406B publication Critical patent/TWI609406B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/466Radiofrequency discharges using capacitive coupling means, e.g. electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Description

調諧與電漿阻抗有關之參數的方法
本實施例係關於判定在電漿阻抗能夠被控制時的參數,並涉及用於調諧與電漿阻抗有關之參數的設備、方法、及電腦程式。
電漿系統包含電漿腔室與射頻(radio frequency,RF)產生器。此產生器會產生RF信號,其提供電力至電漿腔室內的電極。此RF信號具有特性,例如,頻率及功率。隨著特性改變,電漿阻抗亦有變化。可以控制頻率及功率以控制電漿阻抗。
在這樣的背景下,本揭露內容中所描述的實施例因而出現。
本揭露內容的實施例提供了用於調諧與電漿阻抗有關之參數的裝置、方法、及電腦程式。應當理解的是,本實施例能夠以許多方式(例如,製程、設備、系統、裝置、或在電腦可讀取媒體上的方法)來加以實施。以下描述數個實施例。
在一實施例中,電漿系統被提供。在此電漿系統中,係基於變數之局部最小值而將參數(例如頻率、功率、電容值、電感值等等)調諧至一值。變數的範例包含在電漿系統之阻抗匹配電路之輸入端的來源阻抗、與電漿系統之電漿有關的伽瑪值、反射功率等等。局部最小值係基於當參數被給定一或更多值時變數的穩定性來判定,及/或基於當參數被給定一或更多值時變數是否超過臨界值來判定。當 使用局部最小值時可達成參數的值之快速的解析,且此解析能夠令人滿意地達成變數的合適值。舉例來說,相較於調諧參數以達到絕對最小值所需的時間,局部最小值的使用可減少調諧參數以達到局部最小值所需的時間。
在一實施例中,係描述用於調諧與電漿阻抗有關之參數的方法。該方法包含接收資訊以確定變數。該資訊係在傳輸線量測,且係當該參數具有第一值時進行量測。傳輸線係用以將電力經由阻抗匹配電路提供至電漿腔室。該方法更包含判定該變數是否係在局部最小值,及在判定該變數係在局部最小值後提供第一值以調諧阻抗匹配電路。該方法包含在判定該變數不在局部最小值後將該參數之第一值改變為第二值,及當該參數具有第二值時判定該變數是否係在局部最小值。該方法係藉由處理器來執行。
在一實施例中,係描述用於調諧與電漿阻抗有關之參數的方法。該方法包含接收資訊以確定變數。該資訊係在傳輸線量測,且係當該參數具有第一值時進行量測。傳輸線係用以將電力經由阻抗匹配電路提供至電漿腔室。該方法更包含判定該變數是否係在局部最小值,及在判定該變數係在局部最小值後提供第一值以產生射頻(radio frequency,RF)信號。該RF信號係對應於第一值且係待發送至電漿腔室。該方法包含在判定該變數不在局部最小值後將該參數之第一值改變為第二值,及當該參數具有第二值時判定該變數是否係在局部最小值。該方法係藉由處理器來執行。
在一實施例中,係描述用於調諧與電漿阻抗有關之參數的方法。該方法包含接收資訊以確定變數。該資訊係在傳輸線量測,且係當該參數具有第一值時進行量測。傳輸線係用以將電力經由阻抗匹配電路提供至電漿腔室。該方法亦包含當該參數具有第一值時判定該變數是否係在局部最小值,及在判定該變數係在局部最小值後判定該參數之第一值是否在經學習值的極限內。該經學習值係在學習例行程序期間被決定。該方法包含在判定該參數之第一值係在該極限內之後提供經學習值以產生射頻(radio frequency,RF)信號或調諧阻抗匹配電路。該方法包含在判定該變數具有在該極限之外的第一值之後將 該參數之第一值改變為第二值。該方法係藉由處理器來執行。
在一實施例中,係描述用於調諧與電漿阻抗有關之參數的方法。該方法包含接收資訊以確定變數。該資訊係在傳輸線量測,且係當該參數具有第一值時進行量測。傳輸線係用以將電力經由阻抗匹配電路提供至電漿腔室。該方法更包含判定該變數之局部最小值。當該參數具有第一值時,局部最小值被達成。該方法亦包含藉由將該參數之第一值改變為一或更多其他值來調諧該參數、當該參數具有該一或更多其他值時判定變數為不穩定的事件之數量、及判定事件之數量是否超過界限。該方法包含在判定事件之數量超過界限後,使該參數的調諧方向反轉,及在判定事件之數量並未超過界限後中斷該參數的進一步調諧。該方法係藉由處理器來執行。
在一實施例中,局部最小值不同於絕對最小值。
上述實施例的一些優點包括:尋找對應於變數之局部最小值的經調諧之參數,及以該經調諧之參數來控制製程。當變數為穩定及/或變數的值並未超過臨界值時,達到局部最小值。舉例來說,電漿腔室的電極係操作於一頻率及/或功率,該頻率及/或功率係對應於與電漿腔室內之電漿有關的伽瑪值之穩定性。作為另一範例,電漿腔室的電極係操作於一頻率及/或功率,該頻率及/或功率係對應於不超過臨界值的伽瑪值。作為又另一範例,係將阻抗匹配電路操作於一頻率、將阻抗匹配電路內的電容器之電容值改變、及/或將阻抗匹配電路內的電感器之電感值改變,以達到小於臨界值的反射功率之值。變數的穩定性及/或用以降低超過臨界值之可能性的變數之控制,有助於在電漿腔室內的基板上執行受控製程,例如,蝕刻、沉積、清潔等等。該製程係藉由以下步驟來控制:維持該參數對應於穩定及/或不超過臨界值的變數。
此外,上述實施例的優點包括:花費比尋找變數之絕對最小值所費時間還要少的時間來尋找局部最小值。尋找絕對最小值比尋找局部最小值更花時間。舉例來說,參數將會更往路徑底端來作改變,以在發現局部最小值後達到絕對最小值。該改變會比尋找局部最小值更花時間。
此外,上述實施例的優點包括:藉由使用在學習例行程序期間所學習的參數之值來調整經調諧之參數,以達成與電漿有關之變數中的較佳穩定性、及/或達成變數不超過臨界值的較高可能性。當在基板上進行製程期間,達到該經調諧之參數。舉例來說,係調諧該經調諧之參數,以達成變數中的穩定性,及/或降低參數超過臨界值的可能性。在此範例中,該經調諧之參數被進一步調整,以達到參數的經學習值。經學習值係為:有助於達到比在具有經調諧之參數的製程期間所達成者還要高程度之穩定性的值,及/或比使用經調諧之參數所完成者還更有能力使參數超過臨界值之可能性降低的值。
上述實施例的優點包括:在判定是否改變參數的調諧方向之過程中,不必考慮未超過界限的電漿內之事件之數量。舉例來說,當調諧期間事件之數量超過界限時,在基板處理期間參數被調諧的方向會改變,而當事件之數量未超過界限時該方向不會改變。若事件之數量並未超過界限,則事件的發生係為與電漿有關之變數之不穩定性的脫序表現,且在判定此方向是否要被反轉的過程中,並不考慮此脫序表現。另一方面,若事件之數量超過界限,則事件的發生顯示出變數中的不穩定性,且此方向會被反轉。
由以下之詳細說明並結合所附圖式,其他態樣將可變得更加清楚明白。
106‧‧‧變數
110‧‧‧參數
114‧‧‧局部最小值
116‧‧‧絕對最小值
124‧‧‧第一值
125‧‧‧值
126‧‧‧第二值
127‧‧‧值
160‧‧‧值
166‧‧‧方向
168‧‧‧方向
170‧‧‧曲線圖
129‧‧‧方法
136‧‧‧方法
104、112、118、122、138、140‧‧‧操作
150‧‧‧方法
154、156、158、159、162、164、165‧‧‧操作
102‧‧‧電漿
108‧‧‧傳輸線
109‧‧‧電漿腔室
120‧‧‧RF信號
121‧‧‧RF信號
130‧‧‧基板
172‧‧‧電漿系統
174‧‧‧RF產生器
176‧‧‧參數控制
178‧‧‧參數控制
180‧‧‧數位信號處理器(DSP)
181‧‧‧變數穩定例行程序(VSR)
182‧‧‧驅動器
184‧‧‧放大器
185‧‧‧數位脈衝信號
186‧‧‧驅動器及放大器系統(DAS)
187‧‧‧控制系統
188‧‧‧感測器
189‧‧‧輸出端
190‧‧‧主機系統
191‧‧‧馬達系統
192‧‧‧(阻抗)匹配電路
193‧‧‧輸入端
194‧‧‧上電極
196‧‧‧下電極
197‧‧‧上表面
200‧‧‧曲線圖
201‧‧‧輸出功率
207‧‧‧反射係數
202、204‧‧‧曲線圖
203‧‧‧前向功率
205‧‧‧反射功率
206‧‧‧曲線圖
208、210、212、214‧‧‧曲線圖
224、226、228、230‧‧‧曲線圖
232、234、236、238‧‧‧曲線圖
240、242‧‧‧曲線圖
250‧‧‧輸入HU
252‧‧‧輸出HU
254‧‧‧I/O介面
256‧‧‧I/O介面
258‧‧‧網路介面控制器(NIC)
260‧‧‧匯流排
262‧‧‧處理器
264‧‧‧儲存HU
SG1、SG2、SG3、SG4、SG5、SG6‧‧‧輸出功率信號
實施例可以藉由參照以下描述並結合所附圖式而被最有效地理解。
圖1係依據本揭露內容中所描述之實施例的曲線圖之圖,該曲線圖係用以說明用於調諧與電漿阻抗有關之參數的各種方法。
圖2係依據本揭露內容中所描述之實施例,用於決定一經調諧之參數的方法的流程圖。
圖3係依據本揭露內容中所描述之實施例,使用學習例行程序以決定經調諧之參數的方法的流程圖。
圖4係依據本揭露內容中所描述之實施例,用於判定是 否要改變參數之調諧方向的方法的流程圖。
圖5係依據本揭露內容中所描述之實施例,用於調諧參數的系統的方塊圖。
圖6係依據本揭露內容中所描述之實施例的曲線圖,該曲線圖說明當傳遞至電漿腔室的功率改變時反射係數發生變化。
圖7係依據本揭露內容中所描述之實施例而顯示曲線圖以說明變數中的不穩定性係為參數之值之變化的函數。
圖8係依據本揭露內容中所描述之實施例的曲線圖,該曲線圖說明變數中的不穩定性可藉由降低射頻(radio frequency,RF)信號的頻率來控制。
圖9係依據本揭露內容中所描述之實施例而顯示曲線圖以說明當RF信號的功率及/或頻率被調諧時可控制伽瑪值。
圖10係依據本揭露內容中所描述之實施例而顯示曲線圖以說明當RF信號的功率及/或頻率被調諧時可控制伽瑪值。
圖11係依據本揭露內容中所描述之實施例而顯示曲線圖以說明當RF信號的功率及/或頻率被調諧時可控制伽瑪值。
圖12係依據本揭露內容中所描述之實施例而顯示曲線圖以說明在達到局部最小值時之輸出功率能夠被反覆地控制。
圖13係依據本揭露內容中所描述之實施例,圖5之系統之主機系統的方塊圖。
以下的實施例係描述用於調諧與電漿阻抗有關之參數的系統及方法。顯而易見的是,本實施例可在缺少某些或全部這些具體細節的情況下被實施。在其他情況下,眾所周知的製程操作未曾被詳述,以免不必要地混淆本實施例。
圖1係用以說明用於調諧與電漿阻抗有關之參數的各種方法之曲線圖170的實施例之圖。曲線圖170將參數110對時間作圖,時間係以例如秒、分等之單位來量測。參數110的範例包括頻率、電容值、電感值、功率、或其組合。頻率的範例包括由RF產生器所產生的射頻 (radio frequency,RF)信號之頻率。RF信號被提供至阻抗匹配電路的電子元件,例如,電容器、電感器等等。在某些實施例中,係在RF產生器的輸出端量測反射及前向功率。
曲線圖170還將變數106對時間作圖。變數106的範例包括伽瑪值(gamma)、反射功率、複數電壓(complex voltage)反射係數Γ、輸出功率、前向功率、電壓駐波比(voltage standing wave ratio,VSWR)、在阻抗匹配電路之輸入端的來源阻抗等等。應當注意的是,在本文中,該複數電壓反射係數Γ有時會被稱為反射係數。伽瑪值的範例包括反射功率與前向功率之間的關係,例如,反射功率對前向功率之比值。輸出功率的範例為前向功率與反射功率之間的差。前向功率為由射頻(radio frequency,RF)產生器所產成並經由傳輸線傳遞至電漿腔室的功率。反射功率係為由電漿腔室反射而朝向RF產生器的功率。
圖2係用於決定經調諧之參數的方法129之實施例的流程圖。方法129係由電漿系統的一或更多處理器來執行,該電漿系統的一或更多處理器例如為RF產生器的處理器、主機系統的處理器等等。處理器的範例包括特殊應用積體電路(application specific integrated circuit,ASIC)、可程式化邏輯元件(programmable logic device,PLD)、微處理器、微控制器、中央處理單元(central processing unit,CPU)等等。RF產生器係為A兆赫(MHz)RF產生器。該A MHz RF產生器及主機系統係在下面作進一步描述。
圖2係參照圖1的曲線圖170來理解。在操作104中,資訊被接收以確定變數106。該資訊係在傳輸線量測。該資訊的範例包括前向功率、反射功率、電壓、電流、或其組合。該資訊係以耦接於傳輸線的感測器來量測。
當參數110具有第一值124(圖1)時,量測該資訊。舉例來說,在A MHz RF產生器經由傳輸線將RF信號提供至電漿腔室時,感測器量測前向及反射功率,該RF信號具有P千瓦(kilowatts,kW)的功率值及/或F MHz的頻率,在此A、P、及F均為大於零的實數。A MHz的範例包括2MHz、27MHz、及60MHz。P的範例包括P1及P0,其將 於下文說明。此外,F的範例包括F1及F0,其亦將於下文說明。在某些實施例中,A MHz係與F MHz相同。作為量測資訊的另一範例,在A MHz RF產生器的處理器提供功率及/或頻率值至A MHz RF產生器的驅動器及放大器系統(driver and amplifier system,DAS)以允許DAS產生RF信號的同時,感測器量測傳輸線上的電壓及電流或前向及反射功率,該RF信號具有與該功率及頻率值對應(例如,相同於、為其放大值等等)的值。
應當注意的是,一或更多感測器係在A MHz RF產生器的輸出端或在經由傳輸線耦接於A MHz RF產生器的阻抗匹配電路之輸入端量測資訊。例如,該一或更多感測器係在A MHz RF產生器的輸出端量測前向功率及反射功率、在阻抗匹配電路的輸入端量測電壓及電流、在A MHz RF產生器的輸出端量測電壓及電流等等。在阻抗匹配電路的輸入端所量測到的電壓及電流係用於計算在阻抗匹配電路之輸入端的來源阻抗。該計算係藉由電漿系統的一或更多處理器來執行,其將於下文說明。在A MHz RF產生器之輸出端的前向及反射功率係用於計算輸出功率。該計算係藉由電漿系統的一或更多處理器來執行。
變數106係由該資訊所決定。舉例來說,係計算在傳輸線所量測到之電壓及電流的比值。作為另一範例,係計算在傳輸線所量測到之反射及前向功率的比值。作為又另一範例,係計算前向功率與反射功率之間的差以決定在A MHz RF產生器之輸出端的輸出功率。作為另一範例,係計算在阻抗匹配電路之輸入端的來源阻抗。作為另一範例,係計算複數電壓反射係數Γ。
在操作112中,係當該參數具有第一值124(其係為在狀態S1或狀態S0期間的值)時,判斷變數106是否係在局部最小值114。例如,係當該參數具有第一值124時,判斷該變數是否超過臨界值。作為另一範例,係當阻抗匹配電路內的可變電容器之電容值具有第一值124時,判定由該資訊所決定的反射功率是否超過臨界值。作為又另一範例,係當阻抗匹配電路內的可變電感器之電感值具有第一值124時,判定由該資訊所決定的反射功率是否超過臨界值。作為另一範例,係當阻抗匹配電路的操作之頻率具有第一值124時,判定由該資訊所決定 的反射功率是否超過臨界值。在某些實施例中,阻抗匹配電路的操作之頻率係與由A MHz RF產生器所提供至阻抗匹配電路的RF信號之頻率相同。作為另一範例,係當阻抗匹配電路內的可變電容器之電容值具有一值、當阻抗匹配電路內的電感器之電感值具有一值、及/或當阻抗匹配電路的操作之頻率具有一值時,判斷由該資訊所決定的反射功率是否超過臨界值。應當注意的是,在此範例中,電感值、電容值、及/或操作之頻率係對應於第一值124。為說明起見,當達到頻率值、電感值、及/或電容值時,達到第一值124。臨界值的範例包括0.5的伽瑪值。臨界值的另一範例包括0.6的伽瑪值。臨界值的又另一範例包括Z1歐姆的來源阻抗,在此Z1為大於零的實數。臨界值的另一範例包括在0瓦之範圍內的反射功率。例如0.2瓦、0.5瓦、1瓦、10瓦、20瓦、100瓦、200瓦等的反射功率之值係在0瓦的範圍內。狀態S1及S0將於下文作進一步描述。
作為判定變數106是否係在局部最小值114的另一範例,係當該參數具有第一值124時,判定變數106是否為穩定。在此範例中,係判定變數106是否沒有振盪以判定變數106是否為穩定。為說明起見,係當該參數具有第一值124時,判定變數106是否會在兩個值之間振盪。作為另一說明,係判斷變數106是否會在輸出功率的第一值及輸出功率的第二值之間振盪。在此範例中,回應於變數106在兩個值之間振盪的判定,判定變數106為不穩定。另一方面,在判定變數106於此兩個值之間沒有振盪時,判定變數106為穩定。作為另一範例,當該參數具有第一值時,判定:變數106是否在最小值(例如,最小輸出功率值、最小來源阻抗值、最小反射功率值等等)與最大值(例如,最大輸出功率值、最大來源阻抗值,最大反射功率值等等)之間振盪;及最小值與最大值之間的範圍是否會超過預定範圍。在此範例中,在判定最小值與最大值之間的範圍超過預定範圍時,判定變數106為不穩定。反之,在判定最小值與最大值之間的範圍並未超過預定範圍時,判定變數106為穩定。
在判定變數106超過臨界值或變數106為不穩定時,判定變數106不在局部最小值114。另一方面,在判定變數106並未超過臨界 值且變數106為穩定時,判定變數106係在局部最小值114。
在一實施例中,並非在判定變數106超過臨界值或變數106為不穩定二擇一的情況下判定變數106不在局部最小值114,取而代之地,係在變數106超過臨界值且變數106為不穩定時,判定變數106不在局部最小值。
在某些實施例中,並非由判定變數106並未超過臨界值及變數106為穩定此二者來判定變數106係在局部最小值114,取而代之地,係在判定變數106並未超過臨界值或變數106為穩定時,判定變數106係在局部最小值。
應當注意的是,在一實施例中,係在電漿腔室內的基板之處理期間執行方法129,基板之處理例如為蝕刻沉積於基板上之層、將層沉積於基板上、清潔基板等等。舉例來說,係在沒有使用經學習值(learned value)的情況下執行方法129,經學習值將於下文作進一步說明。在方法129的執行期間,電漿系統的一或更多處理器並未應用該經學習值。
在一實施例中,該經學習值係在學習例行程序期間由電漿系統的一或更多處理器所產生。於學習例行程序期間,在電漿腔室內脈衝電漿並未被激發來處理基板。在此實施例中,係以執行方法129之類似方法來執行學習例行程序。在某些實施例中,於學習例行程序期間,係在未對基板進行處理的情況下於電漿腔室內激發脈衝電漿。在這些實施例中,係以執行方法129之類似方法來執行學習例行程序。基板的範例包括晶圓。基板的另一範例包括於其上製造電路的晶圓,該電路例如為包含電晶體、電阻器、電容器、邏輯閘等的電路。
還應當注意的是,在一實施例中,係藉由在方向166上遍歷(traversing)由值125經過第一值124至第二值126之路徑來找出局部最小值114。例如,主機系統控制馬達系統(其將於下文進一步描述)以將阻抗匹配電路之電容器的電容值改變為值125。作為另一範例;主機系統控制馬達系統或控制流至阻抗匹配電路之電感器的電流量,以將電感器的電感值改變為值125。作為又另一範例,主機系統決定提供具有值125的頻率至A MHz RF產生器(該A MHz RF產生器會產生具有 值125的RF信號並將該RF信號提供至阻抗匹配電路),俾使阻抗匹配電路操作於值125。作為又另一範例,主機系統控制馬達系統以將阻抗匹配電路之電容器的電容值改變為一值、控制馬達系統或控制流至阻抗匹配電路之電感器的電流量以將電感器的電感值改變為一值、及決定將一頻率提供至A MHz RF產生器俾使阻抗匹配電路操作於該頻率值。在此範例中,值125包括電容值、電感值、及/或頻率值。為說明起見,值125係對應於電容值、頻率值、及/或電感值。為進一步說明起見,當達到電容值、電感值、及/或頻率值時,達到值125。作為另一範例,A MHz RF產生器的處理器決定將具有值125的參數110提供至A MHz RF產生器的DAS。A MHz RF產生器的DAS會產生對應於值125的RF信號,並將該RF信號經由傳輸線提供至電漿腔室。
電漿系統的一或更多感測器會對傳輸線進行感測以量測當參數110具有值125時的資訊。電漿系統的一或更多處理器會決定當該參數具有值125時變數106的一或更多值。同樣地,電漿系統的一或更多處理器會決定當參數110具有第一值124及第二值126時,變數106的一或更多值。
再者,電漿系統的一或更多處理器會判定:當參數110具有值125時變數106的一或更多值是否為穩定且不超過臨界值。此外,該一或更多處理器會判定:當參數110具有第一值124時,變數106的一或更多值是否為穩定且不超過臨界值;以及當參數110具有第二值126時,變數106的一或更多值是否為穩定且不超過臨界值。
在判定當參數110具有第一值124時變數106的一或更多值為穩定且不超過臨界值、當參數110具有值125時變數106的一或更多值為不穩定或超過臨界值、及當參數110具有第二值126時變數106的一或更多值為不穩定或超過臨界值之時,一或更多處理器會判定當該參數具有第一值124時變數106係在局部最小值114。
在一實施例中,局部最小值114係藉由以下步驟來找出:依方向166由值125經過第一值124遍歷該路徑,及在發現局部最小值114時停止。舉例來說,一旦發現局部最小值114,則電漿系統的一或更多處理器不會進一步依方向166遍歷該路徑來判定當參數110具有 第二值126時變數106的一或更多值為不穩定或超過臨界值。或者說,在此範例中,一旦判定當參數110具有第一值124時變數106的一或更多值為穩定且不超過臨界值,則一或更多處理器會判定發現局部最小值114。
回應於判定當參數具有第一值124時變數106係在局部最小值114,在操作118中,主機系統的一或更多處理器會持續調諧阻抗匹配電路及/或A MHz RF產生器,以使該參數保持在第一值124。舉例來說,主機系統的處理器會指示A MHz RF產生器的數位信號處理器(digital signal processor,DSP)持續將具有第一值124的參數110提供至A MHz RF產生器的DAS,且A MHz RF產生器的DAS會持續產生具有具第一值124之參數的RF信號。作為另一範例,主機系統會持續提供控制信號至馬達系統,俾使阻抗匹配電路內的電容器之電容器板維持於一間距,以維持第一值124的電容值。作為另一範例,主機系統會持續提供控制信號至馬達系統或持續提供電流至阻抗匹配電路內的電感器,以維持電感器的第一值124之電感值。作為又另一範例,主機系統會控制阻抗匹配電路,俾使阻抗匹配電路的操作維持在一頻率值,其為第一值124的一範例。作為又另一範例,主機系統會:持續提供控制信號至馬達系統,俾使阻抗匹配電路內的電容器之電容器板維持於一間距以維持電容值;持續提供控制信號至馬達系統或持續提供電流至阻抗匹配電路內的電感器,以維持電感器的電感值;及/或控制阻抗匹配電路,俾使阻抗匹配電路的操作維持在一頻率值。應當注意的是,在此範例中,第一值124係對應於電容值、電感值、及/或頻率值。在操作118後,重複操作104。
另一方面,在判定當該參數具有第一值124時變數106不在局部最小值114之時,在操作122中,係將第一值124改變為另一值,例如,第二值126。作為一範例,其他值係由A MHz RF產生器的處理器提供至A MHz RF產生器的DAS,其與第一值124的供應係連續的。為說明起見,於提供第一值124後,A MHz RF產生器之處理器不提供介於第一值124與第二值126之間的值至A MHz RF產生器之DAS。作為又另一範例,係藉由主機系統將第二值126提供至馬達系統,俾使阻抗 匹配電路內的電容值或電感值達到第二值126。作為另一範例,係藉由主機系統將第二值126提供至A MHz RF產生器,俾使阻抗匹配電路之操作的頻率達到第二值126。作為又另一範例,係藉由主機系統將一電容值提供至馬達系統、藉由主機系統將一電感值提供至馬達系統、及/或藉由主機系統來控制阻抗匹配電路操作於一頻率,俾以達到第二值126。作為又另一範例,係藉由主機系統將一電容值提供至馬達系統、將一電流提供至阻抗匹配電路的電感器、及/或藉由主機系統來控制阻抗匹配電路操作於一頻率,俾以達到第二值126。
在操作122後,相對於第二值126而非相對於第一值124,重複方法129。舉例來說,當參數110具有第二值126時,A MHz RF產生器的DAS會產生具有對應於第二值126之值的RF信號,並經由傳輸線將其提供至電漿腔室。作為另一範例,當從電漿系統的一或更多處理器接收到阻抗匹配電路之電容器的電容值要為第二值126的指示時,馬達系統會移動阻抗匹配電路的電容器板,以達到第二值126的電容值。作為又另一範例,當從電漿系統的一或更多處理器接收到阻抗匹配電路之電感器的電感值要為第二值126的指示時,馬達系統會改變(例如,增加或減少等等)阻抗匹配電路之電感器內的鐵芯之插入量,以達到第二值126的電感值。作為另一範例,當從電漿系統的一或更多處理器接收到阻抗匹配電路之電感器的電感值要為第二值126的指示時,主機系統會使供應至阻抗匹配電路之電感器的電流量改變,以達到第二值126的電感值。作為又另一範例,當從電漿系統的一或更多處理器接收到阻抗匹配電路的操作之頻率要為第二值126時,係經由A MHz RF產生器將阻抗匹配電路操作於具有第二值126的頻率。應當注意的是,作為另一範例,係應用阻抗匹配電路內的電容器之電容值、阻抗匹配電路內的電感器之電感值、及阻抗匹配電路的操作之頻率的組合,以達到第二值126。舉例來說,馬達系統會操作阻抗匹配電路的電容器板,且阻抗匹配電路之電感器的電感值係受控制,以達到第二值126,在本範例中,第二值126包含電容器的電容值及電感器的電感值。當參數110被改變為第二值126且第二值126被應用到電漿系統時,接收資訊以確定變數106的一或更多值。
當參數110具有第二值126時,A MHz RF產生器的感測器會量測在傳輸線的資訊。當參數110具有第二值126時,係藉由電漿系統的一或更多處理器基於所量測到之資訊來確定變數106的一或更多值。此外,在操作112中,係判定當參數110具有第二值126時變數106的一或更多值是否係在局部最小值。
應當注意的是,在各種實施例中,在依方向166遍歷時,藉由電漿系統之一或更多處理器而被連續提供至A MHz RF產生器的DSP及/或至馬達系統的參數110之任兩值(例如,頻率值等等)之間的差係最小的。例如,值125與第一值124之間的差係在千赫(kilohertz,kHz)的範圍內。作為另一範例,值125與第一值124之間的差係介於10及20kHz之間。
此外,在某些實施例中,在依方向166遍歷時,藉由電漿系統之一或更多處理器而被連續提供至A MHz RF產生器的DSP及/或至馬達系統的參數110之任兩值之間的差係相同的。例如,第一值124及值125之間的差係與第二值126及第一值124之間的差相同。
應當注意的是,在一實施例中,局部最小值係與絕對最小值116不同。舉例來說,一旦確定了局部最小值114,則電漿系統的一或更多處理器不需要尋找絕對最小值116。若在確定局部最小值114後該一或更多處理器持續依方向166調諧參數110,則會發現絕對最小值116。當參數110具有值127時,達到絕對最小值116。絕對最小值116的範例包括零瓦的反射功率。絕對最小值116的另一範例包括50歐姆的在阻抗匹配電路之輸入端的來源阻抗。在某些實施例中,在阻抗匹配電路之輸入端的來源阻抗包括傳輸線及A MHz RF產生器的阻抗,如由阻抗匹配電路之輸入端所決定者。
在一實施例中,當參數110具有值127時變數106的振盪範圍係小於當參數110具有第一值124時變數106的振盪範圍。在某些實施例中,當該參數具有值127時變數106的最大值max(0)係小於當該參數具有第一值124時的最大值max(-3)。在這些實施例中,最大值max(0)與max(-3)二者均未超過臨界值。
還應注意的是,圖1中所示的min及max係變數106的最小 值及最大值。舉例來說,值min(-4)與max(-4)係當參數110具有值125時變數106的值。作為另一範例,值min(-3)與max(-3)係當參數110具有第一值124時變數106的值,值min(-2)與max(-2)係當參數110具有第二值126時變數106的值,而值min(-1)與max(-1)係當參數110具有值160時變數106的值,而值min(0)與max(0)係當參數110具有值127時變數106的值。
在一實施例中,局部最小值114係為絕對最小值。
圖3係使用學習例行程序來決定經調諧之參數的方法136之一實施例的流程圖。方法136係藉由電漿系統的一或更多處理器來執行。
方法136包括操作104、112、及122,其係參照圖1及圖2而描述於前文中。此外,參照圖1及圖3,在判定當該參數具有第一值124時變數106係在局部最小值114之時,在操作138中,係判定第一值124是否在經學習值的極限內,該經學習值例如為值160(圖1)。舉例來說,係判定該經學習值與第一值124之間的差是否小於該極限,例如,10kHz、20kHz、1千瓦(kilowatts,kW)、10kW、1瓦、10瓦、1歐姆、5歐姆、2歐姆等等。在一實施例中,該極限係在kHz的範圍內。
該經學習值係為在學習例行程序期間由A MHz RF產生器的處理器所學習到的值。舉例來說,在學習例行程序期間,電漿系統的一或更多處理器係依方向166遍歷該路徑,且並非在參數110具有第一值124時判定變數106係在局部最小值114,取而代之地,該一或更多處理器係在參數110具有值160時判定變數106係在局部最小值。
在判定第一值124在該經學習值的極限外時,在操作122中,係將第一值改變為另一值。另一方面,在判定第一值124在該經學習值的極限內時,在操作140中,係提供該經學習值以調諧阻抗匹配電路或A MHz RF產生器。舉例來說,電漿系統的一或更多處理器會提供該經學習值至A MHz RF產生器的DSP。A MHz RF產生器的DSP會接收來自於電漿系統之一或更多處理器的經學習值,並提供該經學習值至A MHz RF產生器的DAS。A MHz RF產生器會產生具有對應於該經學習值之值的RF信號,並經由傳輸線將該RF信號提供至電漿腔室。作為另 一範例,馬達系統會接收來自於電漿系統之一或更多處理器的經學習值(例如電容值),並控制阻抗匹配電路內的電容器達到該經學習值。作為又另一範例,馬達系統會接收來自於電漿系統之一或更多處理器的經學習值(例如電感值),並控制阻抗匹配電路內的電感器達到該經學習值。作為另一範例,馬達系統會接收來自於電漿系統之一或更多處理器的經學習值(其包含阻抗匹配電路內的電容器之電容值及阻抗匹配電路內的電感器之電感值),並控制電容器及電感器達到該經學習值。作為另一範例,在接收到從A MHz RF產生器所接收之RF信號內的經學習值(例如頻率)時,將阻抗匹配電路操作於該經學習值,該A MHz RF產生器係接收來自於電漿系統之一或更多處理器的經學習值。作為又另一範例,係將阻抗匹配電路操作於一頻率、控制阻抗匹配電路之電容器的電容值、及/或控制阻抗匹配電路之電感器的電感值來達到該經學習值。
在操作140後,重複方法136。例如,操作104、112、122、及138係在相對於該經學習值而非第一值124的情況下執行。為說明起見,當參數110具有該經學習值時,A MHz RF產生器的DAS會產生具有對應於該經學習值之值的RF信號,並經由傳輸線將其提供至電漿腔室。當具有對應於該經學習值之值的RF信號被提供至電漿腔室時,接收資訊以確定變數106的一或更多值。當參數110具有該經學習值時,A MHz RF產生器的感測器量測在傳輸線的資訊。當參數110具有經學習值時,係藉由A MHz RF產生器的處理器基於所量測到之資訊來確定變數106的一或更多值。此外,在操作112中,係判定當參數110具有該經學習值時,變數106的一或更多值是否係在局部最小值。並且,於操作122中,在判定當參數110具有該經學習值時變數106的一或更多值不在局部最小值之時,將該經學習值改變為另一值。作為另一範例,當馬達系統控制阻抗匹配電路的電容器達到該經學習值時,電漿系統的一或更多感測器會感測傳輸線上的前向功率及反射功率。該一或更多感測器係經由A MHz RF產生器的DSP將前向及反射功率值提供至主機系統。主機系統會由前向與反射功率值來確定反射功率,並判定該反射功率值是否係在局部最小值。
應當注意的是,在一實施例中,係在基板的處理期間執行方法136。
圖4係用於判定是否改變參數110之調諧方向的方法150之一實施例的流程圖。方法150係藉由電漿系統的一或更多處理器來執行,並參照圖1進行描述。
執行操作104。參照圖1及圖4,在操作154中,當參數110具有第一值124時,判定變數106的局部最小值114。此外,在操作156中,係藉由依方向166將第一值124改變為一或更多其他值(例如,第二值126、值160等等)來調諧參數110。
在操作158中,係當參數110被調諧到一或更多其他值時,判定變數106為不穩定的事件之數量。舉例來說,係判定當參數110具有第二值126時變數106是否為不穩定、及判定當參數110具有值160時變數106是否為不穩定。在此範例中,在判定當參數110具有值160時變數106為不穩定及當參數110具有第二值126時變數106為不穩定之時,判定發生二事件,其分別發生在參數110為值126與160之各者的情況。此外,在此範例中,在判定當參數110具有值160時變數106為不穩定及當參數110具有第二值126時變數106為穩定之時,判定在值160的情況下已發生一事件。
在一實施例中,並非判定當參數110具有一值時變數106是否為不穩定以判定事件之數量,取而代之地,係判定當該參數具有該值時變數106是否超過臨界值來判定事件之數量。舉例來說,係判定當參數110具有第二值126時變數106是否超過臨界值、以及當參數110具有值160時變數106是否超過臨界值。在此範例中,在判定當參數110具有值160時變數106超過臨界值及當參數110具有第二值126時變數106超過臨界值之時,判定發生兩事件,其分別發生在參數110為值126與160之各者的情況。此外,在此範例中,在判定當參數110具有值160時變數106超過臨界值及當參數110具有第二值126時變數106並未超過臨界值之時,判定在值160的情況下已發生一事件。
在某些實施例中,係作出當參數110具有一值時變數106是否為不穩定、以及當該參數具有該值時變數106是否超過臨界值的判 定,以判定事件之數量。舉例來說,係判定當參數110具有第二值126時變數106是否為不穩定、當參數110具有值160時變數106是否為不穩定、當參數110具有第二值126時變數106是否超過臨界值、及當參數110具有值160時變數106是否超過臨界值。在判定當參數110具有值160時變數106為不穩定、當參數110具有第二值126時變數106為不穩定、當參數110具有值160時變數106超過臨界值、及當參數110具有第二值126時變數106超過臨界值之時,判定已發生二事件,其分別發生在參數110為值126與160之各者的情況。此外,在此範例中,在判定當參數110具有值160時變數106為不穩定、及當參數110具有值160時變數106超過臨界值、及當參數110具有第二值126時變數106為穩定、及當參數110具有第二值126時變數106並未超過臨界值之時,判定在參數具有值160時已發生一事件。
在操作159中,係判定事件之數量是否超過界限。例如,係判定事件之數量是否超過2、3、4、6、或另一正整數。回應於事件之數量並未超過界限的判定,在操作164中,係中斷參數110的進一步調諧。舉例來說,在操作158中所達到的該一或更多其他值不會進一步改變為參數110的一或更多額外值,以中斷參數110的進一步調諧。
在操作165中,係將在操作158中所達到的其他值之一者應用於電漿系統。例如,係將在操作158中所達到的其他值之一者提供至A MHz RF產生器之DSP。作為另一範例,係將在操作158中所達到的其他值之一者提供至馬達系統。作為又另一範例,係將在操作158中所達到的其他值之一者提供至阻抗匹配電路。在操作165中所提供的參數110之值係為對於事件之數量不超過界限之情況下的值。在一實施例中,在操作165中所提供之值係為事件之數量超過界限之情況下的值。在某些實施例中,於操作165期間,並非提供在操作158中所達到的其他值之一者,取而代之地,係在操作165中提供第一值124(在此時達到局部最小值114)。
在接收操作165中所提供之參數110的值之時,電漿系統會應用該值。舉例來說,DAS會產生具有該值的RF信號,並經由傳輸線將該RF信號提供至電漿腔室。作為另一範例,馬達系統會移動阻抗 匹配電路內的電容器板以達到一電容值,該電容值為操作165的值之一範例。作為又另一範例,馬達系統會改變延伸穿過阻抗匹配電路內之電感器的鐵芯之長度以達到一電感值,該電感值係為操作165的值之一範例。作為另一範例,主機系統會改變流過阻抗匹配電路內之電感器的電流量以達到一電感值,該電感值係為操作165的值之一範例。作為另一範例,主機系統會控制阻抗匹配電路操作於一頻率,該頻率係為操作165的值之一範例。作為又另一範例,馬達系統會移動阻抗匹配電路內的電容器板以達到一電容值、阻抗匹配電路的電感器會受控制以達到一電感值、及/或主機系統會經由A MHz RF產生器而控制阻抗匹配電路操作於一頻率。應當注意的是,在此範例中,電感值、電容值、及頻率的組合係為操作165的值之一範例。在操作165後,重複方法150。
在判定事件之數量超過界限之時,在操作162中,將調諧參數110所依循之遍歷路徑的方向166反轉為方向168。在一實施例中,係當遍歷沿著方向168時,執行方向的反轉以達成方向166。一旦方向166被反轉,重複方法150來依方向168調諧參數110。
應當注意的是,參數110的值在抵達值127之前係依方向166減低,並在之後依方向166增加。例如,值125、124、126、160、及127係依照由高至低的遞減順序排列。類似地,參數110的值在抵達值127之前係依方向168降低,並由值127增加至值125。
在一實施例中,在方向166上,參數110的值係先增加後減少;而在方向168上,參數110的值係先增加後減小。
應當注意的是,在一實施例中,係在基板之處理期間執行方法150。
應當注意的是,雖然方法129、136、及150係相對於方向166來進行描述,但在一實施例中,方法129、136、及150可以依方向168來執行,方向168係沿著該路徑但相反於方向166。
應當注意的是,各方法129、136、及150係藉由一或更多處理器(例如,主機系統的處理器)、藉由A MHz RF產生器的DSP、或藉由DSP與主機系統190之處理器的組合來執行。儘管方法129、136、及150的操作係以特定順序進行描述,但應當瞭解的是,可於操 作之間進行其他後勤操作、或可調整操作以使其發生在略為不同的時間點、或可將操作分佈於允許在與處理有關之各種區間發生處理操作的系統,只要重疊之操作的處理係以所需要之方式來進行。
圖5係用於調諧參數110的電漿系統172之一實施例的方塊圖。電漿腔室109包含下電極196、上電極194、及其他零件(圖未示),例如,圍繞上電極194的上介電質環、圍繞該上介電質環的上電極延伸部、圍繞下電極196的下介電質環、圍繞該下介電質環的下電極延伸部、上電漿排除區域(upper plasma exclusion zone,PEZ)環、下PEZ環等等。上電極194係設置於下電極196之對面,並面向下電極196。例如為半導體晶圓、具有電子元件之半導體晶圓等的基板130被支撐於下電極196的上表面197上。下電極196係由例如為陽極電鍍鋁、鋁合金等的金屬所製成。並且,上電極194係由例如為鋁、鋁合金等的金屬所製成。
例如特殊應用積體電路(application specific integrated circuit,ASIC)、可程式化邏輯元件(programmable logic device,PLD)等等的積體電路係在基板132上開發,且該積體電路係用於各種電子裝置中,例如,手機、平板電腦、智慧型手機、電腦、膝上型電腦、網路設備等等。該積體電路係由電性元件所製成,電性元件例如為電晶體、電阻器、電容器、邏輯閘、儲存元件、處理器等等。
在一實施例中,上電極194包括耦接於中央氣體進料器(圖未示)的孔洞。中央氣體進料器會接收來自於氣體供應器(圖未示)的一或更多處理氣體。處理氣體的範例包括含氧氣體,像是O2。處理氣體的其他範例包括含氟氣體,例如,四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等等。上電極194係接地的。下電極196係經由阻抗匹配電路192而耦接於MHz RF產生器174,例如,A MHz RF產生器。
當在上電極194與下電極196之間供應處理氣體、且當RF產生器174的DAS 186產生電力並經由阻抗匹配電路192將電力供應至下電極196時,處理氣體被激發而在電漿腔室109內產生脈衝電漿102。舉例來說,DAS 186產生電力並經由阻抗匹配電路192來供應電力,以 激發處理氣體而產生電漿102。當供應至下電極196的RF信號在二狀態S1及S0之間改變時,會使電漿102脈衝產生,如下文所述。
阻抗匹配電路包括電性元件(例如,電路元件、電感器、電容器等等)以使耦接於阻抗匹配電路的電源之阻抗與耦接於阻抗匹配電路的負載之阻抗相匹配。舉例來說,阻抗匹配電路192使傳輸線108及/或RF產生器174的一或更多部分(例如,DAS 186等等)的阻抗與電漿腔室109的一或更多部分(例如,電漿102、零件等等)的阻抗相匹配。RF產生器174、傳輸線108、或其組合係為來源的範例,而電漿腔室109係為負載的範例。來源與負載之間的阻抗匹配可降低功率由負載朝來源反射的機會。
主機系統190係耦接於數位信號處理器(digital signal processor,DSP)180。主機系統190會產生並提供具有二或更多狀態的數位脈衝信號185至DSP 180。主機系統190的範例包括處理器、電腦、控制器等等。在一實施例中,數位脈衝信號185為電晶體-電晶體邏輯(transistor-transistor logic,TTL)信號。狀態的範例包括啟動狀態與關閉狀態、具有1之數位值的狀態與具有0之數位值的狀態、高狀態與低狀態等等。
在另一實施例中,並非使用主機系統190,取而代之地,係使用時鐘振盪器(例如,晶體振盪器)來產生類比時鐘信號,該類比時鐘信號係被類比對數位轉換器轉換為類似數位脈衝信號185的數位信號。
數位脈衝信號185被發送至DSP 180。DSP 180會接收數位脈衝信號185並辨認數位脈衝信號185的狀態。舉例來說,DSP 180會判定數位脈衝信號185在時間區段之第一組期間具有第一量(例如,1的值、高狀態量等等),並在時間區段之第二組期間具有第二量(例如,0的值、低狀態量等等)。DSP 180會判定數位脈衝信號185在時間區段之第一組期間具有狀態S1,並在時間區段之第二組期間具有狀態S0。狀態S0的範例包括低狀態、具有0之值的狀態、及關閉狀態。狀態S1的範例包括高狀態、具有1之值的狀態、及啟動狀態。作為又另一範例,DSP 180會將數位脈衝信號185的大小與預存值作比較,以判定在 時間區段之第一組期間數位脈衝信號185的大小係大於該預存值、及在時間區段之第二組期間數位脈衝信號185的大小不大於該預存值。在使用時鐘振盪器的實施例中,DSP 180係接收來自於時鐘振盪器的類比時鐘信號,並將類比信號轉換為數位形式,並接著辨認二狀態S0及S1。
在某些實施例中,並非辨認數位脈衝信號185的狀態,取而代之地,係基於變數106來辨認電漿阻抗的狀態。舉例來說,感測器188係量測傳輸線108上的資訊,並將該資訊提供至DSP 180。DSP 180係基於從感測器188所接收到之資訊來計算變數106。若變數106的值超過一預存值,則電漿102的狀態為S1,且若變數106的值並未超過該預存值,則電漿102的狀態為S0。
當辨認狀態為S1時,DSP 180會將功率值P1及/或頻率值F1提供至參數控制176。此外,當辨認狀態為S0時,DSP 180會將功率值P0及/或頻率值F0提供至參數控制178。用以調諧頻率的參數控制之範例包括自動頻率調諧器(auto frequency tuner,AFT)。
應當注意的是,參數控制176、參數控制178、及DSP 180均為控制系統187之部分。例如,參數控制176與參數控制178係為邏輯區塊(例如,調諧迴圈),該邏輯區塊為由DSP 180所執行之電腦程式的部分。在某些實施例中,該電腦程式係在非暫態電腦可讀取媒體(例如,儲存裝置)內被體現。儲存裝置的範例包括唯讀記憶體(read-only memory,ROM)、隨機存取記憶體(random access memory,RAM)、或其組合。為說明起見,儲存裝置包括硬碟、快閃記憶體、獨立磁碟冗餘陣列(redundant array of independent disks)等等。
在一實施例中,係使用控制器來代替參數控制,控制器例如為硬體控制器、ASIC、PLD等等。舉例來說,係使用一控制器來代替參數控制176,並使用另一控制器來代替參數控制178。
在接收功率值P1及/或頻率值F1之時,參數控制176會將功率值P1及/或頻率值F1提供至DAS 186的驅動器182。驅動器的範例包括功率驅動器、電流驅動器、電壓驅動器、電晶體等等。驅動器182會產生具有功率值P1及/或頻率值F1的RF信號,並將該RF信號提供至DAS 186的放大器184。
在一實施例中,驅動器182會產生一RF信號,該RF信號具有為功率值P1之函數的驅動功率值、及/或具有為頻率值F1之函數的驅動頻率值。例如,驅動功率值係落在數(例如,1到5)瓦之功率值P1內,而驅動頻率值係落在數(例如,1到5)赫茲之頻率值F1內。
放大器184係將具有功率值P1及/或頻率值F1的RF信號放大,並產生RF信號120,其對應於從驅動器182所接收到的RF信號。例如,RF信號120具有比功率值P1之功率量還高的功率量。作為另一範例,RF信號120具有與功率值P1之功率量相等的功率量。係經由傳輸線108及匹配電路192將RF信號120傳送至下電極196,以控制電漿102的阻抗。
當藉由參數控制176將功率值P1及/或頻率值F1提供至DAS 186且產生RF信號120時,感測器188感測在傳輸線108上的資訊。感測器188會將該資訊發送至控制系統187,控制系統187會將該資訊由類比形式轉換為數位形式。控制系統187在狀態S1期間接收來自於感測器188的資訊,並將該資訊提供至主機系統190。主機系統190在狀態S1期間接收來自於感測器188的資訊並執行方法129(圖2)、方法136(圖3)、及/或方法150(圖4)。舉例來說,當在狀態S1期間接收到所量測之資訊時,主機系統190的處理器會執行變數穩定例行程序181(variable stability routine,VSR),例如,方法129、方法136、方法150等等。
類似地,在接收到功率值P0及/或頻率值F0之時,參數控制178會將功率值P0及/或頻率值F0提供至驅動器182。驅動器182會產生具有功率值P0及/或頻率值F0的RF信號,並將該RF信號提供至放大器184。
在一實施例中,驅動器182會產生一RF信號,該RF信號具有為功率值P0之函數的驅動功率值、及/或具有為頻率值F0之函數的驅動頻率值。例如,驅動功率值係落在數(例如,1至5)瓦之功率值P0內,而驅動頻率值係落在數(例如,1至5)赫茲之頻率值F0內。
放大器184係將具有功率值P0及/或頻率值F0的RF信號放大,並產生RF信號121,其對應於從驅動器182所接收到的RF信號。 例如,RF信號121具有比功率值P0之功率量還高的功率量。作為另一範例,RF信號121具有與功率值P0之功率量相等的功率量。係經由傳輸線108及匹配電路192將RF信號121傳送至下電極196,以控制電漿102的阻抗。
當藉由參數控制178將功率值P0及/或頻率值F0提供至DAS 186且產生RF信號121時,感測器188感測在傳輸線108上的資訊。感測器188會將該資訊發送至控制系統187,控制系統187會將該資訊由類比形式轉換為數位形式。控制系統187在狀態S0期間接收來自於感測器188的資訊,並執行方法129(圖2)、方法136(圖3)、或方法150(圖4)。應當注意的是,方法129、136、及150係在一狀態之期間執行,該狀態為數位脈衝信號185或電漿102之任一者。舉例來說,當在狀態S0期間接收到所量測之資訊時,主機系統190的處理器會執行VSR 181。
應當注意的是,在一實施例中,係回應方法129、136、或150而判定值P1、P0、F1、及F0。
主機系統190提供參數110(圖1)之值至馬達系統191,馬達系統191包括一或更多電動馬達,其可將電能轉換為機械能。馬達系統191操作(例如,移動等等)阻抗匹配電路192內的電性元件,以達到參數110之值。舉例來說,馬達系統191移動電容器板以達到電容器的電容值。作為另一範例,馬達系統191移動阻抗匹配電路192之電感器內的鐵芯以達到電感器的電感值。在某些實施例中,並非使用馬達系統191來改變阻抗匹配電路之電感器的電感值,取而代之地,係使用主機系統190來改變供應至阻抗匹配電路192之電感器的電流量,以達到電感器的電感值。主機系統190經由RF產生器174來控制阻抗匹配電路192操作於一頻率(例如,一射頻頻率),該頻率係為參數110的一範例。
應當注意的是,雖然方法129、136、及150係參照A MHz RF產生器來描述,但在許多實施例中,方法129、136、及150適用於任何數目(例如,二或三)的RF產生器。舉例來說,電漿系統可包括2MHz RF產生器、27MHz RF產生器、及60MHz RF產生器。在此範例中,在某些實施例中,可使用其他頻率(例如,3MHz、30MHz、及 65MHz)的RF產生器。例如,電漿系統可包括3MHz RF產生器、30MHz RF產生器、及65MHz RF產生器。並且,數字2、27、及60並不限於2、27、及60。舉例來說,2MHz RF產生器可產生具有範圍為由1.8MHz至2.2MHz之頻率的RF信號。
更應注意的是,雖然感測器188係耦接於RF產生器174的輸出端189,但在一些實施例中,感測器(例如,感測器188、另一感測器等等)可被耦接於阻抗匹配電路192的輸入端193。耦接於輸入端193的感測器係量測在輸入端193處的電壓及電流,且該電壓及電流係用以判定在輸入端193處的來源阻抗。耦接於輸入端193的其他感測器會將所量測到之資訊提供至控制系統187,控制系統187會將該資訊發送至用於執行方法129、136、或150的主機系統190。
圖6係說明當輸出功率201改變時反射係數207變化的曲線圖200之一實施例。曲線圖200係以y軸上之輸出功率201相對於x軸上之時間作圖。曲線圖200亦以y軸上之反射係數207相對於x軸上之時間作圖。如圖所示,反射係數207能夠藉由改變輸出功率201來控制。
圖7係曲線圖202及204之實施例的圖,其用以說明:變數106中的不穩定性係為參數110之值之變化的函數、及可藉由調諧參數110來控制變數106。曲線圖202係以y軸上的RF信號之頻率相對於x軸上的狀態(例如,狀態S0)之時間作圖,而曲線圖204係以y軸上的RF信號之功率相對於x軸上的狀態之時間作圖。如曲線圖202中所示,頻率達到一值,例如,59.45MHz。當頻率在曲線圖202中所示之該值時,變數106中發生不穩定性。該不穩定性亦顯示在曲線圖204中的前向功率203中與反射功率205中。如圖所示,可以控制頻率以控制變數106的穩定性。
圖8係曲線圖206之實施例,其用以說明變數106中的不穩定性會隨著RF信號的頻率增加而增加。曲線圖206係對於RF信號的各種調諧頻率以y軸上的供應至電漿102(圖5)之RF信號內的前向功率相對於x軸上的時間作圖。隨著調諧頻率由60.2MHz增加至60.8MHz,變數106中的不穩定性增加。藉由降低頻率,變數106中的不穩定性降低。變數106中的不穩定性係藉由前向功率中的不穩定性來顯示。
圖9-11係曲線圖208、210、212、214、224、226、228、230、232、234、236、及238的實施例,其用以說明當RF信號的功率及/或頻率被調諧時,伽瑪值可以被控制。各曲線圖208、224、及232係以y軸上的伽瑪值之虛部相對於x軸上的伽瑪值之實部作圖。此外,各曲線圖210、226、及234係在狀態S1及S0期間,以y軸上的RF信號之輸出功率相對於x軸上的時間作圖。應當注意的是,在一實施例中,術語「狀態S1」及「狀態1」在本文中可互換使用,且術語「狀態S0」及「狀態0」可互換使用。
各曲線圖212、228、及236係以y軸上的RF信號之頻率相對於x軸上的時間作圖。並且,各曲線圖214、230、及238係以y軸上的實際功率設定點及RF驅動功率相對於x軸上的時間作圖。如曲線圖208、224、及232所示,伽瑪值中的變動係依照曲線圖的順序而增加。舉例來說,繪製於曲線圖208中的伽瑪值其變動小於繪製於曲線圖224中之伽瑪值,且繪製於曲線圖224中的伽瑪值其變動小於繪製於曲線圖232中之伽瑪值。
在狀態1及狀態0之各者期間,繪製於曲線圖208中的伽瑪值係使用輸出功率來控制。該輸出功率係顯示於曲線圖210中。此外,在狀態1及狀態0之各者期間,繪製於曲線圖208中的伽瑪值係使用調諧頻率來控制。該調諧頻率係顯示於曲線圖212中。
同樣地,在狀態1及狀態0之各者期間,繪製於曲線圖224中的伽瑪值係使用輸出功率來控制。該輸出功率係顯示於曲線圖226中。此外,在狀態1及狀態0之各者期間,繪製於曲線圖224中的伽瑪值係使用調諧頻率來控制。該調諧頻率係顯示於曲線圖228中。
並且,在狀態1及狀態0之各者期間,繪製於曲線圖232中的伽瑪值係使用輸出功率來控制。該輸出功率係顯示於圖234中。此外,在狀態1及狀態0之各者期間,繪製於曲線圖232中的伽瑪值係使用調諧頻率來控制。該調諧頻率係顯示於曲線圖236中。
圖12顯示曲線圖240及242之實施例,其用以說明在達到局部最小值時的輸出功率能夠被重複控制。該可重複性允許在基板130(圖5)上執行製程的控制。曲線圖240係將輸出功率信號SG1、SG2、 及SG3相對於時間作圖,而曲線圖242亦將輸出功率信號SG4、SG5、SG6相對於時間作圖。
圖13係主機系統190(圖5)之一實施例的方塊圖。主機系統190包括輸入硬體單元(hardware unit,HU)250、輸出HU 252、輸入/輸出(input/output,I/O)介面254、I/O介面256、網路介面控制器(network interface controller,NIC)258、匯流排260、處理器262、及儲存HU 264。處理器262、儲存HU 264、輸入HU 250、輸出HU 252、I/O介面254、I/O介面256、及NIC 258係經由匯流排260而彼此相互耦接。輸入HU 250的範例包含滑鼠、鍵盤、觸筆等等。輸出HU 252的範例包含顯示器、揚聲器、或其組合。該顯示器可為液晶顯示器、發光二極體顯示器、陰極射線管、電漿顯示器等等。NIC 258的範例包含網路介面卡、網路配接器等等。儲存HU的範例包含儲存裝置、ROM、RAM、或其組合。例如,儲存HU可為快閃驅動裝置、儲存磁碟冗餘陣列、硬碟等等。
I/O介面的範例包括一介面,其提供耦接於該介面的硬體之部分之間的相容性。舉例來說,I/O介面254會將從輸入HU 250所接收到的信號轉換為與匯流排260相容的形式、振幅、及/或速率。作為另一範例,I/O介面256會將從匯流排260所接收到的信號轉換為與輸出HU 252相容的形式、振幅、及/或速率。
應當注意的是,雖然上述實施例係關於將RF信號提供至下電極196並使上電極194接地,但在一些實施例中,係將RF信號提供至上電極194同時使下電極196接地。
還應當注意的是,雖然上述實施例係參照平行板電漿腔室來描述,但在一實施例中,上述實施例適用於其他類型的電漿腔室,例如,包含感應耦合電漿(inductively coupled plasma,ICP)反應器的電漿腔室、包含電子迴旋共振(electron-cyclotron resonance,ECR)反應器的電漿腔室等等。例如,A MHz RF產生器係耦接於ICP電漿腔室內的電感器。
本文中所描述的實施例可以多種電腦系統組態來實施,多種電腦系統組態包含手持裝置、微處理器系統、以微處理器為 基礎的或可程式化的消費性電子產品、迷你電腦、大型電腦、及相似物。該等實施例亦能夠在分散計算環境中被實施,在分散計算環境中係藉由透過網路連結的遠端處理裝置來執行工作。
考慮上述實施例,應當理解的是,該等實施例能夠利用各種以電腦實現的操作,其包含儲存在電腦系統中的資料。這些操作係為那些需要物理量之物理運用者。形成該等實施例之部分的本文中所描述的操作之任一者係為有用的機器操作。該等實施例亦有關於用於執行這些操作的裝置或設備。該裝置可為了特定用途的電腦而被特別建構。當被定義為特定用途的電腦時,該電腦在仍能進行操作以供特定用途的同時,亦能夠進行其他的處理、程式執行、或非屬特殊用途之部分的例行程序。或者,該等操作可藉由以一或更多電腦程式來選擇性啟動或配置的一般用途的電腦來進行處理,該一或更多電腦程式係儲存於電腦記憶體、快取記憶體中,或通過網路獲得。當通過網路獲得資料時,該資料可藉由網路上的其他電腦(例如,計算資源雲)來進行處理。
一或更多實施例亦可以被製造為在電腦可讀取媒體上的電腦可讀取程式碼。電腦可讀取媒體係能夠儲存資料的任何資料儲存HU,該資料之後能夠為電腦系統所讀取。電腦可讀取媒體的範例包含硬碟機、網路附加儲存器(network attached storage,NAS)、ROM、RAM、光碟-ROMs(compact disc-ROMs,CD-ROMs)、可燒錄CD(CD-recordables,CD-Rs)、可覆寫CD(CD-rewritables,CD-RWs)、磁帶、及其他光學與非光學資料儲存裝置。電腦可讀取媒體可以包含分佈於網路耦接電腦系統的電腦可讀取有形媒體,從而以分散的方式來儲存與執行電腦可讀取程式碼。
應當注意的是,在不脫離本揭露內容中所描述之各種實施例中所描述之範圍的情況下,來自任何實施例的一或更多特徵可與任何其他實施例的一或更多特徵相結合。
雖然為了清楚理解之目的,已在一些細節上描述前述實施例,但顯而易見的是,在所附之申請專利範圍的範疇內,可實行某些變化及修改。因此,本實施例應被認為係說明性而非限制性者,且 本實施例並不受限於本文中所給定的細節,而可以在所附之申請專利範圍的均等物及範疇內進行修改。
106‧‧‧變數
110‧‧‧參數
114‧‧‧局部最小值
116‧‧‧絕對最小值
124‧‧‧第一值
125‧‧‧值
126‧‧‧第二值
127‧‧‧值
160‧‧‧值
166‧‧‧方向
168‧‧‧方向
170‧‧‧曲線圖

Claims (24)

  1. 一種用於調諧與電漿阻抗有關之一參數的方法,包含下列步驟:接收資訊以確定一變數,該資訊係在一傳輸線量測,當該參數具有一第一值時量測該資訊,該傳輸線係用以將電力經由一阻抗匹配電路提供至一電漿腔室;當該參數具有該第一值時判定該變數是否係在一局部最小值;在判定該變數係在該局部最小值後提供該第一值以調諧該阻抗匹配電路;在判定該變數不在該局部最小值後將該參數之第一值改變為第二值;及當該參數具有該第二值時判定該變數是否係在一局部最小值,其中,該方法係藉由一處理器來執行。
  2. 如申請專利範圍第1項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該當該參數具有該第一值時判定該變數是否係在一局部最小值之步驟包含:當該參數具有該第一值時判定該變數是否超過一臨界值;或當該參數具有該第一值時判定該變數是否為穩定;或其組合。
  3. 如申請專利範圍第2項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,當該變數沒有振盪時,該變數為穩定。
  4. 如申請專利範圍第2項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,當該變數在一最小值與一最大值之間振盪,且該最小值與該最大值之間的範圍超過一預定範圍時,該變數為不穩定。
  5. 如申請專利範圍第1項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該方法係在一基板的處理期間被執行,其中,該方法係在沒有使用該參數之經學習值的情況下被執行。
  6. 如申請專利範圍第5項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該基板係在一電子裝置內被實施。
  7. 如申請專利範圍第1項所述之用於調諧與電漿阻抗有關之一參 數的方法,其中,該參數包含頻率、電容值、電感值、或其組合。
  8. 如申請專利範圍第1項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該資訊包含電壓、電流、功率、或其組合,其中,該變數包含伽瑪值、反射功率、複數(complex)電壓反射係數、電漿阻抗、或其組合。
  9. 如申請專利範圍第1項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該局部最小值不同於一絕對最小值,其中,該絕對最小值包含零瓦的反射功率。
  10. 如申請專利範圍第1項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該處理器係在一主機系統內,該主機系統係耦接於一射頻(radio frequency,RF)產生器,該RF產生器係經由該阻抗匹配電路而耦接於該電漿腔室。
  11. 一種用於調諧與電漿阻抗有關之一參數的方法,包含下列步驟:接收資訊以確定一變數,該資訊係在一傳輸線量測,當該參數具有一第一值時量測該資訊,該傳輸線係用以將電力經由一阻抗匹配電路提供至一電漿腔室;當該參數具有該第一值時判定該變數是否係在一局部最小值;在判定該變數係在該局部最小值後提供該第一值以產生一射頻(radio frequency,RF)信號,該RF信號係對應於該第一值,該RF信號係待發送至該電漿腔室;在判定該變數不在該局部最小值後將該參數之第一值改變為第二值;及當該參數具有該第二值時判定該變數是否係在一局部最小值,其中,該方法係藉由一處理器來執行。
  12. 如申請專利範圍第11項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,當該參數具有該第一值時該判定該變數是否係在一局部最小值之步驟包含:當該參數具有該第一值時判定該變數是否超過一臨界值;或當該參數具有該第一值時判定該變數是否為穩定;或其組合。
  13. 如申請專利範圍第11項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該資訊包含電壓、電流、功率、或其組合,其中,該變數包含伽瑪值、反射功率、複數電壓反射係數、電漿阻抗、或其組合。
  14. 一種用於調諧與電漿阻抗有關之一參數的方法,包含下列步驟:接收資訊以確定一變數,該資訊係在一傳輸線量測,當該參數具有一第一值時量測該資訊,該傳輸線係用以將電力經由一阻抗匹配電路提供至一電漿腔室;當該參數具有該第一值時判定該變數是否係在一局部最小值;在判定該變數係在該局部最小值後判定該參數之第一值是否在一經學習值的極限內,該經學習值係在一學習例行程序期間被決定;在判定該參數之第一值係在該極限內之後提供該經學習值以產生一射頻(radio frequency,RF)信號或調諧該阻抗匹配電路;及在判定該參數具有在該極限之外的第一值之後將該參數之第一值改變為第二值,其中,該方法係藉由一處理器來執行。
  15. 如申請專利範圍第14項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該當該參數具有該第一值時判定該變數是否係在一局部最小值之步驟包含:當該參數具有該第一值時判定該變數是否超過一臨界值;及當該參數具有該第一值時判定該變數是否為穩定。
  16. 如申請專利範圍第15項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,當該變數在一最小值與一最大值之間振盪,且該最小值與該最大值之間的範圍超過一預定範圍時,該變數為不穩定。
  17. 如申請專利範圍第16項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,當該變數沒有振盪時,該變數為穩定。
  18. 如申請專利範圍第15項所述之用於調諧與電漿阻抗有關之一 參數的方法,其中,該方法係在一基板的處理期間被執行。
  19. 如申請專利範圍第18項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該基板係在一電子裝置內被實施。
  20. 一種用於調諧與電漿阻抗有關之一參數的方法,包含下列步驟:接收資訊以確定一變數,該資訊係在一傳輸線量測,當該參數具有一第一值時量測該資訊,該傳輸線係用以將電力經由一阻抗匹配電路提供至一電漿腔室;判定該變數之局部最小值,當該參數具有該第一值時達到該局部最小值;藉由將該參數之第一值改變為一或更多其他值來調諧該參數;當該參數具有該一或更多其他值時判定該變數為不穩定的事件之數量;判定該事件之數量是否超過一界限;在判定該事件之數量超過該界限後,使該參數的調諧方向反轉;及在判定該事件之數量並未超過該界限後中斷該參數的進一步調諧,其中,該方法係藉由一處理器來執行。
  21. 如申請專利範圍第20項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該判定該變數之局部最小值的步驟包含:當該參數具有該第一值時判定該變數並未超過一臨界值;及當該參數具有該第一值時判定該變數為穩定。
  22. 如申請專利範圍第20項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該使該參數的調諧方向反轉之步驟包含:增加該參數之值或減少該參數之值。
  23. 如申請專利範圍第20項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該方法係在一基板的處理期間被執行,其中,該方法係在沒有該參數的經學習值之知識的情況下被執行,其中,該局部最小值不同於一絕對最小值,其中,該處理器係在一主機系統內,該主機系統係耦接於一射頻(radio frequency,RF)產生器, 該RF產生器係經由該阻抗匹配電路而耦接於該電漿腔室。
  24. 如申請專利範圍第20項所述之用於調諧與電漿阻抗有關之一參數的方法,其中,該方法係在一基板的處理期間被執行,其中,該基板係在一電子裝置內被實施。
TW103101032A 2013-01-11 2014-01-10 調諧與電漿阻抗有關之參數的方法 TWI609406B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/740,047 US9155182B2 (en) 2013-01-11 2013-01-11 Tuning a parameter associated with plasma impedance

Publications (2)

Publication Number Publication Date
TW201443971A TW201443971A (zh) 2014-11-16
TWI609406B true TWI609406B (zh) 2017-12-21

Family

ID=51145109

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103101032A TWI609406B (zh) 2013-01-11 2014-01-10 調諧與電漿阻抗有關之參數的方法

Country Status (6)

Country Link
US (3) US9155182B2 (zh)
JP (1) JP6404569B2 (zh)
KR (1) KR102304002B1 (zh)
CN (1) CN103926850B (zh)
SG (2) SG2014000905A (zh)
TW (1) TWI609406B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9318304B2 (en) * 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
KR102021961B1 (ko) * 2014-01-13 2019-11-04 삼성전자주식회사 반도체 제조설비의 관리방법
CN105591629B (zh) * 2014-10-22 2018-01-26 中微半导体设备(上海)有限公司 自动频率调谐阻抗匹配的匹配频率的获取方法和装置
US9754767B2 (en) * 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US9966231B2 (en) * 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
KR20170117312A (ko) * 2016-04-13 2017-10-23 램 리써치 코포레이션 무선 주파수 값들을 사용함으로써 상태 전이들 동안 반사된 전력을 감소시키기 위한 시스템들 및 방법들
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
CN108199742B (zh) * 2017-11-13 2020-12-01 深圳市万普拉斯科技有限公司 自调谐方法、自调谐系统及移动终端
JP6843273B2 (ja) * 2018-01-23 2021-03-17 株式会社Fuji プラズマ発生装置および情報処理方法
CN110299279B (zh) 2019-08-22 2019-11-12 中微半导体设备(上海)股份有限公司 一种射频电源系统、等离子体处理器及其调频匹配方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003532986A (ja) * 2000-04-28 2003-11-05 アプライド マテリアルズ インコーポレイテッド プラズマ処理のためのパルス化されたrf電力の供給
JP2005130198A (ja) * 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US20060005928A1 (en) * 2002-03-08 2006-01-12 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
TW200624599A (en) * 2004-09-27 2006-07-16 Lam Res Corp Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
CN101374381A (zh) * 2007-08-20 2009-02-25 清华大学 实现射频阻抗匹配的方法及射频阻抗匹配系统
US20100105425A1 (en) * 2008-10-28 2010-04-29 Ramanathan Asokan Variable impedance matching network and method for the same
TWM432209U (en) * 2012-02-23 2012-06-21 Taiwan Carol Electronics Co Ltd Wireless microphone receiver

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
SE468532B (sv) * 1990-06-17 1993-02-01 Kvaser Consultant Ab Anordning och foerfarande foer att i ett system styra ett organ
JP3001658B2 (ja) * 1991-03-28 2000-01-24 日本原子力研究所 高周波加熱装置
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
JPH0765993A (ja) * 1993-08-20 1995-03-10 Anelva Corp 有磁場マイクロ波放電反応装置
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
JP2888179B2 (ja) * 1995-08-24 1999-05-10 日本電気株式会社 アンテナ同調制御装置
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6110405A (en) 1997-09-15 2000-08-29 Wellman, Inc. Melt spinning colored polycondensation polymers
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
AU2002354459A1 (en) 2001-12-10 2003-07-09 Tokyo Electron Limited High-frequency power source and its control method, and plasma processor
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
JP4799947B2 (ja) * 2005-02-25 2011-10-26 株式会社ダイヘン 高周波電源装置および高周波電源の制御方法
JP4739793B2 (ja) * 2005-03-31 2011-08-03 株式会社ダイヘン 高周波電源装置
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
CN100594577C (zh) 2005-06-10 2010-03-17 伯德技术集团股份有限公司 分析半导体等离子体生成系统中的功率通量的系统和方法
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
CN104174049B (zh) 2007-11-06 2017-03-01 克里奥医药有限公司 可调施放器组件以及等离子体灭菌设备
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
CN201226589Y (zh) * 2008-05-15 2009-04-22 重庆医科大学 一种超声压电换能器自动阻抗匹配器
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
KR101522251B1 (ko) 2008-09-22 2015-05-21 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
CN102612864B (zh) 2009-11-19 2015-06-10 朗姆研究公司 用于控制等离子体处理系统的方法和装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
TWI623510B (zh) 2012-10-30 2018-05-11 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於高縱橫比氧化物蝕刻之氟碳分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003532986A (ja) * 2000-04-28 2003-11-05 アプライド マテリアルズ インコーポレイテッド プラズマ処理のためのパルス化されたrf電力の供給
US20060005928A1 (en) * 2002-03-08 2006-01-12 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2005130198A (ja) * 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
TW200624599A (en) * 2004-09-27 2006-07-16 Lam Res Corp Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
CN101374381A (zh) * 2007-08-20 2009-02-25 清华大学 实现射频阻抗匹配的方法及射频阻抗匹配系统
US20100105425A1 (en) * 2008-10-28 2010-04-29 Ramanathan Asokan Variable impedance matching network and method for the same
TWM432209U (en) * 2012-02-23 2012-06-21 Taiwan Carol Electronics Co Ltd Wireless microphone receiver

Also Published As

Publication number Publication date
US9320127B2 (en) 2016-04-19
TW201443971A (zh) 2014-11-16
KR20140091498A (ko) 2014-07-21
JP6404569B2 (ja) 2018-10-10
US9155182B2 (en) 2015-10-06
JP2014146593A (ja) 2014-08-14
US20140197731A1 (en) 2014-07-17
US20160189932A1 (en) 2016-06-30
CN103926850A (zh) 2014-07-16
SG2014000905A (en) 2014-08-28
KR102304002B1 (ko) 2021-09-23
US20150206717A1 (en) 2015-07-23
US9627182B2 (en) 2017-04-18
CN103926850B (zh) 2017-04-12
SG10201605648SA (en) 2016-08-30

Similar Documents

Publication Publication Date Title
TWI609406B (zh) 調諧與電漿阻抗有關之參數的方法
JP6312405B2 (ja) プラズマ処理装置
JP6374647B2 (ja) プラズマ処理装置
KR102663153B1 (ko) 일 상태에서의 주파수 및 매칭 튜닝과 다른 상태에서의 주파수 튜닝
KR102223863B1 (ko) 이중 제어 모드
CN112543989A (zh) 对径向蚀刻均匀度的主动控制
JP2014146593A5 (zh)
TWI599272B (zh) 根據三個或更多狀態之功率及頻率調整
TW201428810A (zh) 邊緣斜坡修整
JP7356409B2 (ja) キロヘルツ無線周波数発生器の存在下でメガヘルツ無線周波数発生器の供給電力の効率性を高めるためのシステムおよび方法
JP2023040191A (ja) 基板を処理するための周波数同調と整合同調とを重複させずに適用するためのシステムおよび方法
US20230298857A1 (en) Systems and Methods for Extracting Process Control Information from Radiofrequency Supply System of Plasma Processing System
JP2022535282A (ja) Khz rf発生器の動作サイクル内でmhz rf発生器を調節するためのシステムおよび方法
JP2023505782A (ja) 反り制御とマスク選択比とのバランスを達成するための多状態パルス化
KR102438864B1 (ko) 플라즈마 챔버의 전극으로 전력 전달 최적화를 위한 방법들 및 시스템들
TWI840373B (zh) 徑向蝕刻均勻度的主動控制之方法及系統
KR102339317B1 (ko) Rf 임피던스 모델 기반 폴트 검출
KR20230164552A (ko) 플라즈마 시스 (sheath) 특성을 제어하기 위한 시스템들 및 방법들