KR102304002B1 - 플라즈마 임피던스와 관련된 파라미터에 대한 튜닝 - Google Patents

플라즈마 임피던스와 관련된 파라미터에 대한 튜닝 Download PDF

Info

Publication number
KR102304002B1
KR102304002B1 KR1020140004239A KR20140004239A KR102304002B1 KR 102304002 B1 KR102304002 B1 KR 102304002B1 KR 1020140004239 A KR1020140004239 A KR 1020140004239A KR 20140004239 A KR20140004239 A KR 20140004239A KR 102304002 B1 KR102304002 B1 KR 102304002B1
Authority
KR
South Korea
Prior art keywords
value
parameter
variable
local minimum
information
Prior art date
Application number
KR1020140004239A
Other languages
English (en)
Other versions
KR20140091498A (ko
Inventor
주니어 존 씨. 발코어
브래드포드 제이. 린다커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140091498A publication Critical patent/KR20140091498A/ko
Application granted granted Critical
Publication of KR102304002B1 publication Critical patent/KR102304002B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/466Radiofrequency discharges using capacitive coupling means, e.g. electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Abstract

플라즈마 임피던스와 관련된 플라즈마를 튜닝하기 위한 시스템 및 방법이 개시된다. 방법들 중 하나는 변수를 결정하기 위한 정보를 수신하는 단계를 포함한다. 정보는 전송 라인에서 측정되고, 파라미터가 제1 값을 가지는 경우 측정된다. 전송 라인은 플라즈마 챔버에 전력을 제공하는데 이용된다. 방법은 변수가 국부적인 최소값에 있는지 여부를 결정하는 단계, 및 변수가 국부적인 최소값에 있다고 결정되는 경우, 임피던스 매칭 회로를 튜닝하기 위해 제1 값을 제공하는 단계를 더 포함한다. 방법은 변수가 국부적인 최소값에 있지 않다고 결정되는 경우 제1 값을 파라미터의 제2 값으로 변경하는 단계, 및 파라미터가 제2 값을 가지는 경우 변수가 국부적인 최소값에 있는지 여부를 결정하는 단계를 포함한다.

Description

플라즈마 임피던스와 관련된 파라미터에 대한 튜닝 {TUNING A PARAMETER ASSOCIATED WITH PLASMA IMPEDANCE}
본 발명의 실시예들은 플라즈마 임피던스가 제어될 수 있는 파라미터의 결정과 관련된 것으로서, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 장치, 방법 및 컴퓨터 프로그램과 관련된 것이다.
플라즈마 시스템은 플라즈마 챔버 및 무선 주파수 (RF) 생성기를 포함한다. 생성기는 플라즈마 챔버 내의 전극으로 전력을 제공하는 RF 신호를 생성한다. RF 신호는 특성, 예를 들어 주파수 및 전력을 가진다. 특성의 변경이 있는 경우, 플라즈마 임피던스의 변경이 있다. 주파수 및 전력이 플라즈마 임피던스를 제어하기 위하여 제어된다.
이러한 맥락에서, 본 명세서에 개시된 실시예들이 고안되었다.
본 명세서의 실시예들은 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 장치, 방법 및 컴퓨터 프로그램을 제공한다. 본 실시예들이 다양한 방법, 예를 들어, 컴퓨터-판독가능한 매체 상의 프로세스, 장치, 시스템, 디바이스 또는 방법으로 구현될 수 있음은 물론이다. 다양한 실시예들이 아래에서 설명된다.
일 실시예에서, 플라즈마 시스템이 제공된다. 플라즈마 시스템에서, 파라미터, 예를 들어 주파수, 전력, 캐패시턴스, 인덕턴스 등이 변수의 국부적인 최소값에 기초하여 값으로 튜닝된다. 변수의 예들은, 플라즈마 시스템의 임피던스 매칭 회로의 인풋에서의 소스 임피던스, 플라즈마 시스템의 플라즈마와 관련된 감마, 반사 전력 등을 포함한다. 국부적인 최소값은, 파라미터에 하나 이상의 값이 할당될 때에 변수의 안정성에 기초하여, 및/또는 파라미터에 하나 이상의 값이 할당될 때에 변수가 임계값을 초과하는지 여부에 기초하여 결정된다. 국부적인 최소값이 이용될 때에, 파라미터의 값의 빠른 결정 (resolution) 이 달성되며, 이러한 결정은 변수의 적절한 값을 달성하기에 충분하다. 예를 들어, 국부적인 최소값의 이용은, 절대 최소값을 달성하기 위해 파라미터를 튜닝하는데 걸리는 시간과 비교하여, 국부적인 최소값을 달성하기 위해 파라미터를 튜닝하는데 걸리는 시간을 감소시킨다.
일 실시예에서, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법이 개시된다. 상기 방법은 변수를 결정하기 위한 정보를 수신하는 단계를 포함한다. 정보는 전송 라인에서 측정되고, 정보는 파라미터가 제1 값을 가지는 경우 측정된다. 전송 라인은 임피던스 매칭 회로를 통해 플라즈마 챔버로 전력을 제공하는데 이용된다. 상기 방법은 변수가 국부적인 최소값 (minima) 에 있는지 여부를 결정하는 단계, 및 변수가 국부적인 최소값에 있다고 결정되는 경우, 임피던스 매칭 회로를 튜닝하기 위해 제1 값을 제공하는 단계를 포함한다. 상기 방법은 변수가 국부적인 최소값에 있지 않다고 결정되는 경우, 제1 값을 파라미터의 제2 값으로 변경하는 단계, 및 파라미터가 제2 값을 가지는 경우, 변수가 국부적인 최소값에 있는지 여부를 결정하는 단계를 포함한다. 상기 방법은 프로세서에 의해 실행된다.
일 실시예에서, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법이 개시된다. 상기 방법은 변수를 결정하기 위한 정보를 수신하는 단계를 포함한다. 정보는 전송 라인에서 측정되고, 정보는 파라미터가 제1 값을 가지는 경우 측정된다. 전송 라인은 임피던스 매칭 회로를 통해 플라즈마 챔버로 전력을 제공하는데 이용된다. 상기 방법은 변수가 국부적인 최소값에 있는지 여부를 결정하는 단계, 및 변수가 국부적인 최소값에 있다고 결정되는 경우, 무선 주파수 (RF) 신호를 생성하기 위해 제1 값을 제공하는 단계를 더 포함한다. RF 신호는 제1 값에 대응되고, RF 신호는 플라즈마 챔버로 송신될 것이다. 상기 방법은 변수가 국부적인 최소값에 있지 않다고 결정되는 경우, 제1 값을 파라미터의 제2 값으로 변경하는 단계, 및 파라미터가 제2 값을 가지는 경우, 변수가 국부적인 최소값에 있는지 여부를 결정하는 단계를 포함한다. 상기 방법은 프로세서에 의해 실행된다.
일 실시예에서, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법이 개시된다. 상기 방법은 변수를 결정하기 위한 정보를 수신하는 단계를 포함한다. 정보는 전송 라인에서 측정되고, 정보는 파라미터가 제1 값을 가지는 경우 측정된다. 전송 라인은 임피던스 매칭 회로를 통해 플라즈마 챔버로 전력을 제공하는데 이용된다. 상기 방법은 또한 파라미터가 상기 제1 값을 가지는 경우, 변수가 국부적인 최소값에 있는지 여부를 결정하는 단계, 및 변수가 국부적인 최소값에 있다고 결정되는 경우, 파라미터의 제1 값이 학습된 값의 한도 내에 있는지 여부를 결정하는 단계를 포함한다. 학습된 값은 학습 루틴 동안 결정된다. 상기 방법은 파라미터의 제1 값이 한도 내에 있다고 결정되는 경우, 임피던스 매칭 회로를 튜닝하기 위해 또는 무선 주파수 (RF) 신호를 생성하기 위해 학습된 값을 제공하는 단계를 포함한다. 상기 방법은 파라미터가 한도 외부에 있는 제1 값을 가진다고 결정되는 경우, 제1 값을 파라미터의 제2 값으로 변경하는 단계를 포함한다. 상기 방법은 프로세서에 의해 실행된다.
일 실시예에서, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법이 개시된다. 상기 방법은 변수를 결정하기 위한 정보를 수신하는 단계를 포함한다. 정보는 전송 라인에서 측정되고, 정보는 파라미터가 제1 값을 가지는 경우 측정된다. 전송 라인은 임피던스 매칭 회로를 통해 플라즈마 챔버로 전력을 제공하는데 이용된다. 상기 방법은 변수의 국부적인 최소값을 결정하는 단계를 더 포함한다. 국부적인 최소값은 파라미터가 제1 값을 가지는 경우 달성된다. 상기 방법은 또한 제1 값을 파라미터의 하나 이상의 다른 값들로 변경함으로써 파라미터를 튜닝하는 단계, 및 파라미터가 하나 이상의 다른 값들을 가지는 경우, 변수가 불안정한 이벤트의 수를 결정하는 단계, 및 이벤트의 수가 경계치를 초과하는지 여부를 결정하는 단계를 포함한다. 상기 방법은 이벤트의 수가 경계치를 초과한다고 결정되는 경우, 파라미터의 튜닝 방향을 역전시키는 단계 및 이벤트의 수가 경계치를 초과하지 않는다고 결정되는 경우, 파라미터의 추가 튜닝을 중단하는 단계를 포함한다. 상기 방법은 프로세서에 의해 실행된다.
일 실시예에서, 국부적인 최소값은 절대 최소값과 상이하다.
앞서-설명된 실시예들의 일부 이점은, 변수의 국부적인 최소값에 대응하는 튜닝된 파라미터를 탐색하고 튜닝된 파라미터를 이용하여 프로세서를 제어하는 것을 포함한다. 국부적인 최소값은 변수가 안정하고 및/또는 변수의 값이 임계값을 초과하지 않을 때에 달성된다. 예를 들어, 플라즈마 챔버의 전극은 플라즈마 챔버 내의 플라즈마와 관련된 감마의 안정성에 대응하는 주파수 및/또는 전력에서 동작된다. 다른 예로서, 플라즈마 챔버의 전극은 임계값을 초과하지 않는 감마에 대응하는 주파수 및/또는 전력에서 동작된다. 또 다른 예로서, 임피던스 매칭 회로는 주파수에서 동작되고, 임피던스 매칭 회로 내의 캐패시터의 캐패시턴스는 변경되고, 및/또는 임피던스 매칭 회로 내의 인덕터의 인덕턴스는 임계값보다 작은 반사 전력의 값을 달성하기 위해 변경된다. 임계값을 초과할 수 있는 가능성을 감소시키기 위한 변수의 제어 및/또는 변수의 안정성은 플라즈마 챔버 내에 기판에 대한 제어된 프로세스, 예를 들어 에칭, 증착, 세정 등을 수행하는데 도움을 준다. 프로세스는 임계값을 초과하지 않는 및/또는 안정한 변수에 대응하는 파라미터를 유지함으로써 제어된다.
더욱이, 앞서-설명된 실시예들의 이점은, 변수의 절대 최소값을 탐색하는데 걸리는 시간보다 국부적인 최소값을 탐색하는데 덜 시간이 소요된다는 것이다. 절대 최소값을 탐색하는 것은 국부적인 최소값을 탐색하는 것보다 더 많은 시간이 소요된다. 예를 들어, 파라미터는 국부적인 최소값이 탐색된 이후에 절대 최소값을 달성하기 위해 경로 아래로 더 많이 변경되어야 한다. 이러한 변경에서는 국부적인 최소값을 탐색하는데 걸리는 시간보다 더 많은 시간이 소요된다.
또한, 앞서-설명된 실시예들의 이점은, 튜닝된 파라미터를 조정하기 위해 학습 루틴 동안 학습된 파라미터의 값을 이용함으로써, 임계값을 초과하지 않을 가능성의 증가 및/또는 플라즈마와 관련된 변수의 더 양호한 안정성을 달성하는 것을 포함한다. 튜닝된 파라미터는 기판 상에 수행되는 프로세스 동안 달성된다. 예를 들어, 튜닝된 파라미터는 변수의 안정성을 달성하기 위해 및/또는 파라미터가 임계값을 초과할 가능성을 감소키기기 위해 튜닝된다. 이러한 예에서, 튜닝된 파라미터는 파라미터의 학습된 값을 달성하기 위해 더 조정된다. 학습된 값은, 튜닝된 파라미터와 관련된 프로세스 동안 달성되는 안정성보다 더 높은 수준의 안정성을 달성하는데 도움을 주는, 및/또는 튜닝된 파라미터를 이용하여 수행되는 것보다 파라미터가 임계값을 초과할 가능성을 감소시키는데 더 효과적인 업무를 수행하는 값이다.
앞서-설명된 실시예들의 이점은, 파라미터를 튜닝하는 방향을 변경시킬지 여부를 결정하는데 있어서, 경계치를 초과하지 않는 플라즈마 내의 이벤트의 수를 감소시키는 것을 포함한다. 예를 들어, 기판의 프로세싱 동안 파라미터가 튜닝되는 방향은 튜닝 동안의 이벤트의 수가 경계치를 초과하는 경우 변경되며, 이벤트의 수가 경계치를 초과하지 않는 경우 변경되지 않는다. 이벤트의 수가 경계치를 초과하지 않는 경우, 이러한 이벤트 발생은 플라즈마와 관련된 변수의 불안정성 면에서 착오 (aberration) 이며, 이러한 착오는 방향이 역전되어야 하는지 여부를 결정하는데 고려되지 않는다. 반면에, 이벤트의 수가 경계치를 초과하는 경우, 이벤트의 발생은 변수의 불안정성을 가리키며, 방향은 역전된다.
첨부된 도면을 참조하여 행하여지는, 이하의 상세한 설명으로부터 본 발명의 다른 면이 더 명백하게 될 것이다.
첨부된 도면을 참고하여 개시된 이하의 상세한 설명을 참고하여 본 발명의 실시예들이 더 상세하게 이해될 수 있다.
도 1은 본 명세서에 개시된 일 실시예에 따른, 플라즈마 임피던스와 관련된 파라미터를 튜닝하는 다양한 방법을 설명하기 위한 그래프를 도시한다.
도 2는 본 명세서에 개시된 일 실시예에 따른, 튜닝된 파라미터를 결정하는 방법에 관한 흐름도이다.
도 3은 본 명세서에 개시된 일 실시예에 따른, 튜닝된 파라미터를 결정하기 위해 학습 루틴을 이용하는 방법에 관한 흐름도이다.
도 4는 본 명세서에 개시된 일 실시예에 따른, 파라미터를 튜닝하는 방향을 변화시킬지 여부를 결정하기 위한 방법에 관한 흐름도이다.
도 5는 본 명세서에 개시된 일 실시예에 따른, 파라미터를 튜닝하는 시스템에 관한 블록도이다.
도 6은 본 명세서에 개시된 일 실시예에 따른, 플라즈마 챔버에 전달되는 전력이 변화될 때에 반사 계수가 변화하는 것을 설명하는 그래프이다.
도 7은 본 명세서에 개시된 일 실시예에 따른, 변수의 불안정성이 파라미터의 값의 변화에 따르는 것을 설명하기 위한 그래프를 도시한다.
도 8은 본 명세서에 개시된 일 실시예에 따른, 무선 주파수 (RF) 신호의 주파수 감소를 이용하여 변수의 불안정성이 제어되는 것을 설명하기 위한 그래프이다.
도 9는 본 명세서에 개시된 일 실시예에 따른, RF 신호의 주파수 및/또는 전력이 튜닝될 때에 감마가 제어될 수 있는 것을 설명하기 위한 그래프를 도시한다.
도 10은 본 명세서에 개시된 일 실시예에 따른, RF 신호의 전력 및/또는 주파수가 튜닝될 때에 감마가 제어될 수 있는 것을 설명하기 위한 그래프를 도시한다.
도 11은 본 명세서에 개시된 일 실시예에 따른, RF 신호의 전력 및/또는 주파수가 튜닝될 때에 감마가 제어될 수 있는 것을 설명하기 위한 그래프를 도시한다.
도 12는 본 명세서에 개시된 일 실시예에 따른, 국부적인 최소값이 달성될 수 있는 전달 전력이 반복적으로 제어될 수 있는 것을 설명하기 위한 그래프를 도시한다.
도 13은 본 명세서에 개시된 일 실시예에 따른, 도 5의 시스템의 호스트 시스템에 관한 블록도이다.
이하의 실시예들은 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템 및 방법들을 개시한다. 본 발명의 실시예들은 이러한 구체적인 설명의 전부 또는 일부 없이도 실행될 수 있다. 다른 예로, 익히 공지된 프로세스 동작들은 본 발명의 실시예들을 불필요하게 모호하게 만들지 않기 위해 상세하게 설명되지 않았다.
도 1은 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 다양한 방법들을 설명하는 그래프 (170) 의 일 실시예에 관한 도면이다. 그래프 (170) 는 예를 들어 초, 분 등의 단위로 측정된, 시간에 대한 파라미터 (110) 를 도시하고 있다. 파라미터 (110) 의 예들은 주파수, 캐패시턴스, 인덕턴스, 전력 또는 이들의 조합을 포함한다. 주파수의 예들은 RF 생성기에 의해 생성된 무선 주파수 (RF) 신호의 주파수를 포함한다. RF 신호는 임피던스 매칭 회로의 전기적 부품, 이를 테면, 캐패시터, 인덕터 등으로 제공된다. 일부 실시예들에서, 반사 전력 및 순방향 전력이 RF 생성기의 아웃풋에서 측정된다.
그래프 (170) 는 시간 대 변수 (106) 를 또한 도시한다. 변수 (106) 의 예들은 감마, 반사 전력, 복소 전압 반사 계수 Γ, 전달 전력, 순방향 전력, 전압 정재파 비 (VSWR), 임피던스 매칭 회로의 인풋 (input) 에서의 소스 임피던스 등을 포함한다. 복소 전압 반사 계수 Γ이 본 명세서에서 반사 계수로서 때때로 지칭된다는 점에 유의해야 한다. 감마의 예들은 반사 전력 및 순방향 전력 사이의 관계, 예를 들어, 순방향 전력에 대한 반사 전력의 비를 포함한다. 전달 전력의 예들은 순방향 전력과 반사 전력 사이의 차이다. 순방향 전력은 무선 주파수 (RF) 생성기로부터 생성되고, 무선 주파수 (RF) 생성기로부터 전송 라인을 통해 플라즈마 챔버로 송신되는 전력이다. 반사 전력은 플라즈마 챔버로부터 RF 생성기를 향하여 반사된 전력이다.
도 2는 튜닝된 파라미터를 결정하기 위한 방법 (129) 의 일 실시예에 관한 흐름도이다. 방법 (129) 은 플라즈마 시스템의 하나 이상의 프로세서에 의해, 예를 들어, RF 생성기의 프로세서, 호스트 시스템의 프로세서 등에 의해 실행된다. 프로세서의 예들은 애플리케이션 주문형 집적 회로 (ASIC), 프로그램 가능한 로직 디바이스 (PLD), 마이크로프로세서, 마이크로제어부, 중앙 프로세싱 유닛 (CPU) 등을 포함한다. RF 생성기는 메가헤르츠 (MHz) RF 생성기이다. A MHz RF 생성기 및 호스트 시스템이 아래에서 더 설명된다.
도 2는 도 1의 그래프 (170) 를 참고하여 이해된다. 동작 104에서, 정보가 변수를 결정하기 위해 수신된다. 정보는 전송 라인에서 측정된다. 정보의 예들은 순방향 전력, 반사 전력, 전압, 전류 또는 이들의 조합을 포함한다. 정보는 전송 라인에 커플링되는 센서를 이용하여 측정된다.
파라미터 (110) 가 제1 값 (124) 을 가질 때에 정보가 측정된다 (도 1). 예를 들어, 센서는, A MHz RF 생성기가 P 킬로와트 (kW) 의 전력 값 및/또는 F MHz의 주파수를 가지는 RF 신호를 전송 라인을 통해 플라즈마 챔버로 제공할 때의 순방향 전력 및 반사 전력을 측정하며, 여기서 A, P 및 F는 제로보다 더 큰 실수이다. A MHz의 예들은 2 MHz, 27 MHz, 및 60 MHz을 포함한다. P의 예들은, 아래에서 설명되는 P1 및 P0를 포함한다. 더욱이, F의 예들은, 아래에서 설명되는 F1 및 FO를 포함한다. 일부 실시예에서, A MHz는 F MHz와 같다. 정보 측정의 다른 예로서, A MHz RF 생성기가 전력 및/또는 주파수 값을 A MHz RF 생성기의 드라이버 및 증폭기 시스템 (DAS) 에 제공하여, DAS가 이 전력 및 주파수 값들에 대응하는, 예를 들어 이 이 전력 및 주파수 값과 동일하거나 이의 증폭된 값이거나 하는 값들을 가지는 RF 신호를 생성하게끔 하는 것과 동시에, 센서는 전송 라인 상의 순방향 전력 및 반사 전력 또는 전압 및 전류를 측정한다.
하나 이상의 센서가, 전송 라인를 통해 A MHz RF 생성기에 커플링되는 임피던스 매칭 회로의 인풋에서 또는 A MHz RF 생성기의 아웃풋에서 정보를 측정한다는 점에 대해 유의해야 한다. 예를 들어, 하나 이상의 센서는 A MHz RF 생성기의 아웃풋에서의 순방향 전력 및 반사 전력, 임피던스 매칭 회로의 인풋에서의 전압 및 전류, A MHz RF 생성기의 아웃풋에서의 전압 및 전류 등을 측정한다. 임피던스 매칭 회로의 인풋에서 측정된 전압 및 전류는 임피던스 매칭 회로의 인풋에서 소스 임피던스를 계산하는데 이용된다. 계산은 플라즈마 시스템의 하나 이상의 프로세서에 의해 수행되며, 이는 아래에서 설명된다. A MHz RF 생성기의 아웃풋에서 순방향 전력 및 반사 전력은 전달 전력을 계산하는데 이용된다. 계산은 플라즈마 시스템의 하나 이상의 프로세서에 의해 수행된다.
변수 (106) 가 이러한 정보로부터 결정된다. 예를 들어, 전송 라인에서 측정되는 전압 및 전류의 비가 계산된다. 다른 예로서, 전송 라인에서 측정되는 반사 전력 및 순방향 전력이 연산된다. 또 다른 예로서, A MHz RF 생성기의 아웃풋에서 전달 전력을 결정하기 위해, 순방향 전력과 반사 전력의 차가 계산된다. 또 다른 예로서, 임피던스 매칭 회로의 인풋에서 소스 임피던스가 계산된다. 또 다른 예로서, 복소 전압 반사 계수 Γ가 계산된다.
동작 112에서, 파라미터가 상태 S1 또는 상태 S0 동안의 값인 제1 값 (124) 을 가질 때에, 변수 (106) 가 국부적인 최소값 (114) 에 있는지 여부가 결정된다. 예를 들어, 파라미터가 제1 값 (124) 을 가질 때에, 변수가 임계값을 초과하는지 여부가 결정된다. 또 다른 예로서, 임피던스 매칭 회로 내의 가변 캐패시터의 캐패시턴스가 제1 값 (124) 을 가질 때에, 정보로부터 결정된 반사 전력이 임계값을 초과하는지 여부가 결정된다. 또 다른 예로서, 임피던스 매칭 회로 내의 가변 인덕터의 인덕턴스가 제1 값 (124) 을 가질 때에, 정보로부터 결정된 반사 전력이 임계값을 초과하는지 여부가 결정된다. 또 다른 예로서, 임피던스 매칭 회로의 동작 주파수가 제1 값 (124) 을 가질 때에, 정보로부터 결정된 반사 전력이 임계값을 초과하는지 여부가 결정된다. 일부 실시예에서, 임피던스 매칭 회로의 동작 주파수는 A MHz RF 생성기에 의해 임피던스 매칭 회로로 제공된 RF 신호의 주파수와 같다. 또 다른 예로서, 임피던스 매칭 회로 내의 가변 캐패시터의 캐패시턴스가 소정의 값을 가질 때에, 임피던스 매칭 회로 내의 인덕터의 인덕턴스가 소정의 값을 가질 때에, 및/또는 임피던스 매칭 회로의 동작 주파수가 소정의 값을 가질 때에, 정보로부터 결정된 반사 전력이 임계값을 초과하는지 여부가 결정된다. 이러한 예에서, 인덕턴스, 캐패시턴스 및/또는 동작 주파수는 제1 값 (124) 에 대응한다는 점에 유의해야 한다. 설명하자면, 주파수 값, 인덕턴스 및/또는 캐패시턴스가 달성될 때에, 제1 값 (124) 이 달성된다. 임계값의 예는 0.5의 감마 값을 포함한다. 임계값의 또 다른 예는 0.6의 감마 값을 포함한다. 임계값의 또 다른 예는 Z1 옴 (ohms) 의 소스 임피던스를 포함하며, 여기서 Z1은 제로가 아닌 실수이다. 임계값의 또 다른 예는 0 와트의 범위 내의 반사 전력을 포함한다. 소정의 값, 예를 들어 0.2 와트, 0.5 와트, 1 와트, 10 와트, 20 와트, 100 와트, 200 와트 등의 반사 전력은 0 와트의 범위 내에 있다. 상태 S1 및 S0은 아래에서 더 설명된다.
변수 (106) 가 국부적인 최소값 (114) 에 있는지 여부를 결정하는 또 다른 예로서, 파라미터가 제1 값 (124) 을 가질 때에, 변수 (106) 가 안정적인지 여부가 결정된다. 이러한 예에서, 변수 (106) 가 안정적인지 여부를 결정하기 위해, 변수 (106) 의 변동 (oscillation) 이 결여되었는지 여부가 결정된다. 설명하자면, 파라미터가 제1 값 (124) 을 가질 때에, 변수 (106) 가 두 개의 값들 사이에서 변동하는지 여부가 결정된다. 다른 설명으로서, 변수 (106) 가 제1 값의 전달 전력과 제2 값의 전달 전력 사이에서 변동하는지 여부가 결정된다. 이러한 예에서, 변수 (106) 가 두 개의 값들 사이에서 변동한다는 결정에 응답하여, 변수 (106) 가 불안정하다고 결정된다. 그렇지 않고, 변수가 두 개의 값들 사이에서 변동이 결여되었다고 결정된다면, 변수 (106) 가 안정하다고 결정된다. 또 다른 예로서, 파라미터가 제1 값을 가질 때에, 변수 (106) 가 최소값, 예를 들어 최소 전달 전력 값, 최소 소스 임피던스 값, 최소 반사 전력 값 등과, 최대값, 예를 들어 최대 전달 전력 값, 최대 소스 임피던스 값, 최대 반사 전력 값 등 사이에서 변동하는지 여부가 결정되며, 최소값과 최대값 간의 범위가 미리 결정된 범위를 초과하는지 여부가 결정된다. 이러한 예에서, 최소값과 최대값 간의 범위가 미리 결정된 범위를 초과한다고 결정된다면, 변수 (106) 가 불안정하다고 결정된다. 그렇지 않고, 최소값과 최대값 사이의 범위가 미리 결정된 범위를 초과하지 않는다고 결정된다면, 변수 (106) 가 안정하다고 결정된다.
변수 (106) 가 임계값을 초과한다고, 또는 변수 (106) 가 불안정하다고 결정된다면, 변수 (106) 는 국부적인 최소값 (114) 에 있지 않다고 결정된다. 반면에, 변수 (106) 가 임계값을 초과하지 않는다고, 또는 변수 (106) 가 안정하다고 결정된다면, 변수 (106) 는 국부적인 최소값 (114) 에 있다고 결정된다
일 실시예에서, 변수 (106) 가 임계값을 초과하거나 변수 (106) 가 불안정하다는 것 중에서 어느 하나가 해당되면 변수 (106) 가 국부적인 최소값 (114) 에 있지 않다고 결정하는 것 대신에, 변수 (106) 가 임계값을 초과하고 변수 (106) 가 불안정하다고 결정되는 경우에 변수 (106) 가 국부적인 최소값에 있지 않다고 결정된다.
일부 실시예에서, 변수 (106) 가 임계값을 초과하지 않고 변수 (106) 가 안정하다고 결정되면 변수 (106) 가 국부적인 최소값 (114) 에 있다고 결정하는 것 대신에, 변수 (106) 임계값을 초과하지 않거나 변수 (106) 가 안정하다는 것 중에서 어느 하나가 해당되면 변수 (106) 가 국부적인 최소값에 있다고 결정된다.
일 실시예에서, 방법 (129) 은 플라즈마 챔버 내의 기판에 대한 프로세싱, 예를 들어서 기판 상에 증착된 층들을 에칭하는 것, 기판 상에 층들을 증착하는 것, 기판을 세정하는 것 등을 하는 동안에 실행된다는 점에 대해 유의해야 한다. 예를 들어, 방법 (129) 은 학습된 (learned) 값을 이용하는 것 없이 실행되는데, 이는 아래에서 더 설명된다. 플라즈마 시스템의 하나 이상의 프로세서는 방법 (129) 의 실행 동안 학습된 값을 적용하지 않는다.
일 실시예에서, 학습된 값은, 학습 루틴 동안 플라즈마 시스템의 하나 이상의 프로세서에 의해 생성된다. 학습 루틴 동안, 펄스 플라즈마가 기판을 프로세싱하기 위해 플라즈마 챔버 내에서 점화되지 (struck) 않는다. 이러한 실시예에서, 방법 (129) 이 실행되는 것과 유사한 방식으로 학습 루틴이 실행된다. 일부 실시예에서, 학습 루틴 동안, 펄스 플라즈마가 기판을 프로세싱하는 일 없이 플라즈마 챔버 내에서 점화된다. 이러한 실시예에서, 방법 (129) 이 실행되는 것과 유사한 방식으로 학습 루틴이 실행된다. 기판의 예는 웨이퍼를 포함한다. 기판의 또 다른 예는 그 위에 전기 회로, 예를 들어 트랜지스터, 저항기, 캐패시터, 로직 게이트 등을 포함하는 회로가 형성된 웨이퍼를 포함한다.
일 실시예에서, 방향 (166) 으로 값 (125) 으로부터 제1 값 (124) 을 통하여 제2 값 (126) 으로의 경로를 거침으로써, 국부적인 최소값 (114) 이 탐색된다. 예를 들어, 임피던스 매칭 회로의 캐패시터의 캐패시턴스를 값 (125) 으로 변경하기 위해, 호스트 시스템은 아래에서 더 설명되는 모터 시스템을 제어한다. 또 다른 예로서, 호스트 시스템은 모터 시스템을 제어하거나, 임피던스 매칭 회로의 인덕터로의 전류의 양을 제어하여 인덕터의 인덕턴스를 값 (125) 으로 변경시킨다. 또 다른 예로서, 호스트 시스템은 값 (125) 을 가지는 주파수를 A MHz RF 생성기에 제공하도록 결정하며, 이로써 이 생성기는 이 값 (125) 을 가지는 RF 신호를 생성하고 이러한 RF 신호를 임피던스 매칭 회로에 제공하며 이로써 임피던스 매칭 회로를 값 (125) 으로 동작시킨다. 또 다른 예로서, 호스트 시스템은, 모터 시스템을 제어하여 임피던스 매칭 회로의 캐패시터의 캐패시턴스를 소정의 값으로 변경하고, 모터 시스템을 제어하거나 임피던스 매칭 회로의 인덕터로의 전류의 양을 제어하여 인덕터의 인덕턴스를 소정의 값을 변경시키고, A MHz RF 생성기로 소정의 주파수를 제공하여 임피던스 매칭 회로를 상기 주파수 값에서 작동하도록 결정한다. 이러한 예에서, 값 (125) 은 캐패시턴스 값, 인덕턴스 값 및/또는 주파수 값을 포함한다. 설명하자면, 값 (125) 은 캐패시턴스 값, 주파수 값 및/또는 인덕턴스 값에 대응한다. 더 설명하자면, 캐패시턴스, 인덕턴스 및/또는 주파수 값이 달성될 때에, 값 (125) 이 달성된다. 다른 예로서, A MHz RF 생성기의 프로세서는 값 (125) 을 가지는 파라미터 (110) 를 A MHz RF 생성기의 DAS로 제공하도록 결정한다. A MHz RF 생성기의 DAS는 값 (125) 에 대응하는 RF 신호를 생성하고, 상기 RF 신호를 전송 라인을 통해 플라즈마 챔버로 제공한다.
플라즈마 시스템의 하나 이상의 센서는 파라미터 (110) 가 값 (125) 을 가질 때에 정보를 측정하기 위해 전송 라인을 감지한다. 플라즈마 시스템의 하나 이상의 프로세서는 파라미터가 값 (125) 을 가질 때에 변수 (106) 의 하나 이상의 값을 결정한다. 유사하게, 플라즈마 시스템의 하나 이상의 프로세서는 파라미터 (110) 가 제1 값 (124) 및 제2 값 (126) 을 가질 때에 변수 (106) 의 하나 이상의 값을 결정한다.
또한, 플라즈마 시스템의 하나 이상의 프로세서는 파라미터 (110) 가 값 (125) 을 가질 때에 변수 (106) 의 하나 이상의 값이 안정하고 임계값을 초과하지 않는지 여부를 결정한다. 더욱이, 하나 이상의 프로세서는, 파라미터 (110) 가 제1 값 (124) 을 가질 때에 변수 (106) 의 하나 이상의 값이 안정하고 임계값을 초과하지 않는지 여부, 및 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 의 하나 이상의 값이 안정하고 임계값을 초과하지 않는지 여부를 결정한다.
파라미터 (110) 가 제1 값 (124) 을 가질 때에 변수 (106) 의 하나 이상의 값이 안정하고 임계값을 초과하지 않는다고, 파라미터 (110) 가 값 (125) 을 가질 때에 변수 (106) 의 하나 이상의 값이 불안정하거나 임계값을 초과한다고, 그리고 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 의 하나 이상의 값이 불안정하거나 임계값을 초과한다고 결정하는 경우, 하나 이상의 프로세서는 파라미터가 제1 값 (124) 을 가질 때에 변수 (106) 가 국부적인 최소값 (114) 에 있다고 결정한다.
일 실시예에서, 방향 (166) 으로 값 (125) 으로부터 제1 값 (124) 을 통하는 경로를 거쳐서 국부적인 최소값 (114) 이 탐색되고 이 최소값 (114) 이 발견될 때에 중단된다. 예를 들어, 일단 국부적인 최소값 (114) 이 발견되면, 플라즈마 시스템의 하나 이상의 프로세서는 방향 (166) 으로의 경로를 따라 더 이동하지 않고, 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 의 하나 이상의 값이 불안정하거나 임계값을 초과하는지 여부를 결정한다. 이러한 실시예에서, 오히려, 파라미터 (110) 가 제1 값 (124) 을 가질 때에 변수 (106) 의 하나 이상의 값이 안정하고 임계값을 초과하지 않는다고 결정되는 경우, 하나 이상의 프로세서는 국부적인 최소값 (114) 이 발견되었다고 결정한다.
파라미터가 제1 값 (124) 을 가질 때에 변수 (106) 가 국부적인 최소값 (114) 에 있다는 결정에 응답하여, 동작 118에서, 호스트 시스템의 하나 이상의 프로세서가 임피던스 매칭 회로 및/또는 A MHz RF 생성기를 계속 튜닝하여, 제1 값 (124) 으로 파라미터를 유지시킨다. 예를 들어, 호스트 시스템의 프로세서는 A MHz RF 생성기의 디지털 신호 프로세서 (DSP) 를 제어하여 (instructs), 제1 값 (124) 을 갖는 파라미터 (110) 를 A MHz RF 생성기의 DAS에 계속 제공하며, A MHz RF 생성기의 DAS는 제1 값 (124) 을 갖는 파라미터를 갖는 RF 신호를 계속 생성한다. 또 다른 예로서, 호스트 시스템은, 임피던스 매칭 회로 내에서 캐패시터의 캐패시터 판을 소정의 거리로 홀딩하여 제1 값 (124) 의 캐패시턴스를 유지하기 위해, 제어 신호를 모터 시스템에 계속 제공한다. 다른 예로서, 호스트 시스템은 제어 신호를 모터 시스템에 계속 제공하거나 임피던스 매칭 회로 내의 인덕터에 전류를 계속 제공하여, 인덕터의 제1 값 (124) 의 인덕턴스를 유지한다. 또 다른 예로서, 호스트 시스템은 임피던스 매칭 회로를 제어하여 임피던스 매칭 회로의 동작을 제1 값 (124) 의 예인 제1 주파수 값으로 유지한다. 또 다른 예로서, 호스트 시스템은, 임피던스 매칭 회로 내에서 캐패시터의 캐패시터 판을 소정의 거리로 홀딩하여 캐패시턴스를 유지하기 위해 제어 신호를 모터 시스템으로 제공하며, 모터 시스템으로 제어 신호를 계속 제공하거나 임피던스 매칭 회로 내의 인덕터로 전류를 계속 제공하여 인덕터의 인덕턴스를 유지하며, 및/또는 임피던스 매칭 회로를 제어하여 임피던스 매칭 회로의 동작을 주파수 값으로 유지한다. 이러한 예에서, 제1 값 (124) 은 캐패시턴스, 인덕턴스 및/또는 주파수 값에 대응한다는 점에 유의해야 한다. 동작 104 는 동작 118 이후에 반복된다.
반면에, 파라미터가 제1 값 (124) 을 가질 때에 변수 (106) 가 국부적인 최소값 (114) 에 있지 않다고 결정하는 경우, 동작 122에서, 제1 값 (124) 은 다른 값으로, 예를 들어 제2 값 (126) 으로 변경된다. 일 예로서, 제1 값 (124) 의 제공에 연이어서, 다른 값이 A MHz RF 생성기의 프로세서에 의해 A MHz RF 생성기의 DAS로 제공된다. 설명하자면, 제1 값 (124) 이 제공된 이후에, 제1 값 (124) 과 제2 값 (126) 사이의 어떠한 값도 A MHz RF 생성기의 프로세서에 의해 A MHz RF 생성기의 DAS로 제공되지 않는다. 또 다른 예로서, 제2 값 (126) 이 호스트 시스템에 의해 모터 시스템으로 제공되어 제2 값 (126) 의 임피던스 매칭 회로 내의 인덕터스 또는 캐패시턴스를 달성한다. 또 다른 예로서, 제2 값 (126) 이 호스트 시스템에 의해 A MHz RF 생성기로 제공되어 임피던스 매칭 회로의 제2 값 (126) 의 동작 주파수를 달성한다. 또 다른 예로서, 캐패시턴스가 호스트 시스템에 의해 모터 시스템으로 제공되며, 인덕턴스가 호스트 시스템에 의해 모터 시스템으로 제공되며, 및/또는 소정의 주파수로 동작하여 제2 값 (126) 을 달성하도록 임피던스 매칭 회로가 호스트 시스템에 의해 제어된다. 또 다른 예로서, 캐패시턴스가 호스트 시스템에 의해 모터 시스템으로 제공되며, 전류가 임피던스 매칭 회로의 인덕터로 제공되며, 및/또는 소정의 주파수로 동작하여 제2 값 (126) 을 달성하도록 임피던스 매칭 회로가 호스트 시스템에 의해 제어된다.
방법 (129) 은 제1 값 (124) 에 관하기보다는 제2 값 (126) 에 관한 동작 122 이후에 반복된다. 예를 들어, 파라미터 (110) 는 제2 값 (126) 을 가지며, A MHz RF 생성기의 DAS는 제2 값 (126) 에 대응하는 값을 갖는 RF 신호를 생성하여 전송 라인을 통해 플라즈마 챔버로 제공한다. 또 다른 예로서, 플라즈마 시스템의 하나 이상의 프로세서로부터 임피던스 매칭 회로의 캐패시터의 캐패시턴스가 제2 값 (126) 이 되었다는 표시를 수신할 때에, 모터 시스템은 임피던스 매칭회로의 캐패시터의 판을 이동시켜 제2 값 (126) 의 캐패시턴스를 달성한다. 또 다른 예로서, 플라즈마 시스템의 하나 이상의 프로세서로부터 임피던스 매칭 회로의 인덕터의 인덕턴스가 제2 값 (126) 이 되었다는 표시가 수신될 때에, 모터 시스템은 임피던스 매칭 회로의 인덕터 내의 아이언 (iron) 코어의 삽입 양을 변경하여, 예를 들어 이를 증가하고, 감소시키는 등으로 동작하여, 제2 값 (126) 의 인덕턴스를 달성한다. 또 다른 예로서, 플라즈마 시스템의 하나 이상의 프로세서로부터 임피던스 매칭 회로의 인덕터의 인덕턴스가 제2 값 (126) 이 되었다는 표시가 수신될 때에, 호스트 시스템은 임피던스 매칭 회로의 인덕터로 제공되는 전류의 양을 변경하여 제2 값 (126) 의 인덕턴스를 달성한다. 또 다른 예로서, 플라즈마 시스템의 하나 이상의 프로세서로부터 임피던스 매칭 회로의 동작 주파수가 제2 값 (126) 이 되었다는 표시가 수신될 때에, 임피던스 매칭 회로가 A MHz RF 생성기를 통해 제2 값 (126) 의 주파수로 동작된다. 또 다른 예로서, 임피던스 매칭 회로 내의 캐패시터의 캐패시턴스, 임피던스 매칭 회로 내의 인덕터의 인덕턴스 및 임피던스 매칭 회로의 동작 주파수의 조합이 제2 값 (126) 을 달성하도록 적용된다는 점에 유의해야 한다. 예를 들어, 모터 시스템은 임피던스 매칭 회로의 캐패시터의 판을 동작하고, 임피던스 매칭 회로의 인덕터의 인덕턴스는 제2 값 (126) 을 달성하도록 제어되고, 이러한 예에서, 제2 값 (126) 은 캐패시터의 캐패시턴스 및 인덕터의 인덕턴스를 포함한다. 파라미터 (110) 가 제2 값 (126) 으로 변경되고 제2 값 (126) 이 플라즈마 시스템에 적용될 때에, 변수 (106) 의 하나 이상의 값을 결정하기 위한 정보가 수신된다.
A MHz RF 생성기의 센서가 파라미터 (110) 가 제2 값 (126) 을 가질 때에 전송 라인에서 정보를 측정한다. 파라미터 (110) 가 제2 값 (126) 을 가질 때에 측정된 정보에 기초하여, 변수 (106) 의 하나 이상의 값이 플라즈마 시스템의 하나 이상의 프로세서에 의해 결정된다. 더욱이, 동작 112에서, 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 의 하나 이상의 값이 국부적인 최소값에 있는지 여부가 결정된다.
다양한 실시예에서, 방향 (166) 으로 횡단하는 동안 플라즈마 시스템의 하나 이상의 프로세서에 의해 A MHz RF 생성기의 DSP 및/또는 모터 시스템으로 연속해서 제공되는 파라미터 (110) 의 임의의 두 개의 값, 예를 들어 주파수 값들 등 사이의 차는 최소값이다. 예를 들어, 값 (125) 과 제1 값 (124) 사이의 차는 킬로헤르츠 (kHz) 의 범위 내에 있다. 다른 예로서, 값 (125) 과 제1 값 (124) 사이의 차가 10 내지 20 kHz 사이에 있다.
더욱이, 일부 실시예에서, 방향 (166) 으로 횡단하는 동안 플라즈마 시스템의 하나 이상의 프로세서에 의해 A MHz RF 생성기의 DSP 및/또는 모터 시스템으로 연속하여 제공되는 파라미터 (110) 의 임의의 두 개의 값들 사이의 차는 동일하다. 예를 들어, 제1 값 (124) 과 값 (125) 사이의 차는 제2 값 (126) 과 제1 값 (124) 사이의 차와 동일하다.
일 실시예에서, 국부적인 최소값이 절대 최소값 (116) 과 상이하다는 점을 유의해야 한다. 예를 들어, 플라즈마 시스템의 하나 이상의 프로세서는 국부적인 최소값 (114) 이 결정되는 경우 절대 최소값 (116) 을 탐색할 필요가 없다. 국부적인 최소값 (114) 이 결정된 이후에 하나 이상의 프로세서가 파라미터 (110) 를 방향 (166) 으로 계속 튜닝한다면, 절대 최소값 (116) 이 탐색된다. 절대 최소값 (116) 은 파라미터 (110) 가 값 (127) 을 가질 때에 달성된다. 절대 최소값 (116) 의 예는 제로 와트의 반사 전력을 포함한다. 절대 최소값 (116) 의 다른 예는 50 옴의 임피던스 매칭 회로의 인풋에의 소스 임피던스이다. 일부 실시예에서, 임피던스 매칭 회로의 인풋에의 소스 임피던스는, 임피던스 매칭 회로의 인풋으로부터 결정되는 바와 같은 전송 라인 및 A HMz RF 생성기의 임피던스를 포함한다.
일 실시예에서, 파라미터 (110) 가 값 (127) 을 가질 때의 변수 (106) 의 변동 범위가 파라미터 (110) 가 제1 값 (124) 을 가질 때의 변수 (106) 의 변동 범위보다 작다. 일부 실시예에서, 파라미터가 값 (127) 을 가질 때의 변수 (106) 의 최대값 최대 (0) 는 파라미터가 제1 값 (124) 을 가질 때의 최대값 최대 (-3) 보다 적다. 이러한 실시예에서, 최대 (0) 및 최대 (-3) 모두는 임계값을 초과하지 않는다.
도 1에 도시된 최소 및 최대는 변수의 최소값 및 최대값이라는 점에 더 유의해야 한다. 예를 들어, 값들 최소 (-4) 및 최대 (-4) 는 파라미터 (110) 가 값 (125) 을 가질 때의 변수 (10)의 값들이다. 또 다른 예로서, 값들 최소 (-3) 및 최대 (-3) 는 파라미터 (110) 가 제1 값 (124) 을 가질 때의 변수 (106) 의 값이고, 값들 최소 (-2) 및 최대 (-2) 는 파라미터 (110) 가 제2 값 (126) 을 가질 때의 변수 (106) 의 값이고, 값들 최소 (-1) 및 최대 (-1) 는 파라미터 (110) 가 값 (160) 을 가질 때의 변수 (106) 의 값이고, 그리고 값들 최소 (0) 및 최대 (0) 는 파라미터 (110) 가 값 (127) 을 가질 때의 변수 (106) 의 값이다.
일 실시예에서, 국부적인 최소값 (114) 은 절대 최소값이다.
도 3은 튜닝된 파라미터를 결정하기 위해 학습 루틴을 이용하기 위한 일 실시예의 방법 (136) 에 관한 흐름도이다. 방법 (136) 은 플라즈마 시스템의 하나 이상의 프로세서에 의해 실행된다.
방법 (136) 은 도 1 및 2를 참고하여 위에서 설명된 동작 104, 112 및 122를 포함한다. 더욱이, 도 1 및 3을 참고하여, 변수 (106) 가 파라미터가 제1 값 (124) 을 가질 때의 국부적인 최소값 (114) 에 있다고 결정하는 경우, 동작 138에서, 제1 값 (124) 이 학습된 값, 예를 들어 값 (160; 도 1) 의 한도 내에 있는지 여부가 결정된다. 예를 들어, 학습된 값과 제1 값 (124) 사이의 차가 한도, 예를 들어 10 kHz, 20 KHz, 1 킬로와트 (kW), 10 kW, 1 와트, 10 와트, 1 옴, 5 옴, 2 옴 등보다 작은지 여부가 결정된다. 일 실시예에서, 한도는 kHz의 범위 내에 있다.
학습된 값은 학습 루틴 동안 A MHz RF 생성기의 프로세서에 의해 학습된 값이다. 예를 들어, 학습 루틴 동안, 플라즈마 시스템의 하나 이상의 프로세서는 방향 (166) 으로 경로를 거치며, 변수 (106) 가 파라미터 (110) 가 제1 값 (124) 을 가질 때의 국부적인 최소값 (114) 에 있다고 결정하는 것 대신에, 하나 이상의 프로세서는 변수 (106) 가 파라미터 (110) 가 값 (160) 을 가질 때의 국부적인 최소값에 있다고 결정한다.
제1 값 (124) 이 학습된 값의 한도 외부에 있다고 결정하는 경우, 동작 122에서, 제1 값은 다른 값으로 변경된다. 반면에, 제1 값이 학습된 값의 한도 내에 있다고 결정하는 경우, 동작 140에서, 학습된 값이 임피던스 매칭 회로 또는 A MHz RF 생성기를 튜닝하기 위해 제공된다. 예를 들어, 플라즈마 시스템의 하나 이상의 프로세서는 A MHz RF 생성기의 DSP로 학습된 값을 제공한다. A MHz RF 생성기의 DSP는 플라즈마 시스템의 하나 이상의 프로세서로부터 학습된 값을 수신하고, A MHz RF 생성기의 DAS로 학습된 값을 제공한다. A MHz RF 생성기는 학습된 값에 대응하는 값을 가지는 RF 신호를 생성하고, 상기 RF 신호를 전송 라인을 통해 플라즈마 챔버로 제공한다. 또 다른 예로서, 모터 시스템은 플라즈마 시스템의 하나 이상의 프로세서로부터 학습된 값, 예를 들어 캐패시턴스 값을 수신하고, 임피던스 매칭 회로 내의 캐패시터를 제어하여 학습된 값을 달성한다. 또 다른 예로서, 모터 시스템은 플라즈마 시스템의 하나 이상의 프로세서로부터 학습된 값, 예를 들어 인덕턴스 값을 수신하고, 임피던스 매칭 회로 내의 인덕터를 제어하여 학습된 값을 달성한다. 또 다른 예로서, 모터 시스템은 임피던스 플라즈마 시스템의 하나 이상의 프로세서로부터 매칭 회로 내의 캐패시터의 캐패시턴스 값 및 임피던스 매칭 회로 내의 인덕터의 인덕턴스 값을 포함하는 학습된 값을 수신하고, 인덕터 및 캐패시터를 제어하여 학습된 값을 달성한다. 또 다른 예로서, 플라즈마 시스템의 하나 이상의 프로세서로부터 학습된 값을 수신하는, A MHz RF 생성기로부터 수신된 RF 신호 내의 학습된 값을 수신하는 경우, 임피던스 매칭 회로는 학습된 값으로, 예를 들어 주파수로 동작한다. 또 다른 예로서, 학습된 값을 달성하기 위해, 임피던스 매칭 회로는 주파수로 동작하고, 임피던스 매칭 회로의 캐패시터의 캐패시턴스가 제어되고, 및/또는 임피던스 매칭 회로의 인덕터의 인덕턴스가 제어된다.
방법 136은 동작 140 이후에 반복된다. 예를 들어, 동작 104, 112, 122, 및 138은 제1 값 (124) 대신에 학습된 값에 관하여 수행된다. 설명하자면, 파라미터 (110) 가 학습된 값을 가질 때에, A MHz RF 생성기의 DAS는 학습된 값에 대응하는 값을 갖는 RF 신호를 생성하고, RF 신호를 전송 라인을 통해 플라즈마 챔버로 제공한다. 학습된 값에 대응하는 값을 갖는 RF 신호가 플라즈마 챔버로 제공될 때에, 변수 (106) 의 하나 이상의 값을 결정하기 위한 정보가 수신된다. A MHz RF 생성기의 센서가 파라미터 (110) 가 학습된 값을 가질 때에 전송 라인에서 정보를 측정한다. 파라미터 (110) 가 학습된 값을 가질 때에 측정되는 정보에 기초하여 변수 (106) 의 하나 이상의 값이 A MHz RF 생성기의 프로세서에 의해 결정된다. 더욱이, 동작 112에서, 파라미터 (110) 가 학습된 값을 가질 때에 변수 (106) 의 하나 이상의 값이 국부적인 최소값에 있는지 여부가 결정된다. 또한, 동작 122에서, 파라미터 (110) 은 학습된 값을 가질 때에 변수 (106) 의 하나 이상의 값이 국부적인 최소값에 있지 않다고 결정하는 경우, 학습된 값은 다른 값으로 변경된다. 다른 예로서, 모터 시스템이 임피던스 매칭 회로의 캐패시터를 제어하여 학습된 값을 달성할 때에, 플라즈마 시스템의 하나 이상의 센서가 전송 라인 상의 순방향 전력 및 반사 전력을 감지한다. 하나 이상의 센서는 A MHz RF 생성기의 DSP를 통해 호스트 시스템으로 순방향 전력 값 및 반사 전력 값을 제공한다. 호스트 시스템은 순방향 전력 값 및 반사 전력 값으로부터 반사 전력을 결정하며, 반사 전력 값이 국부적인 최소값에 있는지 여부를 결정한다.
일 실시예에서, 방법 (136) 이 기판의 프로세싱 동안 실행된다는 점에 대해 유의해야 한다.
도 4는 파라미터 (110) 를 튜닝하는 방향을 변경할 것인지 결정하기 위한 일 실시예의 방법 (150) 에 관한 흐름도이다. 방법 (150) 은 플라즈마 시스템의 하나 이상의 프로세서에 의해 실행되며, 도 1을 참고하여 설명된다.
동작 104이 수행된다. 도 1 및 4를 참고하여, 동작 154에서, 파라미터 (110) 가 제1 값 (124) 을 가질 때에 변수 (106) 의 국부적인 최소값 (114) 이 결정된다. 더욱이, 동작 156에서, 방향 (166) 으로 제1 값 (124) 을 하나 이상의 다른 값, 예를 들어, 제2 값 (126), 값 (160) 등으로 변경함으로써 파라미터 (110) 가 튜닝된다.
동작 158에서, 파라미터 (110) 가 하나 이상의 다른 값으로 튜닝될 때에, 변수 (106) 가 불안정한, 이벤트의 수가 결정된다. 예를 들어, 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 불안정한지 여부가 결정되며, 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 불안정한지 여부가 결정된다. 이러한 예에서, 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 불안정하다고, 그리고 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 불안정하다고 결정하는 경우, 파라미터 (110) 의 값 (126) 과 값 (160) 각각 하나씩, 두 개의 이벤트가 생성된다고 결정된다. 더욱이, 이러한 예에서, 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 불안정하다고, 그리고 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 안정하다고 결정하는 경우, 하나의 이벤트가 값 (160) 에서 발생하였다고 결정된다.
일 실시예에서, 이벤트의 수를 결정하기 위해 파라미터 (110) 가 값을 가질 때에 변수 (106) 가 불안정한지 여부를 결정하는 것 대신에, 이벤트의 수를 결정하기 위해 파라미터가 값을 가질 때에 변수 (106) 가 임계값을 초과하는지 여부가 결정된다. 예를 들어, 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 임계값을 초과하는지 여부, 그리고 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 임계값을 초과하는지 여부가 결정된다. 이러한 예에서, 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 임계값을 초과한다고, 그리고 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 임계값을 초과한다고 결정하는 경우, 파라미터 (110) 의 값 (126) 과 값 (160) 각각 하나씩, 두 개의 이벤트가 생성된다고 결정된다. 더욱이, 이러한 예에서, 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 임계값을 초과한다고, 그리고 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 임계값을 초과하지 않는다고 결정하는 경우, 하나의 이벤트가 값 (160) 에서 발생하였다고 결정된다.
일부 실시예에서, 이벤트의 수를 결정하기 위해, 파라미터 (110) 가 값을 가질 때에 변수 (106) 가 불안정한지 여부, 그리고 파라미터가 값을 가질 때에 변수 (106) 가 임계값을 초과하는지 여부에 대한 결정 모두가 이루어진다. 예를 들어, 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 불안정한지 여부, 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 불안정한지 여부, 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 임계값을 초과하는지 여부, 그리고 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 임계값을 초과하는지 여부가 결정된다. 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 불안정하다고, 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 불안정하다고, 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 임계값을 초과한다고, 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 임계값을 초과한다고 결정하는 경우, 파라미터 (110) 의 값 (126) 과 값 (160) 각각 하나씩, 두 개의 이벤트가 생성되었다고 결정된다. 더욱이, 이러한 예에서, 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 불안정하다고, 파라미터 (110) 가 값 (160) 을 가질 때에 변수 (106) 가 임계값을 초과한다고, 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 안정하다고, 파라미터 (110) 가 제2 값 (126) 을 가질 때에 변수 (106) 가 임계값을 초과하지 않는다고 결정하는 경우, 하나의 이벤트가 값 (160) 에서 발생하였다고 결정된다.
동작 159에서, 이벤트의 수가 경계치를 초과하였는지 여부가 결정된다. 예를 들어, 이벤트의 수가 2, 3, 4, 6 또는 다른 양의 정수를 초과하였는지 여부가 결정된다. 이벤트의 수가 경계치를 초과하지 않았다는 결정에 응답하여, 동작 164에서, 파라미터 (110) 의 추가 튜닝이 중단된다. 예를 들어, 동작 158에서, 달성되는 하나 이상의 다른 값이 파라미터 (110) 의 하나 이상의 추가 값으로 더 변경되어 파라미터 (110) 의 추가 튜닝이 중단된다.
동작 165에서, 동작 158에서 달성되는 다른 값들 중 하나가 플라즈마 시스템에 적용된다. 예를 들어, 동작 158에서 달성되는 다른 값들 중 하나가 A MHz RF 생성기의 DSP에 제공된다. 또 다른 예로서, 동작 158에서 달성되는 다른 값들 중 하나가 모터 시스템에 제공된다. 또 다른 예로서, 동작 158에서 달성되는 다른 값들 중 하나가 임피던스 매칭 회로에 제공된다. 동작 165에서 제공되는 파라미터 (110) 의 값은 이벤트의 수가 경계치를 초과하지 않은 것이다. 일 실시예에서, 동작 165에서 제공되는 값은 이벤트의 수가 경계치를 초과하는 것이다. 일부 실시예에서, 동작 165동안, 동작 158에서 달성되는 다른 값들 중 하나를 제공하는 것 대신에, 국부적인 최소값 (144) 에서 달성되는 제1 값 (124) 이 동작 165에서 제공된다.
동작 165에서 제공되는 파라미터 (110) 의 값을 수신하는 경우, 플라즈마 시스템은 값을 적용한다. 예를 들어, DAS는 그 값을 가지는 RF 신호를 생성하고, 그 RF 신호를 전송 라인을 통해 플라즈마 챔버로 제공한다. 또 다른 예로서, 모터 시스템은 임피던스 매칭 회로 내의 캐패시터의 판을 이동시켜, 동작 165의 값의 예인 캐패시턴스를 달성한다. 또 다른 예로서, 모터 시스템은 임피던스 매칭 회로 내의 인덕터를 통해 연장하는 아이언 코어의 길이를 변경하여, 동작 165의 값의 예인 인덕턴스를 달성한다. 또 다른 예로서, 호스트 시스템은 임피던스 매칭 회로 내의 인덕터를 통해 유동하는 전류의 양을 변경하여, 동작 165의 값의 예인 인덕턴스를 달성한다. 또 다른 예로서, 호스트 시스템은, 동작 165의 값의 예인 주파수로 동작하도록 임피던스 매칭 회로를 제어한다. 또 다른 예로서, 모터 시스템은 임피던스 매칭 회로 내의 캐패시터의 판을 움직여서 캐패시턴스를 달성하고, 임피던스 매칭 회로의 인덕터가 인덕턴스를 달성하도록 제어되고, 및/또는 호스트 시스템은 주파수로 동작하도록 A HMz RF 생성기를 통해 임피던스 매칭 회로를 제어한다. 이 예에서, 인덕턴스, 캐패시턴스, 및 주파수의 조합은 동작 165의 값의 예라는 점에 대해 유의해야 한다. 방법 (150) 은 동작 165 이후에 반복된다.
이벤트의 수가 경계치를 초과한다고 결정하는 경우, 동작 162에서, 파라미터 (110) 가 따라서 튜닝되는 경로의 이동 방향 (166) 이 방향 (168) 으로 역전된다. 일 실시예에서, 이동이 방향 (168) 을 따를 때에, 방향의 역전이 방향 (166) 을 달성하기 위해 수행된다. 방향 (166) 이 역전되면, 방법 (150) 이 반복되어 방향 (168) 으로 파라미터 (110) 를 튜닝한다.
파라미터 (110) 의 값이 값 (127) 에 이를 때까지 방향 (166) 으로 감소하고 그 이후에 방향 (166) 으로 증가된다는 점에 유의해야 한다. 예를 들어, 값 (125, 124, 126, 160, 및 127) 이 높은 데서 낮은 순으로 감소된다. 유사하게, 파라미터 (110) 의 값들이 값 (127) 에 이를 때까지 방향 (168) 으로 감소하고 이후에 이 값 (127) 으로부터 값 (125) 으로 증가한다.
일 실시예에서, 방향 (166) 에서는, 파라미터 (110) 의 값들이 먼저 증가되고 이후에 감소되며, 방향 (168) 에서는, 파라미터 (110) 의 값들이 먼저 증가되고 이후에 감소된다.
일 실시예에서, 방법 (150) 이 기판 프로세싱 동안 실행된다는 점에 유의해야 한다.
방법 (129, 136 및 150) 이 방향 (166) 에 관해 설명되었지만, 일 실시예에서, 방법 (129, 136 및 150) 이 방향 (166) 과 반대인 방향 (168) 으로 경로를 따라 실행될 수 있다는 점에 대해 유의해야 한다.
방법 (129, 136 및 150) 각각이 하나 이상의 프로세서, 예를 들어 호스트 시스템의 프로세서에 의해, A MHz RF 생성기의 DSP에 의해, 또는 호스트 시스템 (190) 및 DSP의 프로세서들의 조합에 의해 실행될 수 있다는 점에 대해 유의해야 한다. 방법 (129, 136 및 150) 의 동작이 특정한 순서로 설명되었다고 하더라도, 오버레이 동작들의 프로세싱이 목표된 방향으로 수행되는 동안, 다른 하우스 키핑 (housekeeping) 동작이 동작들 사이에 수행될 수 있으며, 또는 동작들이 약간 다른 시간에서 일어나도록 조정될 수 있으며, 또는 프로세싱과 관련된 다양한 간격으로 프로세싱 동작들의 발생을 허용하는 시스템에서 분배될 수 있다
도 5는 파라미터 (110) 를 튜닝하기 위한 플라즈마 시스템 (172) 의 일 실시예에 관한 블록도이다. 플라즈마 챔버 (109) 는 하부 전극 (196), 상부 전극 (194) 및 다른 부분 (미도시), 예를 들어 상부 전극 (194) 을 둘러싸는 상부 유전체 링, 하부 전극 (196) 을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 연장부, 상부 플라즈마 배제 구역 (PEZ) 링, 하부 PEZ 링 등을 포함한다. 상부 전극 (194) 은 하부 전극 (196) 에 대향하여, 그리고 이에 마주보며 위치된다. 기판 (130) 은, 예를 들어, 반도체 웨이퍼, 전기적 부품을 갖는 반도체 웨이퍼 등은 하부 전극 (196) 의 상부 표면 (197) 상에서 지지된다. 하부 전극 (196) 은 금속, 예를 들어 양극 산화된 알루미늄, 알루미늄 합금 등으로 제조된다. 또한, 상부 전극 (194) 은 금속, 예를 들어 알루미늄, 알루미늄 합금 등으로 제조된다.
집적 회로, 예를 들어 주문 응용형 집적 회로 (ASIC), 프로그램 가능한 로직 디바이스 (PLD) 등이 기판 (132) 상에 전개되며, 집적 회로는 다양한 전자 디바이스, 예를 들어 셀룰러 폰, 타블렛, 스마트 폰, 컴퓨터, 랩탑 네트워킹 장비 등에 이용된다. 집적 회로는 전기적 부품, 예를 들어, 트랜지스터, 저항기, 캐패시터, 로직 게이트, 저장 디바이스, 프로세서 등을 이용하여 제조된다.
일 실시예에서, 상부 전극 (194) 은 중앙 가스 제공부 (미도시) 에 커플링되는 홀을 포함한다. 중앙 가스 제공부는 가스 제공부 (미도시) 로부터 하나 이상의 프로세스 가스를 수용한다. 프로세스 가스의 예들은 O2 같은 산소-함유 가스를 포함한다. 프로세스 가스의 다른 예들은 불소-함유 가스, 예를 들어, 테트라플루오로메탄 (CF4), 설퍼 헥사플루오라이드 (SF6), 헥사플루오로에탄 (C2F6) 등을 포함한다. 상부 전극 (194) 은 접지된다. 하부 전극 (196) 은 임피던스 매칭 회로 (192) 를 통해 a MHz RF 생성기 (174), 예를 들어 A MHz RF 생성기에 커플링된다.
프로세스 가스가 상부 전극 (194) 과 하부 전극 (196) 사이에 제공되며, RF 생성기 (174) 의 DAS (186) 는 전력을 생성하고 임피던스 매칭 회로 (192) 를 통해 하부 전극 (196) 으로 전력을 제공하며, 프로세스 가스는 점화되어 플라즈마 챔버 (109) 내에 펄스 플라즈마 (102) 를 생성한다. 예를 들어, 프로세스 가스를 점화시켜 플라즈마 (102) 를 생성하기 위해, DAS (186) 는 전력을 생성하고 임피던스 매칭 회로 (192) 를 통해 전력을 제공한다. 하부 전극 (196) 에 제공되는 RF 신호가, 아래에서 설명되는 바와 같은 두 개의 상태 S1 및 S0 사이에서 변경할 때에, 플라즈마 (102) 는 펄싱된다.
임피던스 매칭 회로에 커플링되는 전력 소스의 임피던스를 임피던스 매칭 회로에 커플링되는 부하의 임피던스와 매칭하기 위해, 임피던스 매칭 회로는 전기 부품, 예를 들어, 전기 회로 부품, 인덕터, 캐패시터 등을 포함한다. 예를 들어, 임피던스 매칭 회로 (192) 는 RF 생성기 (174) 및/또는 전송 라인 (108) 의 하나 이상의 부분, 예를 들어 DAS (186) 등의 임피던스를 플라즈마 챔버 (109) 의 하나 이상의 부분, 예를 들어 플라즈마 (102), 부품 등의 임피던스와 매칭한다. RF 생성기 (174), 전송 라인 (108) 또는, 이들의 조합은 소스의 예들이며, 플라즈마 챔버 (109) 는 부하의 예이다. 소스와 부하 사이의 임피던스 매칭은 전력이 부하로부터 소스를 향하여 반사될 가능성을 감소시킨다.
호스트 시스템 (190) 은 디지털 신호 프로세서 (DSP; 180) 에 커플링된다. 호스트 시스템 (190) 은 두 개 이상의 상태를 갖는 디지털 펄스 신호 (185) 를 생성하고 DSP (180) 에 제공한다. 호스트 시스템 (190) 의 예들은, 프로세서, 컴퓨터, 제어부 등을 포함한다. 일 실시예에서, 디지털 펄스 신호 (185) 는 트랜지스터-트랜지스터 로직 (TTL) 신호이다. 상태들의 예는 온 (on) 상태 및 오프 (off) 상태, 1의 디지털 값을 갖는 상태 및 0의 디지털 값을 갖는 상태, 높은 상태 및 낮은 상태 등을 포함한다.
또 다른 실시예에서, 호스트 시스템 (190) 대신에, 클럭 발진기, 예를 들어 수정 발진기가, 아날로그-투-디지털 컨버터에 의해 디지털 펄스 신호 (185) 와 유사한 디지털 신호로 변환되는, 아날로그 클럭 신호를 생성하는데 이용된다.
디지털 펄스 신호 (185) 는 DSP (180) 로 송신된다. DSP (180) 는 디지털 펄스 신호 (185) 를 수신하고, 디지털 펄스 신호 (185) 의 상태를 식별한다. 예를 들어, DSP (180) 가, 디지털 펄스 신호 (185) 가 제1 세트의 시간 기간 동안 제1 크기, 예를 들어 1의 값, 높은 상태 크기 등을 갖는다고, 그리고 제2 세트의 시간 기간 동안 제2 크기, 예를 들어 0의 값, 낮은 상태 크기 등을 갖는다고 결정한다. DSP (180) 가, 디지털 펄스 신호 (185) 가 제1 세트의 시간 기간 동안 상태 S1를 가진다고, 그리고 제2 세트의 시간 기간 동안 상태 S0를 가진다고 결정한다. 상태 S0의 예들은 낮은 상태, 0의 값을 갖는 상태, 및 오프 상태를 포함한다. 상태 S1의 예들은 높은 상태, 1의 값을 갖는 상태, 및 온 상태를 포함한다. 또 다른 예로서, 제1 세트의 시간 기간 동안 디지털 펄스 신호 (185) 의 크기가 미리-저장된 값보다 크다고, 그리고 제2 세트의 시간 기간 동안 디지털 펄스 신호 (185) 의 크기가 미리-저장된 값보다 크지 않다고 결정하기 위해, DSP (180) 는 디지털 펄스 신호 (185) 의 크기를 미리-저장된 값과 비교한다. 클럭 발진기가 이용되는 실시예에서, DSP (180) 는 클럭 발진기로부터 아날로그 클럭 신호를 수신하고, 아날로그 신호를 디지털 형태로 변환하고, 이후에 두 개의 상태 S0 및 S1를 식별한다.
일부 실시예에서, 디지털 펄스 신호 (185) 의 상태를 식별하는 것 대신에, 변수 (106) 에 기초하여 플라즈마 임피던스의 상태가 식별된다. 예를 들어, 센서 (188) 는 전송 라인 (108) 상의 정보를 측정하고, DSP (180) 에 정보를 제공한다. DSP (180) 는 센서 (188) 로부터 수신된 정보에 기초하여 변수 (106) 를 계산한다. 변수 (106) 의 값이 미리-저장된 값을 초과한다면, 플라즈마 (102) 의 상태는 S1이고, 변수 (106) 의 값이 미리-저장된 값을 초과하지 않는다면, 플라즈마 (102) 의 상태는 S0이다.
상태가 S1으로 식별될 때에, DSP (180) 전력 값 (P1) 및/또는 주파수 값 (F1) 을 파라미터 제어부 (176) 에 제공한다. 더욱이, 상태가 S0으로 식별될 때에, DSP (180) 는 전력 값 (P0) 및/또는 주파수 값 (FO) 을 파라미터 제어부 (178) 에 제공한다. 주파수를 튜닝하는데 이용되는 파라미터 제어부의 예는 자동 주파수 튜너 (AFT) 를 포함한다.
파라미터 제어부 (176), 파라미터 제어부 (178) 및 DSP (180) 는 제어 시스템 (187) 의 일부이다. 예를 들어, 파라미터 제어부 (176) 및 파라미터 제어부 (178) 는 DSP (180) 에 실행되는 컴퓨터 프로그램의 일부인 로직 블록, 예를 들어, 튜닝 루프이다. 일부 실시예에서, 컴퓨터 프로그램은 비-일시적 컴퓨터 판독가능한 매체, 예를 들어 저장 디바이스 내에 포함된다. 저장 디바이스의 예는 리드-온리 메모리 (ROM), 랜덤 액세스 메모리 (RAM) 또는 이들의 조합을 포함한다. 설명하자면, 저장 디바이스는 하드 디스크, 플래쉬 메모리, 독립 디스크의 리던던트 (redundant) 메모리 등을 포함한다.
일 실시예에서, 제어부, 예를 들어 하드웨어 제어부, ASIC, PLD 등이 파라미터 제어부 대신에 이용된다. 예를 들어, 제어부가 파라미터 제어부 (176) 대신에 이용되고, 또 다른 제어부가 파라미터 제어부 (178) 대신에 이용된다.
전력 값 (P1) 및/또는 주파수 값 (F1) 을 수신할 때에, 파라미터 제어부 (176) 는 전력 값 (P1) 및/또는 주파수 값 (F1) 을 DAS (186) 의 드라이버 (182) 로 제공한다. 드라이버의 예들은 전력 드라이버, 전류 드라이버, 전압 드라이버, 트랜지스터 등을 포함한다. 드라이버 (182) 는 전력 값 (P1) 및/또는 주파수 값 (F1) 을 갖는 RF 신호를 생성하며, DAS (186) 의 증폭기 (184) 로 RF 신호를 제공한다.
일 실시예에서, 드라이버 (182) 는 전력 값 (P1) 에 따른 구동 전력 값을 갖는 및/또는 주파수 값 (F1) 에 따른 구동 주파수 값을 갖는 RF 신호를 생성한다. 예를 들어, 구동 전력 값은 몇몇, 예를 들어, 1 내지 5 와트의 전력 값 (P1) 내에 있으며, 구동 주파수 값은 몇몇, 예를 들어 1 내지 5 Hz의 주파수 값 (F1) 내에 있다.
증폭기 (184) 는 전력 값 (P1) 및/또는 주파수 값 (F1) 을 갖는 RF 신호를 증폭시키고, 드라이버 (182) 로부터 수신된 RF 신호에 대응하는 RF 신호 (120) 를 생성한다. 예를 들어, RF 신호 (120) 는 전력 값 (P1) 의 그것보다 더 많은 양의 전력을 갖는다. 다른 예로서, RF 신호 (120) 는 전력 값 (P1) 의 그것과 같은 양의 전력을 갖는다. RF 신호 (120) 는 전송 라인 (108) 및 매칭 회로 (192) 를 통해 하부 전극 (196) 으로 전송되어 플라즈마 (102) 의 임피던스를 제어한다.
전력 값 (P1) 및/또는 주파수 값 (F1) 이 파라미터 제어부 (176) 에 의해 DAS (186) 로 제공되고, RF 신호 (120) 가 생성될 때에, 센서 (188) 는 전송 라인 (108) 상의 정보를 감지한다. 센서 (188) 는, 정보를 아날로그 형태에서 디지털 형태로 변환시키는 제어 시스템 (187) 으로 정보를 보낸다. 제어 시스템 (187) 은 상태 S1 동안 센서 (188) 로부터 정보를 수신하고, 호스트 시스템 (190) 으로 정보를 제공한다. 호스트 시스템 (190) 은 상태 S1 동안 센서 (188) 로부터 정보를 수신하고, 방법 (129; 도 2), 방법 (136; 도 3) 및/또는 방법 (150; 도 4) 을 실행한다. 예를 들어, 상태 S1 동안 측정된 정보를 수신하는 경우, 호스트 시스템 (190) 의 프로세서는 변수 안정성 루틴 (VSR), 예를 들어, 방법 (129), 방법 (136), 방법 (150) 등을 실행한다.
유사하게, 전력 값 (P0) 및/또는 주파수 값 (FO) 을 수신하는 경우, 파라미터 제어부 (178) 는 전력 값 (P0) 및/또는 주파수 값 (FO) 을 드라이버 (182) 로 제공한다. 드라이버 (182) 는 전력 값 (P0) 및/또는 주파수 값 (FO) 을 갖는 RF 신호를 생성하고, RF 신호를 증폭기 (184) 로 제공한다.
일 실시예에서, 드라이버 (182) 는 전력 값 (P0) 에 따른 구동 전력 값을 갖는, 및/또는 주파수 값 (F0) 에 따른 구동 주파수 값을 갖는 RF 신호를 생성한다. 예를 들어, 구동 전력 값은 몇몇, 예를 들어, 1 내지 5 와트의 전력 값 (P0) 내에 있으며, 구동 주파수 값은 몇몇, 예를 들어 1 내지 5 Hz의 주파수 값 (F0) 내에 있다.
증폭기 (184) 는 전력 값 (P0) 및/또는 주파수 값 (FO) 을 갖는 RF 신호를 증폭시키고, 드라이버 (182) 로부터 수신된 RF 신호에 대응하는 RF 신호 (121) 를 생성한다. 예를 들어, RF 신호 (121) 는 전력 값 (P0) 의 그것보다 많은 양의 전력을 가진다. 다른 예로서, RF 신호 (121) 는 전력 값 (P0) 의 그것과 동일한 양의 전력을 가진다. RF 신호 (121) 는 전송 라인 (108) 및 매칭 회로 (192) 를 통해 하부 전극 (196) 으로 전송되어, 플라즈마 (102) 의 임피던스를 제어한다.
전력 값 (P0) 및/또는 주파수 값 (F0) 이 파라미터 제어부 (178) 에 의해 DAS (186) 로 제공되고, RF 신호 (121) 가 생성될 때에, 센서 (188) 는 전송 라인 (108) 상의 정보를 감지한다. 센서 (188) 는, 정보를 아날로그 형태에서 디지털 형태로 변환시키는 제어 시스템 (187) 으로 정보를 보낸다. 제어 시스템 (187) 은 상태 S0 동안 센서 (188) 로부터 정보를 수신하고, 방법 (129; 도 2), 방법 (136; 도 3) 또는 방법 (150; 도 4) 을 실행한다. 방법 (129, 136, 150) 이, 디지털 펄스 신호 (185) 또는 플라즈마 (102) 중 어느 하나인, 일 상태 동안 수행된다는 점에 대해 유의해야 한다. 예를 들어, 호스트 시스템 (190) 의 프로세서는 상태 S0 동안 측정된 정보를 수신하는 경우, VSR (181) 을 실행한다.
일 실시예에서, 값 (P1, P0, F1 및 FO) 은 방법 (129, 136 또는 150) 의 실행에 응답하여 결정된다.
호스트 시스템 (190) 은 파라미터 (110) 의 값 (도 1) 을, 전기 에너지를 기계 에너지로 변환시키는 하나 이상의 전기 모터를 포함하는 모터 시스템 (191) 으로 제공한다. 모터 시스템 (191) 은 임피던스 매칭 회로 (192) 내의 전기 부품을 작동시켜, 예를 들어 이동시켜, 파라미터 (110) 의 값을 달성한다. 예를 들어, 모터 시스템 (191) 은 캐패시터의 플레이트를 이동시켜 캐패시터의 캐패시턴스를 달성한다. 다른 예로서, 모터 시스템 (191) 은 임피던스 매칭 회로 (192) 의 인덕터 내의 아이런 코어를 이동시켜, 인덕터의 인덕턴스를 달성한다. 일부 실시예에서, 모터 시스템 (191) 을 이용하여 임피던스 매칭 회로의 인덕터의 인덕턴스를 변경시키는 것 대신에, 호스트 시스템 (190) 은 임피던스 매칭 회로 (192) 의 인덕터로 제공되는 전류의 양을 변경시켜 인덕터의 인덕턴스를 달성하는데 이용된다. 호스트 시스템 (190) 은, 파라미터 (110) 의 일 예인 주파수로, 예를 들어 무선 주파수로 동작하도록, RF 생성기 (174) 를 통해 임피던스 매칭 회로 (192) 를 제어한다.
방법 (129, 136 및 150) 이 A MHz RF 생성기와 관련하여 설명되었다고 하더라도, 다양한 실시예에서, 방법 (129, 136 및 150) 이 임의의 수, 예를 들어 2 또는 3의 RF 생성기에 적용될 수 있다. 예를 들어, 플라즈마 시스템은 2 MHz RF 생성기, 27 MHz RF 생성기 및 를 60 MHz RF 생성기를 포함할 수 있다. 이러한 예에서, 일부 실시예에서, 다른 주파수, 예를 들어 3 MHz, 30 MHz 및 65 MHz의 RF 생성기가 이용될 수 있다. 예를 들어, 플라즈마 시스템은 3 MHz RF 생성기,30 MHz RF 생성기, 및 65 MHz RF 생성기를 포함할 수 있다. 또한, 상기 숫자 2, 27 및 60은 2, 27 및 60으로 제한되지 않는다. 예를 들어, 2 MHz RF 생성기는 1.8 MHz 내지 2.2 MHz의 범위를 갖는 주파수를 갖는 RF 신호를 생성한다.
센서 (188) 가 RF 생성기 (174) 의 아웃풋 (189) 에 커플링된다고 하더라도, 여러 실시예에서, 센서, 예를 들어, 센서 (188), 다른 센서 등이 임피던스 매칭 회로 (192) 의 인풋 (193) 에 커플링될 수 있다. 인풋 (193) 에 커플링되는 센서는 전압 및 전류를 인풋 (193) 에서 측정하고, 전압 및 전류는 인풋 (193) 에서 소스 임피던스를 결정하는데 이용된다. 인풋 (193) 에 커플링되는 다른 센서는 제어 시스템 (187) 으로 측정된 정보를 제공하며, 제어 시스템 (187) 은 방법 (129, 136 또는 150) 의 실행을 위해 호스트 시스템 (190) 으로 정보를 보낸다.
도 6은 전달 전력 (201) 이 변경될 때에 반사 계수 (207) 가 변경되는 것을 설명하는 일 실시예의 그래프 (200) 이다. 그래프 (200) 는 x축 상의 시간에 대한 y축 상의 전달 전력 (201) 을 도시한다. 그래프 (200) 는 또한 x축 상의 시간에 대한 y축 상의 반사 계수 (207) 를 도시한다. 도시된 바와 같이, 반사 계수 (207) 는 전달 전력 (201) 을 변경함으로써 제어될 수 있다.
도 7은 파라미터 (110) 를 튜닝함으로써 변수 (106) 가 제어되는 것, 그리고 변수 (106) 의 불안정성이 파라미터 (110) 의 값의 변경에 따르는 것을 설명하기 위한 일 실시예의 그래프 (202 및 204) 에 관한 도면이다. 그래프 (202) 는 x축 상의 상태, 예를 들어 상태 S0 동안의 시간에 대한 y축 상의 RF 신호의 주파수를 도시하며, 그래프 (204) 는 x축 상의 상태 동안 시간에 대한 y축 상의 RF 신호의 전력을 도시한다. 그래프 (202) 에 도시된 바와 같이, 주파수가 그래프 (202) 에 도시된 값에 있을 때에, 주파수는 일 값, 예를 들어, 59.45 MHz를 달성하고, 불안정성이 변수 (106) 에 발생한다. 불안정성은 또한 그래프 (204) 내의 반사 전력 (205) 에 그리고 순방향 전력 (203) 에 도시된다. 도시된 바와 같이, 변수 (106) 의 안정성을 제어하기 위해 주파수가 제어될 수 있다.
도 8은 RF 신호의 주파수에의 증가와 함께 변수 (106)의 불안정성이 증가하는 것을 설명하기 위한 일 실시예의 그래프 (206) 이다. 그래프 (206) 는 RF 신호의 다양한 튜닝 주파수에 관해, x축 상의 시간에 대한 y축 상의 플라즈마 (102; 도 5) 로 제공되는 RF 신호 내의 순방향 전력을 도시한다. 튜닝 장치가 60.2 MHz 내지 60.8 MHz로 증가할수록, 변수 (106) 의 불안정성은 감소된다. 변수 (106) 의 불안정성은 주파수를 감소시킴으로써 감소된다. 변수 (106) 의 불안정성은 순방향 전력 내의 불안정성에 의해 설명된다.
도 9 내지 11은 RF 신호의 전력 및/또는 주파수가 튜닝될 때에 감마가 제어될 수 있는 것을 설명하기 위한 그래프 (208, 210, 212, 214, 224, 226, 228, 230, 232, 234, 236 및 238) 의 실시예들이다. 각 그래프 (208, 224 및 232) 는 x 축 상의 감마의 실수 부분에 대한 y 축 상의 감마의 허수 부분을 도시한다. 더욱이, 각 그래프 (210, 226 및 234) 는 상태 S1 및 S0 동안 x 축 상의 시간에 대한 y 축 상의 RF 신호의 전달 전력을 도시한다. 일 실시예에서, 용어 상태 S1 및 상태 1은 본 명세서에서 상호 교환가능하게 사용되며, 용어 상태 S1 및 상태 0은 상호 교환가능하게 사용된다는 점에 대해 유의해야 한다.
각 그래프 (212, 228, 및 236) 는 x 축 상의 시간에 대한 y 축 상의 RF 신호의 주파수를 도시하고 있다. 각 그래프 (214, 230 및 238) 는 x 축 상의 시간에 대한 y 축 상의 RF 구동 전력 신호의 주파수 및 실제 전력 세트포인트 (setpoint) 를 도시하고 있다. 그래프 (208, 224 및 232) 에 도시된 바와 같이, 감마의 변경은 그래프 순으로 증가한다. 예를 들어, 그래프 (208) 에 도시된 감마는 그래프 (224) 에 도시된 감마보다 더 적게 변경하며, 그래프 (224) 에 도시된 감마는 그래프 (232) 에 도시된 감마보다 더 적게 변경한다.
그래프 (208) 에 도시된 감마는 상태 1 및 상태 0 각각 동안 전달 전력을 이용하여 제어된다. 전달 전력은 그래프 (210) 내에 도시된다. 더욱이, 그래프 (208) 에 도시된 감마는 상태 1 및 상태 0 각각 동안 주파수 튜닝을 이용하여 제어된다. 튜닝 주파수는 그래프 (212) 에 도시된다.
유사하게, 그래프 (224) 에 도시된 감마는 상태 1 및 상태 0 각각 동안 전달 전력을 이용하여 제어된다. 전달 전력이 그래프 (226) 에 도시된다. 더욱이, 그래프 (224) 에 도시된 감마는 상태 1 및 상태 0 각각 동안 튜닝 주파수를 이용하여 제어된다. 튜닝 주파수는 그래프 (228) 에 도시된다.
그래프 (232) 에 도시된 감마는 상태 1 및 상태 0 각각 동안 전달 전력을 이용하여 제어된다. 전달 전력은 그래프 (234) 에 도시된다. 더욱이, 그래프 (232) 에 도시된 감마는 상태 1 및 상태 0 각각 동안 튜닝 주파수를 이용하여 제어된다. 튜닝 주파수는 그래프 (236) 에 도시된다.
도 12는 국부적인 최소값이 달성되는 전달 전력이 반복적으로 제어될 수 있다는 것을 설명하기 위한 그래프 (240 및 242) 의 실시예들을 도시한다. 반복성 (repeatability) 은 기판 (130) 상에 수행되는 프로세스의 제어를 허용한다 (도 5). 그래프 (240) 는 시간에 대한 전달 전력 신호 (SG1, SG2 및 SG3) 를 도시하고, 그래프 (242) 는 또한 시간에 대한 전달 전력 신호 (SG4, SG5 및 SG6) 를 도시한다.
도 13은 호스트 시스템 (190) 의 일 실시예에의 블록도이다 (도 5). 호스트 시스템 (190) 은 인풋 하드웨어 유닛 (HU; 250), 아웃풋 HU (252), 인풋/아웃풋 (I/O) 인터페이스 (254), I/O 인터페이스 (256), 네트워크 인터페이스 제어부 (NIC; 258), 버스 (260), 프로세서 (262) 및 저장 HU (264) 를 포함한다. 프로세서 (262), 저장 HU (264), 인풋 HU (250), 아웃풋 HU (252), I/O 인터페이스 (254), I/O 인터페이스 (256) 및 NIC (258) 는 버스 (260) 를 통해 서로 커플링된다. 인풋 HU (250) 의 예들은 마우스, 키보드, 스타일러스 등을 포함한다. 아웃풋 HU (252) 의 예들은 디스플레이, 스피커, 또는 이들의 조합을 포함한다. 디스플레이는 액정 디스플레이, 발광 다이오드 디스플레이, 캐소드 레이 튜브, 플라즈마 디스플레이 등일 수 있다. NIC (258) 의 예들은 네트워크 인터페이스 카드, 네트워크 어댑터 등을 포함한다. 저장 HU의 예들은 저장 디바이스, ROM, RAM 또는 이들의 조합을 포함한다. 예를 들어, 저장 HU는 플래쉬 드라이브, 저장 디스크의 리던던트 어레이, 하드 디스크 등일 수 있다.
I/O 인터페이스의 예들은 인터페이스에 커플링된 하드웨어의 피스들 사이에 호환성을 제공하는 인터페이스를 포함한다. 예를 들어, I/O 인터페이스 (254) 는 인풋 HU (250) 로부터 수신된 신호를, 버스 (260) 와 호환가능한 형태, 진폭 및/또는 속도로 변환한다. 다른 예로서, I/O 인터페이스 (256) 는 버스 (260) 로부터 수신된 신호를 아웃풋 HU (252) 와 호환가능한 형태, 진폭 및/또는 속도를 변환한다.
앞서 설명된 실시예들이 RF 신호를 하부 전극 (196) 에 제공하는 것, 그리고 상부 전극을 접지하는 것과 관련된다고 하더라도, 여러 실시예에서, 하부 전극이 접지되면서 RF 신호가 상부 전극 (194) 에 제공될 수 있다는 점에 대해 유의해야 한다.
앞서 설명된 실시예들이 평행 플레이트 플라즈마 챔버와 관련하여 설명되었다고 하더라도, 일 실시예에서, 앞서 설명된 실시예들은 다른 형태의 플라즈마 챔버, 예를 들어 유도성 커플링된 플라즈마 (ICP) 반응기를 포함하는 플라즈마 챔버, 전자-사이클론 공명 (ECR) 반응기를 포함하는 플라즈마 챔버 등에 적용될 수 있다는 점에 대해 또한 유의해야 한다. 예를 들어, A MHz RF 생성기는 ICP 플라즈마 챔버 내의 인덕터에 커플링된다.
본 명세서에 설명된 실시예들은 소형 디바이스, 마이크로프로세서 시스템, 마이크로프로세서-기반의 또는 프로그램 가능한 소비자 전자장치, 미니컴퓨터, 메인프레임 컴퓨터 등을 포함하는 다양한 컴퓨터 시스템 구성과 함께 실행될 수 있다. 실시예들은 업무들이 네트워크를 통해 연결된 원격 프로세싱 디바이스에 의해 실행되는 분산 컴퓨터 환경에서 실행될 수도 있다.
앞서 설명된 실시예들과 관련하여, 실시예들은 컴퓨터 시스템에 저장된 데이터를 포함하는 다양한 컴퓨터-구현 동작들을 채용할 수 있다는 점에 대해 유의해야 한다. 이러한 동작들은 물리적 양의 물리적 조작을 요구하는 동작들이다. 실시예들의 일부를 형성하는, 본 명세서에 설명된 동작들 중 임의의 것은 유용한 기계 동작이다. 또한, 실시예들은 이러한 동작들을 수행하기 위한 디바이스 또는 장치와 관련된 것이다. 장치는 특정 목적의 컴퓨터를 위해 특별하게 구성될 수 있다. 특정 목적의 컴퓨터로서 정의도리 때에, 컴퓨터는 여전히 특정 목적을 위해 동작할 수 있으면서, 또한 특정 목적의 일부가 아닌, 프로그램 실행 또는 루틴, 다른 프로세싱을 수행할 수 있다. 대안적으로, 동작들은 컴퓨터 메모리, 캐쉬 내에 저장된 또는 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램에 의해 선택적으로 활성화된 또는 구성된 범용 목적의 컴퓨터에 의해 프로세싱될 수 있다. 데이터가 네트워크 상에서 획득될 때에, 데이터는 네트워크 상의 다른 컴퓨터, 예를 들어 컴퓨팅 리소스의 클라우드에 의해 프로세싱될 수 있다.
하나 이상의 실시예들은 또한 컴퓨터-판독가능한 매체 상의 컴퓨터-판독가능한 코드로서 제조될 수 있다. 컴퓨터-판독가능한 매체는, 이후에 컴퓨터 시스템의 의해 판독될 수 있는, 데이터를 저장할 수 있는 임의의 저장 저장 HU이다. 컴퓨터-판독가능한 매체의 예들은 하드 드라이브, 네트워크 부착된 저장 (NAS), ROM, RAM, 컴팩트 디스크-롬 (CD-롬), 다시 기록가능한 CD (CD-R), 다시 쓰기가능한 (CD-RW), 자기 테이프 및 다른 광학적 그리고 비광학적 데이터 저장 디바이스를 포함한다. 컴퓨터-판독가능한 코드가 분산 방식으로 저장되고 실행될 수 있도록, 컴퓨터-판독가능한 매체는 네트워크 커플링된 컴퓨터 시스템 상에 분산된 컴퓨터-판독가능한 유형의 매체를 포함할 수 있다.
임의의 실시예들로부터의 하나 이상의 특징들은 본 명세서에 설명된 다양한 실시예들에서 설명된 목적 범위를 벗어나는 일이 없이 임의의 다른 실시예들의 하나 이상의 특징들과 결합될 수 있다는 점에 대해 유의해야 한다.
전술한 실시예들이 명확한 이해를 위해 다소 상세하게 설명되었다고 하더라도, 특정한 변경 및 수정이 첨부된 청구항의 목적 범위 내에서 실행될 수 있음은 명백하다. 따라서, 본 실시예들은 예시적인 것으로서 그리고 제한되지 않는 것으로 고려되어야 하며, 실시예들은 본 명세서에 주어진 사항들로 제한되지 아니하며, 첨부된 청구항의 등가물 및 목적 범위 내에서 변형될 수 있다.

Claims (45)

  1. 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법으로서,
    변수를 결정하기 위한 정보를 수신하는 단계로서, 상기 정보는 전송 라인에서 측정되고, 상기 정보는 상기 파라미터가 제1 값을 가지는 경우 측정되고, 상기 전송 라인은 임피던스 매칭 회로를 통해 플라즈마 챔버로 전력을 제공하는데 이용되는, 상기 정보를 수신하는 단계;
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 국부적인 최소값 (minima) 에 있는지 여부를 결정하는 단계로서, 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하는 단계는,
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 임계값을 초과하는지 여부를 결정하는 단계; 및
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 안정한지 여부를 결정하는 단계를 포함하는, 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하는 단계;
    상기 변수가 상기 국부적인 최소값에 있다고 결정되면, 상기 임피던스 매칭 회로를 튜닝하기 위해 상기 제1 값을 제공하는 단계;
    상기 변수가 상기 국부적인 최소값에 있지 않다고 결정되면, 상기 제1 값을 상기 파라미터의 제2 값으로 변경하는 단계; 및
    상기 파라미터가 상기 제2 값을 가지는 경우, 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하는 단계를 포함하고,
    상기 방법은 프로세서에 의해 실행되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  2. 삭제
  3. 제1 항에 있어서,
    상기 변수가 변동 (oscillation) 하지 않는 경우, 상기 변수는 안정한, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  4. 제1 항에 있어서,
    상기 변수가 최소값과 최대값 사이에서 변동하고 상기 최소값과 상기 최대값 사이의 범위가 미리 결정된 범위를 초과하는 경우에, 상기 변수는 불안정한, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  5. 제1 항에 있어서,
    상기 방법은 기판의 프로세싱 동안 실행되고, 상기 방법은 상기 파라미터의 학습된 (learned) 값을 이용하지 않고 수행되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  6. 제5 항에 있어서,
    상기 기판은 전자적 디바이스 (electronic devic) 내에서 구현되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  7. 제1 항에 있어서,
    상기 파라미터는 주파수, 캐패시턴스, 인덕턴스 또는 이들의 조합을 포함하는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  8. 제1 항에 있어서,
    상기 정보는 전압, 전류, 전력 또는 이들의 조합을 포함하고, 상기 변수는 감마, 반사 전력, 복소 전압 반사 계수, 플라즈마 임피던스 또는 이들의 조합을 포함하는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  9. 제1 항에 있어서,
    상기 국부적인 최소값은 절대 최소값과 상이하며, 상기 절대 최소값은 제로 와트의 반사 전력을 포함하는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  10. 제1 항에 있어서,
    상기 프로세서는 호스트 시스템 내에 존재하고, 상기 호스트 시스템은 무선 주파수 (RF) 생성기에 커플링되고, 상기 RF 생성기는 상기 임피던스 매칭 회로를 통해 상기 플라즈마 챔버에 커플링되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  11. 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법으로서,
    변수를 결정하기 위한 정보를 수신하는 단계로서, 상기 정보는 전송 라인에서 측정되고, 상기 정보는 상기 파라미터가 제1 값을 가지는 경우 측정되고, 상기 전송 라인은 임피던스 매칭 회로를 통해 플라즈마 챔버로 전력을 제공하는데 이용되는, 상기 정보를 수신하는 단계;
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 국부적인 최소값에 있는지 여부를 결정하는 단계로서, 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하는 단계는,
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 임계값을 초과하는지 여부를 결정하는 단계; 및
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 안정한지 여부를 결정하는 단계를 포함하는, 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하는 단계;
    상기 변수가 상기 국부적인 최소값에 있다고 결정되면, 무선 주파수 (RF) 신호를 생성하기 위해 상기 제1 값을 제공하는 단계로서, 상기 RF 신호는 상기 제1 값에 대응되고, 상기 RF 신호는 상기 플라즈마 챔버로 송신될 것인, 상기 제1 값을 제공하는 단계;
    상기 변수가 상기 국부적인 최소값에 있지 않다고 결정되면, 상기 제1 값을 상기 파라미터의 제2 값으로 변경하는 단계; 및
    상기 파라미터가 상기 제2 값을 가지는 경우, 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하는 단계를 포함하고,
    상기 방법은 프로세서에 의해 실행되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  12. 삭제
  13. 제11 항에 있어서,
    상기 정보는 전압, 전류, 전력 또는 이들의 조합을 포함하고, 상기 변수는 감마, 반사 전력, 복소 전압 반사 계수, 플라즈마 임피던스 또는 이들의 조합을 포함하는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  14. 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법으로서,
    변수를 결정하기 위한 정보를 수신하는 단계로서, 상기 정보는 전송 라인에서 측정되고, 상기 정보는 상기 파라미터가 제1 값을 가지는 경우 측정되고, 상기 전송 라인은 임피던스 매칭 회로를 통해 플라즈마 챔버로 전력을 제공하는데 이용되는, 상기 정보를 수신하는 단계;
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 국부적인 최소값에 있는지 여부를 결정하는 단계로서, 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하는 단계는,
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 임계값을 초과하는지 여부를 결정하는 단계; 및
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 안정한지 여부를 결정하는 단계를 포함하는, 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하는 단계;
    상기 변수가 상기 국부적인 최소값에 있다고 결정되면, 상기 파라미터의 상기 제1 값이 학습 루틴 동안 결정된 학습된 값의 한도 내에 있는지 여부를 결정하는 단계;
    상기 파라미터의 상기 제1 값이 상기 한도 내에 있다고 결정되면, 상기 임피던스 매칭 회로를 튜닝하기 위해 또는 무선 주파수 (RF) 신호를 생성하기 위해 상기 학습된 값을 제공하는 단계; 및
    상기 파라미터가 상기 한도 외부에 있는 상기 제1 값을 가진다고 결정되면, 상기 제1 값을 상기 파라미터의 제2 값으로 변경하는 단계를 포함하고,
    상기 방법은 프로세서에 의해 실행되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  15. 삭제
  16. 제14 항에 있어서,
    상기 변수가 최소값과 최대값 사이에서 변동하고 상기 최소값과 상기 최대값 사이의 범위가 미리 결정된 범위를 초과하는 경우, 상기 변수는 불안정한, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  17. 제16 항에 있어서,
    상기 변수가 변동하지 않는 경우, 상기 변수는 안정한, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  18. 제14 항에 있어서,
    상기 방법은 기판의 프로세싱 동안 실행되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  19. 제18 항에 있어서,
    상기 기판은 전자적 디바이스 내에서 구현되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  20. 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법으로서,
    변수를 결정하기 위한 정보를 수신하는 단계로서, 상기 정보는 전송 라인에서 측정되고, 상기 정보는 상기 파라미터가 제1 값을 가지는 경우 측정되고, 상기 전송 라인은 임피던스 매칭 회로를 통해 플라즈마 챔버로 전력을 제공하는데 이용되는, 상기 정보를 수신하는 단계;
    상기 변수의 국부적인 최소값을 결정하는 단계로서, 상기 국부적인 최소값은 상기 파라미터가 상기 제1 값을 가지는 경우 달성되고, 상기 변수의 상기 국부적인 최소값을 결정하는 단계는,
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 임계값을 초과하지 않는다고 결정하는 단계; 및
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 안정하다고 결정하는 단계를 포함하는, 상기 변수의 상기 국부적인 최소값을 결정하는 단계;
    상기 제1 값을 상기 파라미터의 하나 이상의 다른 값들로 변경함으로써 상기 파라미터를 튜닝하는 단계;
    상기 파라미터가 상기 하나 이상의 다른 값들을 가지는 경우, 상기 변수가 불안정한 이벤트의 수를 결정하는 단계;
    상기 이벤트의 수가 경계치를 초과하는지 여부를 결정하는 단계;
    상기 이벤트의 수가 상기 경계치를 초과한다고 결정되면, 상기 파라미터의 튜닝 방향을 역전시키는 단계; 및
    상기 이벤트의 수가 상기 경계치를 초과하지 않는다고 결정되면, 상기 파라미터의 추가 튜닝을 중단하는 단계를 포함하고,
    상기 방법은 프로세서에 의해 실행되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  21. 삭제
  22. 제20 항에 있어서,
    상기 파라미터의 튜닝 방향을 역전시키는 단계는, 상기 파라미터의 값을 증가시키는 단계 또는 상기 파라미터의 값을 감소시키는 단계를 포함하는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  23. 제20 항에 있어서,
    상기 방법은 기판의 프로세싱 동안 실행되고,
    상기 방법은 상기 파라미터의 학습된 값을 알지 못하고 수행되고,
    상기 국부적인 최소값은 절대 최소값과 상이하고,
    상기 프로세서는 호스트 시스템 내에 존재하고,
    상기 호스트 시스템은 무선 주파수 (RF) 생성기에 커플링되고, 상기 RF 생성기는 상기 임피던스 매칭 회로를 통해 상기 플라즈마 챔버에 커플링되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  24. 제20 항에 있어서,
    상기 방법은 기판의 프로세싱 동안 실행되며, 상기 기판은 전자적 디바이스 내에서 구현되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 방법.
  25. 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템으로서,
    RF 신호를 생성하도록 구성된 무선 주파수 (RF) 생성기;
    상기 RF 생성기에 연결된 라인;
    상기 라인에 연결된 임피던스 매칭 회로; 및
    상기 RF 생성기에 커플링된 하나 이상의 프로세서들을 포함하고,
    상기 하나 이상의 프로세서들은,
    변수를 결정하기 위한 정보를 수신하도록 구성되고, ― 상기 정보는 상기 라인에서 측정되고, 상기 정보는 상기 파라미터가 제1 값을 가지는 경우 측정됨 ―;
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 국부적인 최소값에 있는지 여부를 결정하도록 구성되고, ― 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하기 위해, 상기 하나 이상의 프로세서들은,
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 임계값을 초과하는지 여부를 결정하도록 구성되고; 그리고
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 안정한지 여부를 결정하도록 구성됨 ―;
    상기 변수가 상기 국부적인 최소값에 있다고 결정되면 상기 RF 신호를 생성하기 위해 상기 제1 값을 제공하도록 구성되고; 그리고
    상기 변수가 상기 국부적인 최소값에 있지 않다고 결정되면 상기 제1 값을 상기 파라미터의 제2 값으로 변경하도록 구성되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  26. 제 25 항에 있어서,
    상기 하나 이상의 프로세서는,
    상기 파라미터가 상기 제2 값을 가지는 경우, 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하도록 구성되고; 그리고
    상기 변수가 상기 국부적인 최소값에 있다고 결정되는 경우, 상기 RF 신호를 생성하기 위해 상기 제2 값을 제공하도록 구성되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  27. 삭제
  28. 제 25 항에 있어서,
    상기 정보는 전압, 전류, 전력, 또는 이들의 조합을 포함하고, 상기 변수는 감마, 반사 전력, 복소 전압 반사 계수, 플라즈마 임피던스, 또는 이들의 조합을 포함하는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  29. 제 25 항에 있어서,
    상기 국부적인 최소값은 절대 최소값과 상이한, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  30. 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템에 있어서,
    RF 신호를 생성하도록 구성된 무선 주파수 (RF) 생성기;
    상기 RF 생성기에 연결된 라인;
    상기 라인에 연결된 임피던스 매칭 회로; 및
    상기 RF 생성기에 커플링된 하나 이상의 프로세서들을 포함하고,
    상기 하나 이상의 프로세서들은,
    변수를 결정하기 위한 정보를 수신하도록 구성되고, ― 상기 정보는 상기 라인에서 측정되고, 상기 정보는 상기 파라미터가 제1 값을 가지는 경우 측정됨 ―;
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 국부적인 최소값에 있는지 여부를 결정하도록 구성되고, ― 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하기 위해, 상기 하나 이상의 프로세서들은,
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 임계값을 초과하는지 여부를 결정하도록 구성되고; 그리고
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 안정한지 여부를 결정하도록 구성됨 ―;
    상기 변수가 상기 국부적인 최소값에 있다고 결정되면, 상기 파라미터의 상기 제1 값이 학습된 값의 한도 내에 있는지 여부를 결정하도록 구성되고, ― 상기 학습된 값은 학습 루틴 동안 결정됨 ―;
    상기 파라미터의 상기 제1 값이 상기 한도 내에 있다고 결정되면, 상기 RF 신호를 생성하기 위해 상기 학습된 값을 제공하도록 구성되고; 그리고
    상기 파라미터가 상기 한도 외부에 있는 상기 제1 값을 가진다고 결정되면, 상기 제1 값을 상기 파라미터의 제2 값으로 변경하도록 구성되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  31. 제 30 항에 있어서,
    상기 하나 이상의 프로세서들은,
    상기 변수가 상기 국부적인 최소값에 있다고 결정되는 경우, 상기 파라미터의 상기 제2 값이 상기 학습된 값의 상기 한도 내에 있는지 여부를 결정하도록 구성되고; 그리고
    상기 파라미터의 상기 제2 값이 상기 한도 내에 있다고 결정되면 상기 RF 신호를 생성하기 위해 상기 학습된 값을 제공하도록 구성되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  32. 삭제
  33. 제 30 항에 있어서,
    상기 변수가 최소값과 최대값 사이에서 변동하고 상기 최소값과 상기 최대값 사이의 범위가 미리 결정된 범위를 초과하는 경우, 상기 변수는 불안정한, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  34. 제 33 항에 있어서,
    상기 변수가 변동하지 않는 경우, 상기 변수는 안정한, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  35. 제 30 항에 있어서,
    상기 국부적인 최소값은 절대 최소값이 아닌, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  36. 제 30 항에 있어서,
    상기 정보는 전압, 전류, 전력, 또는 이들의 조합을 포함하고, 상기 변수는 감마, 반사 전력, 복소 전압 반사 계수, 플라즈마 임피던스, 또는 이들의 조합을 포함하는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  37. 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템에 있어서,
    RF 신호를 생성하도록 구성된 무선 주파수 (RF) 생성기;
    상기 RF 생성기에 연결된 라인;
    상기 라인에 연결된 임피던스 매칭 회로; 및
    상기 RF 생성기에 커플링된 하나 이상의 프로세서들을 포함하고,
    상기 하나 이상의 프로세서들은,
    변수를 결정하기 위한 정보를 수신하도록 구성되고, ― 상기 정보는 상기 라인에서 측정되고, 상기 정보는 상기 파라미터가 제1 값을 가지는 경우 측정됨 ―;
    상기 변수의 국부적인 최소값을 결정하도록 구성되고, ― 상기 파라미터가 상기 제1 값을 가지는 경우 상기 국부적인 최소값이 달성되고, 상기 변수의 상기 국부적인 최소값을 결정하기 위해, 상기 하나 이상의 프로세서들은,
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 임계값을 초과하지 않는다고 결정하도록 구성되고; 그리고
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 안정하다고 결정하도록 구성됨 ―;
    상기 제1 값을 상기 파라미터의 하나 이상의 다른 값들로 변경함으로써 상기 파라미터를 튜닝하도록 구성되고;
    상기 파라미터가 상기 하나 이상의 다른 값들을 가지는 경우, 상기 변수가 불안정한 이벤트의 수를 결정하도록 구성되고;
    상기 이벤트의 수가 경계치를 초과하는지 여부를 결정하도록 구성되고;
    상기 이벤트의 수가 상기 경계치를 초과한다고 결정되면, 상기 파라미터의 튜닝 방향을 역전시키도록 구성되고; 그리고
    상기 이벤트의 수가 상기 경계치를 초과하지 않는다고 결정되면, 상기 파라미터의 추가 튜닝을 중단하도록 구성되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  38. 삭제
  39. 제 37 항에 있어서,
    상기 파라미터의 상기 튜닝 방향을 역전시키기 위해, 상기 하나 이상의 프로세서들은 상기 파라미터의 값을 증가시키거나 상기 파라미터의 값을 감소시키도록 구성되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  40. 제 37 항에 있어서,
    상기 국부적인 최소값은 절대 최소값이 아닌, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  41. 제 37 항에 있어서,
    상기 정보는 전압, 전류, 전력, 또는 이들의 조합을 포함하고, 상기 변수는 감마, 반사 전력, 복소 전압 반사 계수, 플라즈마 임피던스, 또는 이들의 조합을 포함하는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  42. 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템으로서,
    RF 신호를 생성하도록 구성된 무선 주파수 (RF) 생성기;
    상기 RF 생성기에 연결된 라인;
    상기 라인에 연결된 임피던스 매칭 회로; 및
    상기 RF 생성기에 커플링된 하나 이상의 프로세서들을 포함하고,
    상기 하나 이상의 프로세서들은,
    변수를 결정하기 위한 정보를 수신하도록 구성되고, ― 상기 정보는 상기 라인에서 측정되고, 상기 정보는 상기 파라미터가 제1 값을 가지는 경우 측정됨 ―;
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 국부적인 최소값에 있는지 여부를 결정하도록 구성되고, ― 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하기 위해, 상기 하나 이상의 프로세서들은,
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 임계값을 초과하는지 여부를 결정하도록 구성되고; 그리고
    상기 파라미터가 상기 제1 값을 가지는 경우, 상기 변수가 안정한지 여부를 결정하도록 구성됨 ―;
    상기 변수가 상기 국부적인 최소값에 있다고 결정되면 상기 제1 값을 사용하여 상기 임피던스 매칭 회로를 제어하도록 구성되고; 그리고
    상기 변수가 상기 국부적인 최소값에 있지 않다고 결정되면 상기 제1 값을 상기 파라미터의 제2 값으로 변경하도록 구성되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  43. 제 42 항에 있어서,
    상기 하나 이상의 프로세서들은,
    상기 파라미터가 상기 제2 값을 가지는 경우, 상기 변수가 상기 국부적인 최소값에 있는지 여부를 결정하도록 구성되고; 그리고
    상기 변수가 상기 국부적인 최소값에 있다고 결정되면 상기 제2 값을 사용하여 상기 임피던스 매칭 회로를 제어하도록 구성되는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
  44. 삭제
  45. 제 42 항에 있어서,
    상기 정보는 전압, 전류, 전력, 또는 이들의 조합을 포함하고, 상기 변수는 감마, 반사 전력, 복소 전압 반사 계수, 플라즈마 임피던스, 또는 이들의 조합을 포함하는, 플라즈마 임피던스와 관련된 파라미터를 튜닝하기 위한 시스템.
KR1020140004239A 2013-01-11 2014-01-13 플라즈마 임피던스와 관련된 파라미터에 대한 튜닝 KR102304002B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/740,047 2013-01-11
US13/740,047 US9155182B2 (en) 2013-01-11 2013-01-11 Tuning a parameter associated with plasma impedance

Publications (2)

Publication Number Publication Date
KR20140091498A KR20140091498A (ko) 2014-07-21
KR102304002B1 true KR102304002B1 (ko) 2021-09-23

Family

ID=51145109

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140004239A KR102304002B1 (ko) 2013-01-11 2014-01-13 플라즈마 임피던스와 관련된 파라미터에 대한 튜닝

Country Status (6)

Country Link
US (3) US9155182B2 (ko)
JP (1) JP6404569B2 (ko)
KR (1) KR102304002B1 (ko)
CN (1) CN103926850B (ko)
SG (2) SG10201605648SA (ko)
TW (1) TWI609406B (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9318304B2 (en) * 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
KR102021961B1 (ko) * 2014-01-13 2019-11-04 삼성전자주식회사 반도체 제조설비의 관리방법
CN105591629B (zh) * 2014-10-22 2018-01-26 中微半导体设备(上海)有限公司 自动频率调谐阻抗匹配的匹配频率的获取方法和装置
US9754767B2 (en) * 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US9966231B2 (en) * 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
KR20170117312A (ko) * 2016-04-13 2017-10-23 램 리써치 코포레이션 무선 주파수 값들을 사용함으로써 상태 전이들 동안 반사된 전력을 감소시키기 위한 시스템들 및 방법들
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
CN108199742B (zh) 2017-11-13 2020-12-01 深圳市万普拉斯科技有限公司 自调谐方法、自调谐系统及移动终端
EP3745825A4 (en) * 2018-01-23 2021-01-20 Fuji Corporation PLASMA GENERATOR AND INFORMATION PROCESSING METHOD
CN110299279B (zh) * 2019-08-22 2019-11-12 中微半导体设备(上海)股份有限公司 一种射频电源系统、等离子体处理器及其调频匹配方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003234340A (ja) * 2002-02-08 2003-08-22 Anelva Corp 高周波プラズマ処理方法及び高周波プラズマ処理装置
JP2006310245A (ja) 2005-02-25 2006-11-09 Daihen Corp 高周波電源装置および高周波電源の制御方法

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
SE468532B (sv) * 1990-06-17 1993-02-01 Kvaser Consultant Ab Anordning och foerfarande foer att i ett system styra ett organ
JP3001658B2 (ja) * 1991-03-28 2000-01-24 日本原子力研究所 高周波加熱装置
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
JPH0765993A (ja) * 1993-08-20 1995-03-10 Anelva Corp 有磁場マイクロ波放電反応装置
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
JP2888179B2 (ja) * 1995-08-24 1999-05-10 日本電気株式会社 アンテナ同調制御装置
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6110405A (en) 1997-09-15 2000-08-29 Wellman, Inc. Melt spinning colored polycondensation polymers
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) * 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
JP4739793B2 (ja) * 2005-03-31 2011-08-03 株式会社ダイヘン 高周波電源装置
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
DE602006008780D1 (de) 2005-06-10 2009-10-08 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
CN101374381B (zh) * 2007-08-20 2011-07-27 清华大学 实现射频阻抗匹配的方法及射频阻抗匹配系统
ES2688300T3 (es) 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
CN201226589Y (zh) * 2008-05-15 2009-04-22 重庆医科大学 一种超声压电换能器自动阻抗匹配器
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8447255B2 (en) * 2008-10-28 2013-05-21 Sony Ericsson Mobile Communications Ab Variable impedance matching network and method for the same
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
CN102612864B (zh) 2009-11-19 2015-06-10 朗姆研究公司 用于控制等离子体处理系统的方法和装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
TWM432209U (en) * 2012-02-23 2012-06-21 Taiwan Carol Electronics Co Ltd Wireless microphone receiver
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
KR102048959B1 (ko) 2012-10-30 2019-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003234340A (ja) * 2002-02-08 2003-08-22 Anelva Corp 高周波プラズマ処理方法及び高周波プラズマ処理装置
JP2006310245A (ja) 2005-02-25 2006-11-09 Daihen Corp 高周波電源装置および高周波電源の制御方法

Also Published As

Publication number Publication date
SG2014000905A (en) 2014-08-28
US20150206717A1 (en) 2015-07-23
CN103926850A (zh) 2014-07-16
JP6404569B2 (ja) 2018-10-10
US20160189932A1 (en) 2016-06-30
US9320127B2 (en) 2016-04-19
TWI609406B (zh) 2017-12-21
US9155182B2 (en) 2015-10-06
SG10201605648SA (en) 2016-08-30
JP2014146593A (ja) 2014-08-14
TW201443971A (zh) 2014-11-16
US20140197731A1 (en) 2014-07-17
US9627182B2 (en) 2017-04-18
KR20140091498A (ko) 2014-07-21
CN103926850B (zh) 2017-04-12

Similar Documents

Publication Publication Date Title
KR102304002B1 (ko) 플라즈마 임피던스와 관련된 파라미터에 대한 튜닝
US9947513B2 (en) Edge ramping
JP2014089945A5 (ko)
JP6400272B2 (ja) インピーダンスに基づいた電力および周波数の調整
JP6312405B2 (ja) プラズマ処理装置
JP2014146593A5 (ko)
US9378931B2 (en) Pulse plasma apparatus and drive method thereof
US10157729B2 (en) Soft pulsing
TWI620471B (zh) 射頻傳輸模型之一變數値的決定
KR102223863B1 (ko) 이중 제어 모드
JP2015090770A (ja) プラズマ処理装置
US20170345621A1 (en) Impedance matching method and device for pulsed radio frequency power supply
TWI599272B (zh) 根據三個或更多狀態之功率及頻率調整
KR20180036531A (ko) 일 상태에서의 주파수 및 매칭 튜닝과 다른 상태에서의 주파수 튜닝
CN110892500B (zh) 在存在千赫兹射频发生器下提高兆赫兹射频发生器的输送功率的效率的系统和方法
CN111602223A (zh) 以非重叠方式施加频率和匹配调谐以处理衬底的系统和方法
JP6045118B2 (ja) 高周波電源装置およびその整合方法
KR102339317B1 (ko) Rf 임피던스 모델 기반 폴트 검출

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant